KR20190135426A - 에지 균일성 제어를 위한 조정가능 튜닝 링을 갖는 프로세스 키트 - Google Patents

에지 균일성 제어를 위한 조정가능 튜닝 링을 갖는 프로세스 키트 Download PDF

Info

Publication number
KR20190135426A
KR20190135426A KR1020190062161A KR20190062161A KR20190135426A KR 20190135426 A KR20190135426 A KR 20190135426A KR 1020190062161 A KR1020190062161 A KR 1020190062161A KR 20190062161 A KR20190062161 A KR 20190062161A KR 20190135426 A KR20190135426 A KR 20190135426A
Authority
KR
South Korea
Prior art keywords
ring
adjustable tuning
ring component
component
coupling
Prior art date
Application number
KR1020190062161A
Other languages
English (en)
Inventor
요가난다 사로드 비슈와나트
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190135426A publication Critical patent/KR20190135426A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/21Means for adjusting the focus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel

Abstract

기판을 프로세싱하기 위한 프로세스 키트들, 프로세싱 챔버들, 및 방법들이 제공된다. 프로세스 키트는 에지 링, 조정가능 튜닝 링, 및 작동 메커니즘을 포함한다. 에지 링은 제1 링 컴포넌트를 갖고, 제1 링 컴포넌트는 제1 링 컴포넌트에 대해 이동가능한 제2 링 컴포넌트와 인터페이싱하여 제1 링 컴포넌트와 제2 링 컴포넌트 사이에 갭을 형성한다. 제2 링 컴포넌트의 하부 표면은 상부 정렬 커플링을 포함하고, 조정가능 튜닝 링의 상부 표면은 하부 정렬 커플링을 포함한다. 조정가능 튜닝 링의 하부 정렬 커플링은 제2 링 컴포넌트의 상부 정렬 커플링과 정합하여 인터페이스를 형성하도록 구성된다. 작동 메커니즘은 조정가능 튜닝 링의 하부 표면과 인터페이싱된다. 작동 메커니즘은, 제1 링 컴포넌트와 제2 링 컴포넌트 사이의 갭이 변화되도록 조정가능 튜닝 링을 작동시키도록 구성된다.

Description

에지 균일성 제어를 위한 조정가능 튜닝 링을 갖는 프로세스 키트{PROCESS KIT WITH ADJUSTABLE TUNING RING FOR EDGE UNIFORMITY CONTROL}
[0001] 본원에서 설명되는 실시예들은 일반적으로, 기판 프로세싱 장치에 관한 것으로, 더 구체적으로는, 기판 프로세싱 장치를 위한 개선된 프로세스 키트(process kit)에 관한 것이다.
[0002] 반도체 기술 노드들이 감소된 크기의 디바이스 기하학적 구조들로 진보됨에 따라, 기판 에지 임계 치수 균일성 요건들은 더 엄격해지고 다이 수율들에 영향을 미친다. 상업용 플라즈마 리액터들은, 예컨대 온도, 가스 유동, RF 전력 등과 같은, 기판에 걸친 프로세스 균일성을 제어하기 위한 다수의 튜닝가능 노브(tunable knob)들을 포함한다. 전형적으로, 에칭 프로세스들에서, 실리콘 기판들은 정전 척에 정전기적으로 클램핑되어 있는 채로 에칭된다.
[0003] 프로세싱 동안에, 기판 지지부 상에 놓인 기판은, 종종 연속적으로 또는 교번하는 프로세스들에서, 기판 상에 재료를 증착하고 기판으로부터 재료의 부분들을 제거 또는 에칭하는 프로세스를 받을 수 있다. 전형적으로, 기판의 표면에 걸쳐 균일한 증착 및 에칭 레이트들을 갖는 것이 유익하다. 그러나, 기판의 표면에 걸쳐 프로세스 불-균일성들이 종종 존재하고, 기판의 둘레 또는 에지에서 현저할 수 있다. 둘레에서의 이러한 불-균일성들은 전기장 종단(electric field termination) 효과들에 기인할 수 있고, 종종 에지 효과(edge effect)들로 지칭된다. 증착 또는 에칭 동안, 본원에서 논의되고 설명되는 바와 같은 프로세스 키트들은 기판 둘레 또는 에지에서의 균일성에 유리하게 영향을 미치기 위해 제공된다. 플라즈마 시스는 에지 링 기하학적 구조에 따라 기판 에지에서 구부러질(bend) 수 있고, 따라서, 이온들은 플라즈마 시스에 수직하게 가속화된다. 이온들은 플라즈마 시스에서의 구부러짐에 의해 기판 에지에 집중되거나 편향될 수 있다.
[0004] 따라서, 기판 프로세싱 장치를 위한 개선된 프로세스 키트가 계속해서 필요하다.
[0005] 본원에서 설명되는 실시예들은 일반적으로, 기판 프로세싱 장치에 관한 것이다. 더 구체적으로, 기판을 프로세싱하기 위한 프로세스 키트들, 프로세싱 챔버들, 및 방법들이 제공된다. 하나 이상의 실시예들에서, 기판 프로세싱 챔버를 위한 프로세스 키트는 에지 링, 조정가능 튜닝 링, 및 작동 메커니즘을 포함한다. 에지 링은 제1 링 컴포넌트 및 제2 링 컴포넌트를 갖는다. 제1 링 컴포넌트는, 제2 링 컴포넌트가 제1 링 컴포넌트에 대해 이동가능하여 제1 링 컴포넌트와 제2 링 컴포넌트 사이에 갭을 형성하도록, 제2 링 컴포넌트와 인터페이싱된다. 제2 링 컴포넌트는, 제2 링 컴포넌트의 하부 표면이 상부 정렬 커플링을 포함하도록, 상부 표면 및 하부 표면을 갖는다. 조정가능 튜닝 링은 에지 링 아래에 포지셔닝되고, 상부 표면 및 하부 표면을 갖는다. 조정가능 튜닝 링의 상부 표면은 하부 정렬 커플링을 포함한다. 조정가능 튜닝 링의 상부 표면은 제2 링 컴포넌트의 하부 표면과 접촉하도록 구성되고, 조정가능 튜닝 링의 하부 정렬 커플링은 제2 링 컴포넌트의 상부 정렬 커플링과 정합(mate)하여 인터페이스를 형성하도록 구성된다. 작동 메커니즘은 조정가능 튜닝 링의 하부 표면과 인터페이싱된다. 작동 메커니즘은, 제1 링 컴포넌트와 제2 링 컴포넌트 사이의 갭이 변화되도록 조정가능 튜닝 링을 작동시키도록 구성된다.
[0006] 일부 예들에서, 상부 정렬 커플링은 수형(male) 커플링이고, 하부 정렬 커플링은 암형(female) 커플링이다. 대안적으로, 다른 예들에서, 상부 정렬 커플링은 암형 커플링이고, 하부 정렬 커플링은 수형 커플링이다. 수형 커플링과 암형 커플링에 의해 형성되는 인터페이스는 정합 프로파일(mating profile)을 갖고, 정합 프로파일은 더브테일(dovetail), 스플라인(spline), 핀형(finned), 삼각형(triangular), 직사각형(rectangular), 정사각형(square), 사다리꼴(trapezoidal), 아크형(arced), 또는 라운드형(rounded)의 기하학적 구조를 갖는다.
[0007] 다른 실시예들에서, 프로세싱 챔버는 기판을 지지하도록 구성된 기판 지지 부재, 및 기판 지지 부재에 의해 지지되는 프로세스 키트를 포함할 수 있다. 기판 지지 부재는, 베이스, 베이스에 의해 지지되는 냉각 플레이트, 및/또는 냉각 플레이트의 상부 표면 상에 포지셔닝되는 정전 척을 포함할 수 있다.
[0008] 일부 실시예들에서, 기판을 프로세싱하기 위한 방법은 위에서 설명된 바와 같은 프로세스 키트를 갖는 프로세싱 챔버 내에 배치된 기판 지지 부재 상에 기판을 포지셔닝하는 단계를 포함할 수 있다. 방법은, 기판 위에 플라즈마를 형성하는 단계, 및 기판의 에지에서의 이온들의 방향을 변경하기 위해, 에지 링의 제2 링 컴포넌트와 인터페이싱되는 조정가능 튜닝 링을 작동시킴으로써 제2 링 컴포넌트의 높이를 조정하는 단계를 더 포함한다. 조정가능 튜닝 링의 하부 정렬 커플링과 제2 링 컴포넌트의 상부 정렬 커플링 사이에 갭이 배치된다. 방법은 또한, 조정가능 튜닝 링과 제2 링 컴포넌트 사이의 용량성 커플링을 변화시키기 위해 제2 링 컴포넌트를 이동시킴으로써 갭의 크기를 조정하는 단계를 포함한다.
[0009] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1a는 하나 이상의 실시예들에 따른 프로세싱 챔버의 단면도를 도시한다.
[0011] 도 1b-도 1d는 하나 이상의 실시예들에 따른, 도 1a의 프로세싱 챔버 내에 포함된 프로세스 키트의 확대된 부분 단면도들을 도시한다.
[0012] 도 2a-도 2j는 하나 이상의 실시예들에 따른, 정렬 커플링들을 포함하는 다양한 에지 링들 및 조정가능 튜닝 링들을 포함하는 다수의 프로세스 키트들의 확대된 부분 단면도들을 도시한다.
[0013] 도 3은 하나 이상의 실시예들에 따른, 안쪽으로 각이 진(angled) 상부 표면을 갖는 에지 링을 포함하는 프로세스 키트의 확대된 부분 단면도를 도시한다.
[0014] 도 4a-도 4c는 하나 이상의 실시예들에 따른, 다른 안쪽으로 각이 진 또는 경사진(beveled) 상부 표면들을 갖는 에지 링들을 포함하는 다른 프로세스 키트들의 확대된 부분 단면도들을 도시한다.
[0015] 도 5는 하나 이상의 실시예들에 따른, 에지 링, 슬라이딩 링, 및 조정가능 튜닝 링을 포함하는 다른 프로세스 키트의 확대된 부분 단면도를 도시한다.
[0016] 도 6은 하나 이상의 실시예들에 따른, 조정가능 튜닝 링과 작동 메커니즘 사이에 배치된 전기 절연 지지 링을 포함하는 다른 프로세스 키트의 확대된 부분 단면도를 도시한다.
[0017] 도 7a 및 도 7b는 하나 이상의 실시예들에 따른, 작동 메커니즘들을 위한 배치 위치들을 예시하는, 조정가능 튜닝 링의 저면도들을 도시한다.
[0018] 도 8은 하나 이상의 실시예들에 따른, 작동 메커니즘들을 포함하기 위해 사용되는 슬롯들을 갖는 조정가능 튜닝 링을 포함하는 프로세스 키트의 확대된 부분 단면도를 도시한다.
[0019] 도 9a 및 도 9b는 하나 이상의 실시예들에 따른, 도 8에 예시된 조정가능 튜닝 링의 저면도들을 도시한다.
[0020] 명확성을 위해, 도면들 사이에서 공통적인 동일한 엘리먼트들을 가리키기 위해 적용가능한 경우 동일한 도면부호들이 사용되었다. 부가적으로, 일 실시예의 엘리먼트들은, 본원에서 설명되는 다른 실시예들에서의 활용을 위해 유리하게 적응될 수 있다.
[0021] 도 1a는 일 실시예에 따른, 조정가능 튜닝 링을 갖는 프로세싱 챔버(100)의 단면도이다. 도시된 바와 같이, 프로세싱 챔버(100)는 기판, 이를테면, 기판(150)을 에칭하기에 적절한 에칭 챔버이다. 본 개시내용으로부터 이익을 얻도록 적응될 수 있는 프로세싱 챔버들의 예들은 Sym3® 프로세싱 챔버, C3® 프로세싱 챔버, 및 Mesa™ 프로세싱 챔버이며, 이들은 캘리포니아, 산타클라라에 소재하는 Applied Materials, Inc.로부터 상업적으로 입수가능하다. 증착 챔버들 및 다른 제조자들로부터의 프로세싱 챔버들을 포함하는 다른 프로세싱 챔버가 본 개시내용으로부터 이익을 얻도록 적응될 수 있다는 것이 고려된다.
[0022] 프로세싱 챔버(100)는 챔버 바디(101) 및 챔버 바디(101) 상에 배치된 리드(103)를 포함하며, 챔버 바디(101)와 리드(103)는 함께 내측 볼륨(130)을 정의한다. 챔버 바디(101)는 전형적으로 전기 접지(107)에 커플링된다. 프로세싱 동안에 상부에 기판(150)을 지지하기 위해 기판 지지 부재(180)(예컨대, 기판 지지 어셈블리)가 내부 볼륨(130) 내에 배치된다. 프로세싱 챔버(100)는 또한, 프로세싱 챔버(100) 내에 플라즈마를 생성하기 위해 유도성으로 커플링된 플라즈마 장치(102), 및 프로세싱 챔버(100)의 예들을 제어하도록 적응된 제어기(155)를 포함한다.
[0023] 기판 지지 부재(180)는, 프로세싱 동안 기판(150)의 바이어싱을 가능하게 하기 위해 매칭 네트워크(120)를 통해 바이어스 소스(119)에 커플링되는 하나 이상의 전극들(153)을 포함한다. 바이어스 소스(119)는 예시적으로는, 예컨대 대략적으로 13.56 MHz의 주파수에서의 최대 대략 1,000 W(그러나, 대략 1,000 W로 제한되지는 않음)의 RF 에너지의 소스일 수 있지만, 특정 애플리케이션들에 대해 원하는 대로 다른 주파수들 및 전력들이 제공될 수 있다. 바이어스 소스(119)는, 연속적인 전력 또는 펄스형(pulsed) 전력 중 어느 하나 또는 둘 모두를 생성하는 것이 가능할 수 있다. 일부 예들에서, 바이어스 소스(119)는 DC 또는 펄스형 DC 소스일 수 있다. 일부 예들에서, 바이어스 소스(119)는 다수의 주파수들을 제공하는 것이 가능할 수 있다. 하나 이상의 전극들(153)은 프로세싱 동안의 기판(150)의 척킹(chucking)을 가능하게 하기 위해 척킹 전력 소스(160)에 커플링될 수 있다.
[0024] 유도성으로 커플링된 플라즈마 장치(102)는 리드(103) 위에 배치되며, 프로세싱 챔버(100) 내에 플라즈마를 생성하기 위해, RF 전력을 프로세싱 챔버(100)에 유도성으로 커플링시키도록 구성된다. 유도성으로 커플링된 플라즈마 장치(102)는, 리드(103) 위에 배치되는 제1 및 제2 코일들(110, 112)을 포함한다. 각각의 코일(110, 112)의 상대적인 포지션, 직경들의 비율, 및/또는 각각의 코일(110, 112)에서의 권취수(number of turns) 각각은, 형성되는 플라즈마의 프로파일 또는 밀도를 제어하기 위해 원하는 대로 조정될 수 있다. 제1 및 제2 코일들(110, 112) 각각은, RF 피드 구조(106)를 통해 매칭 네트워크(114)를 거쳐 RF 전력 공급부(108)에 커플링된다. RF 전력 공급부(108)는 예시적으로는, 50 kHz 내지 13.56 MHz의 범위 내의 튜닝가능 주파수(tunable frequency)에서 최대 대략 4,000 W(그러나, 대략 4,000 W로 제한되지는 않음)를 생성하는 것이 가능할 수 있지만, 특정 애플리케이션들에 대해 원하는 대로 다른 주파수들 및 전력들이 활용될 수 있다. 일부 예들에서, 개개의 제1 및 제2 코일들에 제공되는 RF 전력의 상대적인 양을 제어하기 위해, RF 피드 구조(feed structure)(106)와 RF 전력 공급부(108) 사이에 전력 분배기(105), 이를테면, 분배 커패시터가 제공될 수 있다. 일부 예들에서, 전력 분배기(105)는 매칭 네트워크(114)에 통합될 수 있다.
[0025] 프로세싱 챔버(100)의 내부를 가열하는 것을 가능하게 하기 위해 리드(103)의 정상에 가열기 엘리먼트(113)가 배치될 수 있다. 가열기 엘리먼트(113)는, 리드(103)와 제1 및 제2 코일들(110, 112) 사이에 배치될 수 있다. 일부 예들에서, 가열기 엘리먼트(113)는 저항성 가열 엘리먼트를 포함할 수 있고, 원하는 범위 내로 가열기 엘리먼트(113)의 온도를 제어하기에 충분한 에너지를 제공하도록 구성되는 전력 공급부(115), 이를테면, AC 전력 공급부에 커플링될 수 있다.
[0026] 동작 동안, 기판(150), 이를테면, 플라즈마 프로세싱에 적절한 반도체 웨이퍼 또는 다른 기판이 기판 지지 부재(180) 상에 배치되며, 가스 패널(116)로부터 진입 포트들(117)을 통해 챔버 바디(101)의 내부 볼륨(130) 내로 프로세스 가스들이 공급된다. 프로세스 가스들은, RF 전력 공급부(108)로부터의 전력을 제1 및 제2 코일들(110, 112)에 인가함으로써 프로세싱 챔버(100) 내에서 플라즈마(118)로 점화된다. 일부 예들에서, 바이어스 소스(119), 이를테면, RF 또는 DC 소스로부터의 전력이 또한 매칭 네트워크(120)를 통해 기판 지지 부재(180) 내의 전극들(153)에 제공될 수 있다. 프로세싱 챔버(100) 내부 내의 압력은 밸브(121) 및 진공 펌프(122)를 사용하여 제어될 수 있다. 챔버 바디(101)의 온도는, 챔버 바디(101)를 통해 이어지는 액체-함유 도관들(도시되지 않음)을 사용하여 제어될 수 있다.
[0027] 프로세싱 챔버(100)는 다양한 플라즈마 프로세스들을 위해 사용될 수 있다. 일 실시예에서, 프로세싱 챔버(100)는 하나 이상의 에칭제들을 이용하여 건식 에칭을 수행하기 위해 사용될 수 있다. 예컨대, 프로세싱 챔버(100)는 하나 이상의 전구체들 또는 프로세스 가스들, 이를테면, 하나 이상의 플루오로카본들(예컨대, CF4 또는 C2F6), O2, NF3, N2, Ar, He, 또는 이들의 조합들로부터 플라즈마의 점화를 위해 사용될 수 있다.
[0028] 프로세싱 챔버(100)는, 프로세싱 동안 프로세싱 챔버(100)의 동작을 제어하기 위해 제어기(155)를 포함한다. 제어기(155)는, 중앙 프로세싱 유닛(CPU; central processing unit)(123), 메모리(124), 및 CPU(123)를 위한 지원 회로들(125)을 포함할 수 있으며, 프로세싱 챔버(100)의 컴포넌트들의 제어를 가능하게 한다. 제어기(155)는, 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장(industrial setting)에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 메모리(124)는, 본원에서 설명되는 방식으로 프로세싱 챔버(100)의 동작을 제어하기 위해 실행 또는 인보크(invoke)될 수 있는 소프트웨어(소스 또는 오브젝트 코드)를 저장한다.
[0029] 프로세싱 챔버(100)의 제어를 가능하게 하기 위해, CPU(123)는, 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나, 이를테면, 프로그램가능 로직 제어기(PLC; programmable logic controller)일 수 있다. 메모리(124)는 CPU(123)에 커플링되며, 메모리(124)는 비-일시적이며, 용이하게 이용가능한 메모리, 이를테면, 랜덤 액세스 메모리(RAM; random access memory), 판독 전용 메모리(ROM; read only memory), 플로피 디스크 드라이브, 하드 디스크, 또는 로컬 또는 원격의, 임의의 다른 형태의 디지털 저장소 중 하나 이상일 수 있다. 지원 회로들(125)은 통상적인 방식으로 프로세서를 지원하기 위해 CPU(123)에 커플링된다. 일반적으로, 대전 종 생성(charged species generation), 가열, 및 다른 프로세스들은, 전형적으로 소프트웨어 루틴으로서 메모리(124)에 저장된다. 소프트웨어 루틴은 또한, CPU(123)에 의해 제어되고 있는 프로세싱 챔버(100)로부터 원격으로 위치된 제2 CPU(도시되지 않음)에 의해 저장 및/또는 실행될 수 있다.
[0030] 메모리(124)는, CPU(123)에 의해 실행될 때 프로세싱 챔버(100)의 동작을 가능하게 하는 명령들을 포함하는 컴퓨터-판독가능 저장 매체들의 형태이다. 메모리(124)에서의 명령들은 본 개시내용의 방법을 구현하는 프로그램과 같은 프로그램 제품의 형태이다. 프로그램 코드는, 다수의 상이한 프로그래밍 언어들 중 임의의 프로그래밍 언어를 준수할 수 있다. 일 예에서, 본 개시내용은 컴퓨터 시스템에 사용하기 위한 컴퓨터-판독가능 저장 매체들 상에 저장된 프로그램 제품으로서 구현될 수 있다. 프로그램 제품의 프로그램(들)은 (본원에서 설명되는 방법들을 포함한) 실시예들의 기능들을 정의한다. 예시적인 컴퓨터-판독가능 저장 매체들은, (i) 정보가 영구적으로 저장되는 비-기록가능 저장 매체들(예컨대, 컴퓨터 내의 판독-전용 메모리 디바이스들, 이를테면, CD-ROM 드라이브에 의해 판독가능한 CD-ROM 디스크들, 플래시 메모리, ROM 칩들, 또는 임의의 유형의 고체-상태 비-휘발성 반도체 메모리); 및 (ii) 변경가능한 정보가 저장되는 기록가능 저장 매체들(예컨대, 디스켓 드라이브 내의 플로피 디스크들, 또는 하드-디스크 드라이브, 또는 임의의 유형의 고체-상태 랜덤-액세스 반도체 메모리)을 포함한다(그러나 이에 제한되지는 않음). 이러한 컴퓨터-판독가능 저장 매체들은, 본원에서 설명되는 방법들의 기능들을 지시하는 컴퓨터-판독가능 명령들을 보유하는 경우, 본 개시내용의 실시예들이다.
[0031] 프로세싱 챔버(100)는 또한, 도 1a에 도시된 바와 같이 내부 볼륨(130) 내에, 이를테면, 기판 지지 부재(180) 상에 배치된 프로세스 키트(200)를 포함한다. 프로세스 키트(200) 및 다른 프로세스 키트들의 다양한 실시예들이 아래에서 설명된다. 프로세스 키트(200)는 기판(150)의 프로세싱 동작 동안, 이를테면, 플라즈마 프로세스 동안 사용된다. 도 1b 및 도 1c는 프로세싱 챔버(100) 내의 기판 지지 부재(180)를 포함하는 프로세스 키트(200)의 확대된 부분 단면도들을 도시한다.
[0032] 기판 지지 부재(180)는 정전 척(ESC; electrostatic chuck)(202), 냉각 플레이트(또는 캐소드)(204), 베이스(206), 및 캐소드 스택(212)을 포함한다. 냉각 플레이트(204)는 베이스(206) 상에 배치된다. 냉각 플레이트(204)는 복수의 냉각 채널들(도시되지 않음)을 포함할 수 있는데, 복수의 냉각 채널들은 그 복수의 냉각 채널들을 통해 냉각제를 순환시키기 위한 것이다. 냉각 플레이트(204)는 접착제 또는 다른 적절한 메커니즘에 의해 정전 척(202)과 맞물리거나 정전 척(202)에 본딩될 수 있다. 하나 이상의 전력 공급부들(208)이 냉각 플레이트(204)에 커플링될 수 있다. 전력 공급부들은 라디오 주파수(RF; radio frequency), 교류 전류(AC; alternating current), 및/또는 직류 전류(DC; direct current)를 위한 소스들 및/또는 피드(feed)들이거나 또는 이들을 포함할 수 있다. 정전 척(202)은 하나 이상의 가열기들(도시되지 않음)을 포함할 수 있다. 하나 이상의 가열기들은 독립적으로 제어가능할 수 있다. 하나 이상의 가열기들은 정전 척(202)이 기판(150)을 원하는 온도까지 가열하는 것을 가능하게 한다.
[0033] 프로세스 키트(200)는 환형 바디를 형성하는 제1 링 컴포넌트(220)와 제2 링 컴포넌트(230)를 포함하는 에지 링(210)을 포함한다. 제1 링 컴포넌트(220) 및 제2 링 컴포넌트(230)는 독립적으로, 하나 이상의 전기 절연 재료들, 이를테면, 실리콘 카바이드, 실리콘 옥사이드, 석영, 또는 이들의 임의의 조합으로 제조되거나 또는 이들을 포함할 수 있다. 2개의 링 컴포넌트들(220, 230)은, 제2 링 컴포넌트(230)가 제1 링 컴포넌트(220)에 대해 이동가능하도록 서로 인터페이싱될 수 있다.
[0034] 도 1c에 도시된 바와 같이, 제1 링 컴포넌트(220)는 상부 표면(218), 하부 표면(219), 내측 에지(222), 및 외측 에지(224)를 포함한다. 상부 표면(218)은 하부 표면(219)에 실질적으로 평행하다. 내측 에지(222)는 외측 에지(224)에 실질적으로 평행하고, 하부 표면(219)에 실질적으로 수직이다. 제1 링 컴포넌트(220)는 제1 링 컴포넌트(220)에 정의된 계단형 표면(stepped surface)(226)을 더 포함한다. 도시된 실시예에서, 계단형 표면(226)은, 계단형 표면(226)이 하부 표면(219)에 실질적으로 평행하도록, 외측 에지(224)에 형성된다. 계단형 표면(226)은 제2 링 컴포넌트(230)를 수용하기 위한 리세스를 정의한다. 일반적으로, 제1 링 컴포넌트(220)의 높이는 정전 척(202)의 높이에 의해 제한된다. 예컨대, 제1 링 컴포넌트(220)의 내측 에지(222)는 정전 척(202)의 높이 위로 연장되지 않는다. 따라서, 제1 링 컴포넌트(220)는 정전 척(202)의 측면을 보호한다. 일부 실시예들에서, 기판(150)은, 정전 척(202) 상에 포지셔닝될 때, 제1 링 컴포넌트(220) 위로, 이를테면, 상부 표면(218) 위로 부분적으로 연장되어 있다.
[0035] 제2 링 컴포넌트(230)는 상부 표면(228), 하부 표면(231), 내측 에지(232), 및 외측 에지(234)를 포함한다. 상부 표면(228)은 하부 표면(231)에 실질적으로 평행하다. 내측 에지(232)는 외측 에지(234)에 실질적으로 평행하고, 하부 표면(231)에 실질적으로 수직이다. 일 실시예에서, 제2 링 컴포넌트(230)는 하부 표면(231)을 통해 제1 링 컴포넌트(220)와 인터페이싱된다. 예컨대, 제1 링 컴포넌트(220)의 계단형 표면(226)은 제2 링 컴포넌트(230)의 하부 표면(231)의 적어도 일부와 인터페이싱한다. 제1 링 컴포넌트(220)와 인터페이싱될 때, 제2 링 컴포넌트(230)의 내측 에지(232)는 기판(150)으로부터 이격된다. 예컨대, 제2 링 컴포넌트(230)의 내측 에지(232)는 기판(150)으로부터 대략 0.02 mm 내지 대략 0.1 mm 이격될 수 있다.
[0036] 다른 실시예들에서, 인터페이싱될 때, 제1 링 컴포넌트(220)와 제2 링 컴포넌트(230)는, 도 1c에 도시된 바와 같이, 연속적인 하부 표면 및 연속적인 상부 표면을 형성한다. 다른 실시예에서, 인터페이싱되지 않을 때, 제1 링 컴포넌트(220)와 제2 링 컴포넌트(230)는, 도 1d에 도시된 바와 같이, 연속적인 하부 표면 또는 연속적인 상부 표면을 형성하지 않는다. 오히려, 일부 실시예들에서, 제1 링 컴포넌트(220)의 상부 표면(218)은 제2 링 컴포넌트(230)의 상부 표면(228)보다 더 높을 수 있다. 다른 실시예들에서, 제2 링 컴포넌트(230)의 하부 표면(231)은 제1 링 컴포넌트(220)의 하부 표면(219) 아래에 놓일 수 있다. 따라서, 일부 실시예들에서, 제1 링 컴포넌트(220)와 제2 링 컴포넌트(230)는 연속적인 최상부 또는 하부 표면을 형성하지 않는다.
[0037] 프로세스 키트(200)는 상부 표면(254) 및 하부 표면(256)을 갖는 조정가능 튜닝 링(250)을 더 포함한다. 조정가능 튜닝 링(250)은 하나 이상의 전기 전도성 재료들로 형성되거나 또는 이와 다르게 그 하나 이상의 전기 전도성 재료들을 포함할 수 있다. 예컨대, 전기 전도성 재료는 알루미늄 또는 하나 이상의 알루미늄 합금들이거나 또는 이들을 포함할 수 있다. 조정가능 튜닝 링(250)은 에지 링(210) 아래에 배치된다. 예컨대, 조정가능 튜닝 링(250)은 제2 링 컴포넌트(230) 아래에 배치된다. 조정가능 튜닝 링(250)은 제2 링 컴포넌트(230)의 하부 표면(231)과 접촉한다. 일 실시예에서, 조정가능 튜닝 링(250)은, 조정가능 튜닝 링(250)이 정전 척(202)과 냉각 플레이트(204)의 결합된 높이들과 실질적으로 동일한 높이를 갖도록, 정전 척(202)과 냉각 플레이트(204)의 길이를 따라 연장된다. 따라서, 조정가능 튜닝 링(250)은 냉각 플레이트(204)로부터의 전력을 에지 링(210)에 커플링시킬 수 있다.
[0038] 조정가능 튜닝 링(250)은 냉각 플레이트(204)에 외접하여, 조정가능 튜닝 링(250)과 냉각 플레이트(204) 사이에 측방향으로 이격된 갭(258)을 형성할 수 있다. 일 예에서, 측방향으로 이격된 갭(258)은 0 인치 초과 내지 0.03 인치 이하의 폭을 갖는다. 다른 예들에서, 측방향으로 이격된 갭(258)은 대략 0.005 인치, 대략 0.007 인치, 또는 대략 0.009 인치 내지 대략 0.0010 인치, 대략 0.0013 인치, 대략 0.0015 인치, 또는 대략 0.0019 인치의 폭을 갖는다. 예컨대, 측방향으로 이격된 갭(258)은 대략 0.007 인치 내지 대략 0.0015 인치의 폭을 갖는다. 조정가능 튜닝 링(250)은 리프트 핀(260)과 인터페이싱한다. 예컨대, 리프트 핀(260)은 조정가능 튜닝 링(250)과 동작가능하게 커플링될 수 있다.
[0039] 하나 이상의 실시예들에서, 기판(150)의 에지에서의 플라즈마 시스(201)는, 제2 링 컴포넌트(230) 아래에 배치되고 그리고 측방향으로 이격된 갭(258)에서는 냉각 플레이트(204) 옆에 배치되는 조정가능 튜닝 링(250)에 의해 제2 링 컴포넌트(230)에 커플링되는 전력을 튜닝함으로써 조정될 수 있으며, 냉각 플레이트(204)와 용량성 커플링을 형성함으로써 조정가능 튜닝 링(250)에 추가의 RF 전력이 전달된다.
[0040] 리프트 핀(260)은 리프트 또는 작동 메커니즘(280)에 의해 구동된다. 작동 메커니즘(280)은 하나 이상의 리프트 메커니즘들(282), 하나 이상의 밀봉형 벨로우즈(284), 하나 이상의 액추에이터들, 하나 이상의 제어기들, 및 다른 컴포넌트들을 포함할 수 있다. 리프트 메커니즘(282)은 하나 이상의 서보 드라이브(servo drive)들, 서보 모터들, 전기 모터들, 기어들, 또는 이들의 조합들이거나 또는 이들을 포함할 수 있다. 하나 이상의 구성들에서, 작동 메커니즘(280)은, 프로세싱 챔버(100)의 외측 또는 대기 측(atmospheric side) 상에 장착되고 그리고 내부 볼륨(130) 내의 진공을 밀봉하기 위해 벨로우즈를 사용하여 액추에이터들 또는 리프트 메커니즘들(282)에 연결되는 서보 드라이브들 및 액추에이터 어셈블리들을 포함한다.
[0041] 하나 이상의 실시예들에서, 작동 메커니즘(280)은 2개, 3개, 4개 또는 그보다 많은 리프트 핀들(260)을 포함하고, 리프트 핀들(260) 각각은 제1 단부 및 제2 단부를 갖고, 리프트 핀(260)의 제1 단부는 조정가능 튜닝 링(250)의 하부 표면(256)과 접촉하고, 리프트 핀(260)의 제2 단부는 리프트 메커니즘(282)과 연통한다. 작동 메커니즘(280)은 조정가능 튜닝 링(250)이 프로세싱 챔버(100) 내에서 수직으로 이동되는 것을 가능하게 한다. 튜닝 링(250)의 수직 이동의 결과로, 작동 메커니즘(280)은 제2 링 컴포넌트(230)를 상승시키거나, 하강시키거나, 또는 이와 다르게 이동시킨다.
[0042] 도 1d에 도시된 바와 같이, 제2 링 컴포넌트(230)가 제1 링 컴포넌트(220) 위로 상승되어, 제1 링 컴포넌트(220)의 계단형 표면(226)과 제2 링 컴포넌트(230)의 하부 표면(231) 사이에 갭(237)을 형성할 수 있다. 갭(237)은 대략 0 mm, 대략 1 mm, 대략 2 mm, 또는 대략 3 mm 내지 대략 5 mm, 대략 7 mm, 대략 10 mm, 또는 대략 12 mm일 수 있다. 작동 메커니즘(280)은 조정가능 튜닝 링(250)의 하부 표면(256)과 인터페이싱되며, 작동 메커니즘(280)은, 제1 링 컴포넌트(220)와 제2 링 컴포넌트(230) 사이의 갭(237)이 변화되도록 조정가능 튜닝 링(250)을 작동시키도록 구성된다.
[0043] 일 실시예에서, 조정가능 튜닝 링(250)은 조정가능 튜닝 링(250)의 상부 표면(254) 상에 형성되거나 또는 이와 다르게 배치되는 코팅을 포함할 수 있다. 예컨대, 코팅은 이트리아 옥사이드 코팅 또는 겔형 코팅이거나 또는 이를 포함할 수 있다. 코팅은 조정가능 튜닝 링(250)과 플라즈마 사이의 화학 반응을 제한하는 데 사용되며, 따라서, 입자 생성 및 링 손상을 제한한다. 다른 실시예에서, 하나 이상의 유전체 패드들(예컨대, 폴리테트라플루오로에틸렌을 함유하는 패드들)이 에지 링(210)과 정전 척(202) 사이에 포지셔닝된다.
[0044] 프로세스 키트(200)는 또한, 커버 링 어셈블리(cover ring assembly)(270), 환형 바디(276), 및 커버 링 어셈블리(270)와 환형 바디(276) 사이에 배치된 플라즈마 스크린(278)을 포함한다. 커버 링 어셈블리(270)는 환형 형상을 갖고, 커버 링(272) 및 슬리브(274)를 포함한다. 커버 링(272) 및 슬리브(274)는 독립적으로, 석영 재료 또는 다른 내플라즈마성 재료로 제조되거나 또는 이를 포함할 수 있다. 예컨대, 커버 링(272)은 석영 링일 수 있고, 슬리브(274)는 석영 파이프일 수 있다.
[0045] 하나 이상의 실시예들에서, 도 1c 및 도 1d에 도시된 바와 같이, 플라즈마 시스(201)는 프로세싱 챔버(100)의 프로세스 키트(200) 내의 에지 링(210) 및 기판(150)의 부분들 위에 형성된다. 전압(
Figure pat00001
)은 기판(150)의 에지에서의 임계 치수 균일성을 보상하기 위해 기판(150)의 에지에서의 플라즈마 시스(201) 프로파일을 제어하는 데 사용될 수 있다. 플라즈마 시스(201)는 플라즈마의 바디를 그 재료 경계에 접합(join)시키는 공간 전하에 의해 형성되는 강한 전기장들의 얇은 영역이다. 수학적으로, 시스 두께(
Figure pat00002
)는 칠드-랑뮈에 방정식(Child-Langmuir equation)에 의해 표현된다:
Figure pat00003
[0046] 여기에서,
Figure pat00004
는 이온 전류 밀도이고,
Figure pat00005
은 진공의 유전율이고,
Figure pat00006
는 기본 전하량이고,
Figure pat00007
는 플라즈마 전위이고,
Figure pat00008
는 DC 전압이다.
[0047] 에칭 리액터의 경우, 플라즈마 시스(201)는, 플라즈마와 접촉하는 프로세싱 챔버(100), 프로세스 키트(200)의 모든 각각의 다른 부분, 챔버 바디(101), 에칭되고 있는 기판(150)과 그러한 플라즈마 사이에 형성된다. 플라즈마에서 생성되는 이온들은 플라즈마 시스에서 가속화되고, 플라즈마 시스에 수직으로 이동한다.
Figure pat00009
를 제어하는 것, 즉, 에지 링(210)에 인가되는 전압을 제어하는 것은 플라즈마 시스(201)의 두께(
Figure pat00010
)에 영향을 미친다. 플라즈마 시스(201)의 시스 두께(
Figure pat00011
)는 에지 링(210)에 대해 측정될 수 있다. 예컨대, 두께(
Figure pat00012
)는 도 1c 및 도 1d에 도시된다. 도시된 실시예에서, 조정가능 튜닝 링(250)을 작동시키는 것은 제2 링 컴포넌트(230)를 상승시킨다.
Figure pat00013
가 일정하게 유지되기 때문에, 에지 링(210) 위의 시스 두께는 일정하게 유지된다. 따라서, 조정가능 튜닝 링(250)을 작동시키는 것은 시스 두께에 영향을 미치지 않으면서 플라즈마 시스(201)를 수직으로 상승시킨다. 따라서, 조정가능 튜닝 링(250)을 이동시키는 것은 기판(150)의 에지에서의 플라즈마 시스(201)의 형상에 영향을 미치며, 이는 결국 플라즈마 이온들의 방향을 제어한다.
[0048] 도 1d는 도 1c의 프로세싱 챔버(100) 내의 프로세스 키트(200)의 부분을 예시하며, 제2 링 컴포넌트(230)는 상승된 포지션에 있다. 예시되는 바와 같이 그리고 도 1c에서 논의되는 바와 같이, 조정가능 튜닝 링(250)을 상승시키는 것은 제2 링 컴포넌트(230)를 상승시키고, 이는 결국 플라즈마 시스(201)를 상승시킨다. 거의 고정된 커패시턴스의 결과로 전위(
Figure pat00014
)가 거의 일정하게 유지되기 때문에, 플라즈마 시스(201) 두께(
Figure pat00015
)는 전체에 걸쳐 일정하게 유지된다.
[0049] 도 2a-도 2j는 하나 이상의 실시예들에 따른, 에지 링(210)의 인터페이스와 조정가능 튜닝 링(250) 사이에 배치된 정렬 커플링들을 포함하는 프로세스 키트들(200a-200j)의 확대된 부분 단면도들을 도시한다. 프로세스 키트들(200a-200j) 각각은 프로세스 키트(200)를 프로세스 키트들(200a-200j) 중 임의의 프로세스 키트로 완전히 또는 부분적으로 대체함으로써 프로세싱 챔버(100) 내에서 사용될 수 있다.
[0050] 프로세스 키트들(200a-200j) 각각은, 제1 링 컴포넌트(220) 및 제2 링 컴포넌트(230)를 갖는 에지 링(210)을 포함한다. 제1 링 컴포넌트(220)는, 제2 링 컴포넌트(230)가 제1 링 컴포넌트(220)에 대해 이동가능하여 (도 1d에 도시된 바와 같이) 제1 링 컴포넌트(220)와 제2 링 컴포넌트(230) 사이에 갭(237)을 형성하도록, 제2 링 컴포넌트(230)와 인터페이싱될 수 있다. 예컨대, 갭(237)은 제1 링 컴포넌트(220)의 계단형 표면(226)과 제2 링 컴포넌트(230)의 하부 표면(231) 사이에 형성될 수 있다. 조정가능 튜닝 링(250)의 상부 표면(254)과 제2 링 컴포넌트(230)의 하부 표면(231)은 서로 맞물리거나 또는 이와 다르게 서로 접촉할 수 있다.
[0051] 제2 링 컴포넌트(230)의 하부 표면(231)은 상부 정렬 커플링(236)을 포함하고, 조정가능 튜닝 링(250)의 상부 표면(254)은 하부 정렬 커플링(252)을 포함한다. 조정가능 튜닝 링(250)의 하부 정렬 커플링(252)은 제2 링 컴포넌트(230)의 상부 정렬 커플링(236)과 정합하여, 레시프로컬(reciprocal) 또는 정합(mating) 프로파일을 갖는 인터페이스를 형성할 수 있다.
[0052] 상부 정렬 커플링(236)은 수형 또는 암형 커플링일 수 있고, 하부 정렬 커플링(252)은 상부 정렬 커플링(236)과 반대되는 유형의 커플링이다. 예컨대, 상부 정렬 커플링(236)이 수형 커플링인 경우, 하부 정렬 커플링(252)은 암형 커플링이다. 대안적으로, 상부 정렬 커플링(236)이 암형 커플링인 경우, 하부 정렬 커플링(252)은 수형 커플링이다. 상부 정렬 커플링(236)과 하부 정렬 커플링(252) 사이에 형성된 레시프로컬 또는 정합 프로파일은, 더브테일, 스플라인, 핀형, 삼각형, 직사각형, 정사각형, 사다리꼴, 아크형, 라운드형의 기하학적 구조, 그러한 기하학적 구조들의 조합들뿐만 다른 기하학적 구조들을 가질 수 있다.
[0053] 프로세스 키트(200a)에서, 도 2a에 도시된 바와 같이, 상부 정렬 커플링(236)은 제2 링 컴포넌트(230)의 하부 표면(231)으로부터 연장되는 더브테일 또는 사다리꼴 기하학적 구조를 갖는 수형 커플링이다. 하부 정렬 커플링(252)은 조정가능 튜닝 링(250)의 상부 표면(254) 내로 형성되는 더브테일 또는 사다리꼴 기하학적 구조를 갖는 암형 커플링이다.
[0054] 프로세스 키트(200b)에서, 도 2b에 도시된 바와 같이, 상부 정렬 커플링(236)은 제2 링 컴포넌트(230)의 하부 표면(231) 내로 형성되는 더브테일 또는 사다리꼴 기하학적 구조를 갖는 암형 커플링이다. 하부 정렬 커플링(252)은 조정가능 튜닝 링(250)의 상부 표면(254)으로부터 연장되는 더브테일 또는 사다리꼴 기하학적 구조를 갖는 수형 커플링이다.
[0055] 프로세스 키트(200c)에서, 도 2c에 도시된 바와 같이, 상부 정렬 커플링(236)은 제2 링 컴포넌트(230)의 하부 표면(231)으로부터 연장되는 삼각형 기하학적 구조를 갖는 수형 커플링이다. 하부 정렬 커플링(252)은 조정가능 튜닝 링(250)의 상부 표면(254) 내로 형성되는 삼각형 기하학적 구조를 갖는 암형 커플링이다.
[0056] 프로세스 키트(200d)에서, 도 2d에 도시된 바와 같이, 상부 정렬 커플링(236)은 제2 링 컴포넌트(230)의 하부 표면(231) 내로 형성되는 삼각형 기하학적 구조를 갖는 암형 커플링이다. 하부 정렬 커플링(252)은 조정가능 튜닝 링(250)의 상부 표면(254)으로부터 연장되는 삼각형 기하학적 구조를 갖는 수형 커플링이다.
[0057] 프로세스 키트(200e)에서, 도 2e에 도시된 바와 같이, 상부 정렬 커플링(236)은 제2 링 컴포넌트(230)의 하부 표면(231)으로부터 연장되는 정사각형 또는 직사각형 기하학적 구조를 갖는 수형 커플링이다. 하부 정렬 커플링(252)은 조정가능 튜닝 링(250)의 상부 표면(254) 내로 형성되는 정사각형 또는 직사각형 기하학적 구조를 갖는 암형 커플링이다.
[0058] 프로세스 키트(200f)에서, 도 2f에 도시된 바와 같이, 상부 정렬 커플링(236)은 제2 링 컴포넌트(230)의 하부 표면(231) 내로 형성되는 정사각형 또는 직사각형 기하학적 구조를 갖는 암형 커플링이다. 하부 정렬 커플링(252)은 조정가능 튜닝 링(250)의 상부 표면(254)으로부터 연장되는 정사각형 또는 직사각형 기하학적 구조를 갖는 수형 커플링이다.
[0059] 프로세스 키트(200g)에서, 도 2g에 도시된 바와 같이, 상부 정렬 커플링(236)은 제2 링 컴포넌트(230)의 하부 표면(231)으로부터 연장되는 아크형 또는 라운드형 기하학적 구조를 갖는 수형 커플링이다. 하부 정렬 커플링(252)은 조정가능 튜닝 링(250)의 상부 표면(254) 내로 형성되는 아크형 또는 라운드형 기하학적 구조를 갖는 암형 커플링이다.
[0060] 프로세스 키트(200h)에서, 도 2h에 도시된 바와 같이, 상부 정렬 커플링(236)은 제2 링 컴포넌트(230)의 하부 표면(231) 내로 형성되는 아크형 또는 라운드형 기하학적 구조를 갖는 암형 커플링이다. 하부 정렬 커플링(252)은 조정가능 튜닝 링(250)의 상부 표면(254)으로부터 연장되는 아크형 또는 라운드형 기하학적 구조를 갖는 수형 커플링이다.
[0061] 프로세스 키트(200i)에서, 도 2i에 도시된 바와 같이, 상부 정렬 커플링(236)은 제2 링 컴포넌트(230)의 하부 표면(231)으로부터 연장되는 핀형 기하학적 구조를 갖는 수형 커플링이다. 하부 정렬 커플링(252)은 조정가능 튜닝 링(250)의 상부 표면(254) 내로 형성되는 핀형 기하학적 구조를 갖는 암형 커플링이다.
[0062] 프로세스 키트(200j)에서, 도 2j에 도시된 바와 같이, 상부 정렬 커플링(236)은 제2 링 컴포넌트(230)의 하부 표면(231) 내로 형성되는 핀형 기하학적 구조를 갖는 암형 커플링이다. 하부 정렬 커플링(252)은 조정가능 튜닝 링(250)의 상부 표면(254)으로부터 연장되는 핀형 기하학적 구조를 갖는 수형 커플링이다.
[0063] 핀형 기하학적 구조들은 수형 커플링들 및/또는 암형 커플링들과 동일한 또는 상이한 기하학적 구조들인 2개, 3개 또는 그보다 많은 형상화된 프로파일들을 가질 수 있다. 핀형 기하학적 구조들은 도 2a-도 2j에 도시된 임의의 커플링뿐만 아니라 다른 기하학적 형상들일 수 있다. 예컨대, 핀형 기하학적 구조는 2개의 직사각형 기하학적 구조들(도 2i 및 도 2j에 도시된 바와 같음)을 포함할 수 있다. 대안적으로, 핀형 기하학적 구조는 2개의 삼각형 기하학적 구조들, 직사각형 기하학적 구조와 삼각형 기하학적 구조의 조합, 직사각형 기하학적 구조와 더브테일 기하학적 구조의 조합, 또는 임의의 다른 조합을 포함할 수 있다.
[0064] 도 2a-도 2j에 도시된 바와 같이, 갭(253)은 조정가능 튜닝 링(250)의 상부 표면(254)과 제2 링 컴포넌트(230)의 하부 표면(231) 사이에 배치될 수 있다. 더 구체적으로, 갭(253)은 조정가능 튜닝 링(250)의 하부 정렬 커플링(252)과 제2 링 컴포넌트의 상부 정렬 커플링(236) 사이에 배치된다. 조정가능 튜닝 링(250)은, 갭(253)의 크기를 조정하고 그리고 조정가능 튜닝 링(250)과 제2 링 컴포넌트(230) 사이의 용량성 커플링을 변화시키도록, 작동되거나, 조정되거나, 또는 이와 다르게 이동된다. 따라서, 조정가능 튜닝 링(250)과 제2 링 컴포넌트(230) 사이의 거리(예컨대, 갭(253)의 크기)를 변화시킴으로써, 조정가능 튜닝 링(250)과 제2 링 컴포넌트(230) 사이의 용량성 커플링이 비례적으로 변화된다.
[0065] 하나 이상의 실시예들에서, 플라즈마 시스(201)를 튜닝하기 위한 2개의 별개의 레짐(regime)들이 가능하다. 일 예에서, 갭(253)의 크기는 조정가능 튜닝 링(250)과 제2 링 컴포넌트(230) 사이에서 가변적으로 유지되거나 조정될 수 있다. 다른 예에서, 조정가능 튜닝 링(250)과 제2 링 컴포넌트(230)는 서로 터치하거나 접촉하며, 따라서 조정가능 튜닝 링(250)과 제2 링 컴포넌트(230) 사이에는 갭(253)이 존재하지 않는다.
[0066] 도 3은 하나 이상의 실시예들에 따른, 안쪽으로 각이 진 상부 표면(228)을 갖는 에지 링(210)을 포함하는 프로세스 키트(300)의 확대된 부분 단면도를 도시한다. 도 4a는 하나 이상의 실시예들에 따른, 안쪽으로 경사진 상부 표면(228)을 갖는 에지 링(210)을 포함하는 프로세스 키트(400a)의 확대된 부분 단면도를 도시한다. 도 4b 및 도 4c는 안쪽으로 경사진 상부 표면들(228)을 갖는 에지 링(210)을 포함하는 프로세스 키트들(400b, 400c)의 확대된 부분 단면도들을 각각 도시한다. 프로세스 키트들(300 및 400a-400c)의 경우, 제1 링 컴포넌트(220)는, 제2 링 컴포넌트(230)가 제1 링 컴포넌트(220)에 대해 이동가능하여 제1 링 컴포넌트(220)와 제2 링 컴포넌트(230) 사이에 갭(253)을 형성하도록, 제2 링 컴포넌트(230)와 인터페이싱된다. 프로세스 키트들(300 및 400a-400c) 중 임의의 프로세스 키트는 프로세스 키트(200) 또는 프로세스 키트들(200a-200j) 중 임의의 프로세스 키트를 프로세스 키트들(300 또는 400a-400c) 중 임의의 프로세스 키트로 완전히 또는 부분적으로 대체함으로써 프로세싱 챔버(100)에서 사용될 수 있다.
[0067] 하나 이상의 실시예들에서, 제2 링 컴포넌트(230)의 상부 표면(228)의 적어도 일부는 제1 링 컴포넌트(220)를 향해 안쪽으로 각이 진다. 일 실시예에서, 제2 링 컴포넌트(230)의 상부 표면(228)은, 도 3에 도시된 바와 같이, 외측 에지(234)로부터 내측 에지(232)로 안쪽으로 각이 진다. 다른 실시예에서, 도 4a에 도시된 바와 같이, 제2 링 컴포넌트(230)의 상부 표면(228)의 부분 또는 세그먼트는 외측 에지(234)로부터 떨어져서 내측 에지(232)를 향해 안쪽으로 각이 진다. 제2 링 컴포넌트(230)의 상부 표면(228)은 내측 상부 표면(229a)과 외측 상부 표면(229c) 사이에 배치된 경사진 상부 표면(229b)을 가질 수 있다. 경사진 상부 표면(229b)은 내측 에지(232)를 향해, 이를테면, 제1 링 컴포넌트(220) 및/또는 기판(150)을 향해 안쪽으로 각이 진다. 도 4a에 도시된 바와 같이, 내측 상부 표면(229a)과 외측 상부 표면(229c)은 서로 평행하거나 또는 실질적으로 평행할 수 있다. 대안적으로, 내측 상부 표면(229a)과 외측 상부 표면(229c)은 서로 평행하지 않다(도시되지 않음).
[0068] 다른 실시예에서, 도 4b에 도시된 바와 같이, 제2 링 컴포넌트(230)의 상부 표면(228)의 부분 또는 세그먼트는 외측 에지(234)로부터 떨어져서 내측 에지(232)를 향해 안쪽으로 각이 진다. 제2 링 컴포넌트(230)의 상부 표면(228)은 외측 상부 표면(229c)과 인접하게 배치된 안쪽으로 각이 진 또는 경사진 상부 표면(229b)을 가질 수 있다. 다른 실시예에서, 도 4c에 도시된 바와 같이, 제2 링 컴포넌트(230)의 상부 표면(228)의 2개 이상의 부분들 또는 세그먼트들은 외측 에지(234)로부터 내측 에지(232)로 안쪽으로 각이 진다. 제2 링 컴포넌트(230)의 상부 표면(228)은, 내측 상부 표면(229a), 그 옆에 제1 안쪽으로 각이 진 또는 경사진 상부 표면(229b), 그 옆에 제1 외측 상부 표면(229c), 그 옆에 제2 안쪽으로 각이 진 또는 경사진 상부 표면(229b), 그 옆에 제2 외측 상부 표면(229c)을 가질 수 있다. 도 2b 및 도 2c에 도시된 바와 같이, 경사진 상부 표면들(229b)은 내측 에지(232)를 향해, 이를테면, 제1 링 컴포넌트(220) 및/또는 기판(150)을 향해 안쪽으로 각이 진다.
[0069] 프로세싱 동안에, 안쪽으로 각이 진 상부 표면(228)(도 3) 및 내측 상부 표면들(229a)(도 4a-도 4c)은 플라즈마를 제2 링 컴포넌트(230)의 내측 에지(232), 제1 링 컴포넌트(220)의 상부 표면(218), 및 기판(150)을 향해 퍼넬링(funnel)하거나 또는 이와 다르게 지향시킨다. 이와 같이, 안쪽으로 각이 진 상부 표면(228)(도 3) 및 내측 상부 표면들(229a)(도 4a-도 4c)은 플라즈마를 제2 링 컴포넌트(230)의 외측 에지(224) 및 커버 링(272)으로부터 멀리 지향시킨다.
[0070] 제2 링 컴포넌트(230)는 상부 표면(228)과 하부 표면(231) 사이에서 측정되는 내측 두께(D1) 및 외측 두께(D2)를 갖는다. 도 4a-도 4c에 도시된 제2 링 컴포넌트(230)의 경우, 내측 두께(D1)는 내측 상부 표면(229a)과 하부 표면(231) 사이에서 측정되고, 외측 두께(D2)는 외측 상부 표면(229c)과 하부 표면(231) 사이에서 측정된다. 도 3 및 도 4a-도 4c에 도시된 바와 같이, 내측 두께(D1)는 외측 두께(D2)보다 작다. 제2 링 컴포넌트(230)의 내측 두께(D1)는 대략 1 mm, 대략 1.8 mm, 대략 2 mm, 또는 대략 2.5 mm 내지 대략 3 mm, 대략 4 mm, 대략 5 mm, 또는 대략 6 mm이다. 제2 링 컴포넌트(230)의 외측 두께(D2)는 대략 1 mm, 대략 2 mm, 또는 대략 3 mm 내지 대략 5 mm, 대략 7 mm, 대략 10 mm, 대략 12 mm, 또는 대략 15 mm이다.
[0071] 도 5는 하나 이상의 실시예들에 따른, 에지 링(210), 슬라이딩 링(520), 및 조정가능 튜닝 링(250)을 포함하는 프로세스 키트(500)의 확대된 부분 단면도를 도시한다. 슬라이딩 링(520)은 에지 링(210) 아래에 포지셔닝된다. 슬라이딩 링(520)은 상부 표면(512) 및 하부 표면(514)을 갖는다. 슬라이딩 링(520)의 상부 표면(512)은 제2 링 컴포넌트(230)의 하부 표면(231)과 접촉한다. 조정가능 튜닝 링(250)은 슬라이딩 링(520) 아래에 포지셔닝된다. 조정가능 튜닝 링(250)의 상부 표면(254)은 슬라이딩 링(520)의 하부 표면(514)과 접촉한다.
[0072] 하나 이상의 실시예들에서, 슬라이딩 링(520)의 부재 시에, 플라즈마는 프로세싱 동안에 조정가능 튜닝 링(250)의 부분들을 침식시킬 수 있다. 일단 제2 링 컴포넌트(230)와 조정가능 튜닝 링(250) 사이에 배치되면, 슬라이딩 링(520)은, 슬라이딩 링(520)을 포함하지 않고서 제2 링 컴포넌트(230)를 조정가능 튜닝 링(250)과 직접적으로 접촉시키는 것과 대조적으로, 제2 링 컴포넌트(230)와 조정가능 튜닝 링(250) 사이에 (플라즈마 침식으로부터) 형성되고 수집되는 미립자의 양을 감소시킨다.
[0073] 에지 링(210)의 제1 링 컴포넌트(220)는, 에지 링(210)의 제2 링 컴포넌트(230)가 제1 링 컴포넌트(220)에 대해 이동가능하여 제1 링 컴포넌트(220)와 제2 링 컴포넌트(230) 사이에 갭(도 5에 도시되지 않음)을 형성하도록, 제2 링 컴포넌트(230)와 인터페이싱된다. 작동 메커니즘(280)은 조정가능 튜닝 링(250)의 하부 표면(256)과 인터페이싱된다. 작동 메커니즘(280)은, 슬라이딩 링(520)의 상부 표면(512)과 제2 링 컴포넌트(230)의 하부 표면(231) 사이의 갭(253)이 변화되도록, 조정가능 튜닝 링(250) 및 슬라이딩 링(520)을 이동시키거나 작동시킨다. 유사하게, 작동 메커니즘(280)은 조정가능 튜닝 링(250), 및 제2 링 컴포넌트(230)와 접촉하는 슬라이딩 링(520)을 이동시키거나 작동시켜서, 제2 링 컴포넌트(230)와의 사이의 갭의 크기를 변화시킨다.
[0074] 하나 이상의 실시예들에서, 슬라이딩 링(520)은 알루미늄 또는 알루미늄 합금으로 제조되거나 또는 함유하는 매트릭스 또는 바디를 포함할 수 있다. 슬라이딩 링(520)의 바디 또는 매트릭스는, 양극산화처리된 옥사이드(예컨대, 임의의 양극산화 프로세스에 의해 형성되는 알루미늄 옥사이드 층), 이트륨 옥사이드, 하프늄 옥사이드, 실리콘 카바이드, 이들의 옥사이드들, 또는 이들의 임의의 조합을 함유하는 내플라즈마성 코팅 또는 필름으로 완전히 또는 부분적으로 코팅될 수 있다. 다른 실시예들에서, 슬라이딩 링(520)은 다양한 재료들의 2개 이상의 세그먼트들 또는 부분들, 이를테면, 2개 이상의 링들을 포함하는 분할 구조를 포함할 수 있다. 예컨대, 슬라이딩 링(520)은 하나 이상의 내플라즈마성 재료들(예컨대, 실리콘 카바이드)로 제조되거나 또는 이를 함유하는 링을 포함하는 상부 세그먼트 및 하나 이상의 전기 전도성 재료들(예컨대, 알루미늄 또는 알루미늄 합금)로 제조되거나 또는 이를 함유하는 링을 포함하는 하부 세그먼트를 포함할 수 있다. 슬라이딩 링(520)의 하부 세그먼트는 정전 척(202)과의 RF 커플링을 제공한다. 슬라이딩 링(520)을 형성하는 2개 이상의 세그먼트들은 함께 본딩되거나 또는 중력에 의해 함께 홀딩될 수 있다. 하나 이상의 예들에서, 슬라이딩 링(520)의 상부 세그먼트(예컨대, 실리콘 카바이드)와 하부 세그먼트(예컨대, 알루미늄 또는 알루미늄 합금)는, 냉각 플레이트(204)와 RF 커플링될 수 있는 슬라이딩 링(520)을 형성하기 위해 확산 알루미늄 본딩에 의해 함께 본딩되거나 또는 이와 다르게 접합될 수 있다.
[0075] 도 6은 하나 이상의 실시예들에 따른, 조정가능 튜닝 링(250)과 작동 메커니즘(280) 사이에 배치된 전기 절연 지지 링(620)을 포함하는 프로세스 키트(600)의 확대된 부분 단면도를 도시한다. 각각의 작동 메커니즘들(280)은 리프트 핀(260)을 포함한다. 예컨대, 절연 지지 링(620)은 조정가능 튜닝 링(250)과 리프트 핀(260) 사이에 포지셔닝되거나 또는 이와 다르게 배치되고, 조정가능 튜닝 링(250) 및 리프트 핀(260)과 접촉한다. 일단 조정가능 튜닝 링(250)과 작동 메커니즘(280) 사이에 배치되면, 절연 지지 링(620)은, 절연 지지 링(620)이 사용되지 않고 대신에 리프트 핀(260)이 조정가능 튜닝 링(250)과 직접적으로 접촉 또는 연결되는 경우에 비해, 조정가능 튜닝 링(250)과 작동 메커니즘(280) 사이에서 형성되고 수집되는 미립자의 양을 감소시킨다.
[0076] 절연 지지 링(620)은 상부 표면(622) 및 하부 표면(624)을 갖는다. 하나 이상의 실시예들에서, 도 6에 도시된 바와 같이, 상부 표면(622) 및 하부 표면(624) 각각은 독립적으로, 하나 이상의 정렬 커플링들(632 및 634)을 포함한다. 정렬 커플링(632)은 상부 표면(622) 상에 배치되는 수형 커플링이고, 정렬 커플링(634)은 하부 표면(624) 상에 배치되는 암형 커플링이다. 대안적으로, 도시되지 않았지만, 정렬 커플링(632)은 암형 커플링일 수 있고, 정렬 커플링(634)은 수형 커플링일 수 있다. 도 6에 도시된 바와 같이, 정렬 커플링(257)(도시된 암형 커플링)은 조정가능 튜닝 링(250)의 하부 표면(256) 상에 있고 정렬 커플링(632)은 절연 지지 링(620)의 상부 표면(622) 상에 배치되며, 이들이 정합하여 정렬 커플링(257)과 정렬 커플링(632) 사이에 레시프로컬 또는 정합 프로파일을 형성한다. 다른 실시예에서, 도시되지 않았지만, 조정가능 튜닝 링(250)도 정렬 커플링을 갖지 않고 절연 지지 링(620)도 정렬 커플링을 갖지 않으며, 절연 지지 링(620)의 상부 표면(622)은 조정가능 튜닝 링(250)의 하부 표면(256)과 접촉한다.
[0077] 다른 실시예에서, 정렬 커플링(634)은 절연 지지 링(620)의 하부 표면(624) 내에 형성되는 1개, 2개, 3개, 4개 또는 그보다 많은 암형 커플링들, 이를테면, 슬롯들 또는 홀들이거나 이들을 포함할 수 있다. 암형 정렬 커플링들(634)은 리프트 핀(260)과 정합할 수 있다. 따라서, 일부 예들에서, 리프트 핀들(260)의 개수와 동일한 개수의 암형 정렬 커플링들(634)이 있다. 하나 이상의 예들에서, 절연 지지 링(620)은, 절연 지지 링(620)의 하부 표면(624)으로부터 절연 지지 링(620)의 상부 표면(622)을 향해 연장되는 슬롯들인 2개, 3개, 4개 또는 그보다 많은 정렬 커플링들(634)을 갖고, 각각의 슬롯은 각각의 슬롯 내에 배치된 리프트 핀(260)을 포함한다. 다른 실시예에서, 도시되지 않았지만, 절연 지지 링(620)은 정렬 커플링을 갖지 않아서, 절연 지지 링(620) 및 조정가능 튜닝 링(250)을 리프팅하거나 하강시킬 때 리프트 핀(260)이 절연 지지 링(620)의 하부 표면(624)에 직접적으로 접촉한다.
[0078] 절연 지지 링(620)은 하나 이상의 폴리머성 재료들을 함유하며, 그 하나 이상의 폴리머성 재료들은 하나 이상의 플루오르화 카본들, 플루오르화 하이드로카본들, 열경화성 가교 폴리스티렌 코폴리머들(예컨대, REXOLITE® 폴리머), 세라믹들, 또는 이들의 임의의 조합이거나 이들을 포함할 수 있다. 하나 이상의 예들에서, 절연 지지 링(620)은 폴리테트라플루오로에틸렌(PTFE) 재료를 함유한다.
[0079] 도 6이 제2 링 컴포넌트(230)의 하부 표면(231) 상의 수형 커플링인 상부 정렬 커플링 및 조정가능 튜닝 링(250)의 상부 표면(254) 상의 암형 커플링인 하부 정렬 커플링을 도시하지만, 하부 표면(231) 및 상부 표면(254) 각각은 독립적으로, 임의의 유형의 수형 또는 암형 커플링(도 2a-도 2j에 예시된 바와 같음)을 가질 수 있을 뿐만 아니라 커플링을 갖지 않을 수 있어서(도 1c 및 도 1d에 예시된 바와 같음), 제2 링 컴포넌트(230)의 하부 표면(231)과 조정가능 튜닝 링(250)의 상부 표면(254)은 커플링 없이 서로 접촉한다.
[0080] 도 7a 및 도 7b는 하나 이상의 실시예들에 따른, 작동 메커니즘들(280)을 위한 배치 위치들을 예시하는, 조정가능 튜닝 링(250)의 저면도들을 도시한다. 도 7a는 조정가능 튜닝 링(250)의 하부 표면(256) 상에 배치되는 3개의 포지션들(702)을 도시한다. 일 예에서, 이러한 포지션들(702)은, 리프트 핀들(260)과 같은 작동 메커니즘들(280)의 상부 단부들이 하부 표면(256)과 접촉하는 위치들에 있다. 3개의 포지션들(702)은, 조정가능 튜닝 링(250)의 중심으로부터 측정될 때, 대략 110° 내지 대략 130°, 대략 115° 내지 대략 125°, 또는 대략 118° 내지 대략 122°, 예컨대 대략 120°의 각도(α1)만큼 서로 분리된다.
[0081] 도 7b는 조정가능 튜닝 링(250)의 하부 표면(256) 상에 배치되는 4개의 포지션들(702)을 도시한다. 다른 예에서, 이러한 포지션들(702) 각각은, 리프트 핀(260)과 같은 작동 메커니즘들(280)의 상부 단부가 하부 표면(256)과 접촉하는 위치에 있다. 4개의 포지션들(702)은, 조정가능 튜닝 링(250)의 중심으로부터 측정될 때, 대략 80° 내지 대략 100°, 대략 85° 내지 대략 95°, 또는 대략 88° 내지 대략 92°, 예컨대 대략 90°의 각도(α2)만큼 서로 분리된다.
[0082] 도 8은 하나 이상의 실시예들에 따른, 작동 메커니즘들(280)의 적어도 일부를 포함하는 데 사용되는 정렬 커플링들(259)을 갖는 조정가능 튜닝 링(250)을 포함하는 프로세스 키트(800)의 확대된 부분 단면도를 도시한다. 정렬 커플링들(259)은, 조정가능 튜닝 링(250)의 하부 표면(256) 내에 형성되는 1개, 2개, 3개, 4개 또는 그보다 많은 암형 커플링들, 이를테면, 슬롯들 또는 홀들이거나 이들을 포함할 수 있다.
[0083] 도 8에 도시된 바와 같이, 암형 정렬 커플링들(259)은 리프트 핀(260)과 정합할 수 있다. 따라서, 일부 예들에서, 리프트 핀들(260)의 개수와 동일한 개수의 암형 정렬 커플링들(259)이 있다. 하나 이상의 예들에서, 조정가능 튜닝 링(250)은, 조정가능 튜닝 링(250)의 하부 표면(256)으로부터 조정가능 튜닝 링(250)의 상부 표면(254)을 향해 연장되는 슬롯들인 2개, 3개, 4개 또는 그보다 많은 정렬 커플링들(259)을 갖고, 각각의 슬롯은 각각의 슬롯 내에 배치된 리프트 핀(260)을 포함한다. 정렬 커플링들(259)은 하부 표면(256)으로부터 조정가능 튜닝 링(250) 내로 거리(D3)만큼 연장될 수 있다. 예컨대, 거리(D3)는 대략 1 mm, 대략 2 mm, 대략 3 mm, 또는 대략 4 mm 내지 대략 5 mm, 대략 7 mm, 대략 10 mm, 대략 12 mm, 또는 대략 15 mm일 수 있다.
[0084] 도 8이 제2 링 컴포넌트(230)의 하부 표면(231) 상의 암형 커플링인 상부 정렬 커플링 및 조정가능 튜닝 링(250)의 상부 표면(254) 상의 수형 커플링인 하부 정렬 커플링을 도시하지만, 하부 표면(231) 및 상부 표면(254) 각각은 독립적으로, 임의의 유형의 수형 또는 암형 커플링(도 2a-도 2j에 예시된 바와 같음)을 가질 수 있을 뿐만 아니라 커플링을 갖지 않을 수 있어서(도 1c 및 도 1d에 예시된 바와 같음), 제2 링 컴포넌트(230)의 하부 표면(231)과 조정가능 튜닝 링(250)의 상부 표면(254)은 커플링 없이 서로 접촉한다.
[0085] 도 9a 및 도 9b는 하나 이상의 실시예들에 따른, 도 8에서 예시되는 조정가능 튜닝 링(250)의 저면도들을 도시한다. 도 9a는, 조정가능 튜닝 링(250)에 형성되고 내부에 포인트들(902)을 포함하는 3개의 슬롯들 또는 암형 정렬 커플링들(259)을 도시한다. 일 예에서, 이러한 포인트들(902)은, 리프트 핀들(260)과 같은 작동 메커니즘들(280)이 암형 정렬 커플링들(259) 내로 삽입되거나 또는 이와 다르게 배치되는 위치들에 있다. 3개의 슬롯들 또는 암형 정렬 커플링들(259)은, 조정가능 튜닝 링(250)의 중심으로부터 측정될 때, 대략 110° 내지 대략 130°, 대략 115° 내지 대략 125°, 또는 대략 118° 내지 대략 122°, 예컨대 대략 120°의 각도(α3)만큼 서로 분리된다.
[0086] 도 9b는, 조정가능 튜닝 링(250)에 형성되고 내부에 포인트들(902)을 포함하는 4개의 슬롯들 또는 암형 정렬 커플링들(259)을 도시한다. 다른 예에서, 이러한 포인트들(902)은, 리프트 핀들(260)과 같은 작동 메커니즘들(280)이 암형 정렬 커플링들(259) 내로 삽입되거나 또는 이와 다르게 배치되는 위치들에 있다. 4개의 슬롯들 또는 암형 정렬 커플링들(259)은, 조정가능 튜닝 링(250)의 중심으로부터 측정될 때, 대략 80° 내지 대략 100°, 대략 85° 내지 대략 95°, 또는 대략 88° 내지 대략 92°, 예컨대 대략 90°의 각도(α4)만큼 서로 분리된다.
[0087] 본 개시내용의 실시예들은 추가로, 다음의 단락1 내지 단락26 중 임의의 하나 이상과 관련된다.
[0088] 1. 기판 프로세싱 챔버를 위한 프로세스 키트로서, 프로세스 키트는: 제1 링 컴포넌트 및 제2 링 컴포넌트를 갖는 에지 링 ― 제1 링 컴포넌트는, 제2 링 컴포넌트가 제1 링 컴포넌트에 대해 이동가능하여 제1 링 컴포넌트와 제2 링 컴포넌트 사이에 갭을 형성하도록, 제2 링 컴포넌트와 인터페이싱되고, 제2 링 컴포넌트는 상부 표면 및 하부 표면을 갖고, 제2 링 컴포넌트의 하부 표면은 상부 정렬 커플링을 포함함 ―; 에지 링 아래에 포지셔닝된 조정가능 튜닝 링 ― 조정가능 튜닝 링은 상부 표면 및 하부 표면을 갖고, 조정가능 튜닝 링의 상부 표면은 하부 정렬 커플링을 포함하고, 조정가능 튜닝 링의 상부 표면은 제2 링 컴포넌트의 하부 표면과 접촉하도록 구성되고, 그리고 조정가능 튜닝 링의 하부 정렬 커플링은 제2 링 컴포넌트의 상부 정렬 커플링과 정합하여 인터페이스를 형성하도록 구성됨 ―; 및 조정가능 튜닝 링의 하부 표면과 인터페이싱되는 작동 메커니즘을 포함하며, 작동 메커니즘은, 제1 링 컴포넌트와 제2 링 컴포넌트 사이의 갭이 변화되도록 조정가능 튜닝 링을 작동시키도록 구성된다.
[0089] 2. 프로세싱 챔버로서, 프로세싱 챔버는: 기판을 지지하도록 구성된 기판 지지 부재; 및 기판 지지 부재에 의해 지지되는 프로세스 키트를 포함하며, 프로세스 키트는: 제1 링 컴포넌트 및 제2 링 컴포넌트를 갖는 에지 링 ― 제1 링 컴포넌트는, 제2 링 컴포넌트가 제1 링 컴포넌트에 대해 이동가능하여 제1 링 컴포넌트와 제2 링 컴포넌트 사이에 갭을 형성하도록, 제2 링 컴포넌트와 인터페이싱되고, 제2 링 컴포넌트는 상부 표면 및 하부 표면을 갖고, 제2 링 컴포넌트의 하부 표면은 상부 정렬 커플링을 포함함 ―; 에지 링 아래에 포지셔닝된 조정가능 튜닝 링 ― 조정가능 튜닝 링은 상부 표면 및 하부 표면을 갖고, 조정가능 튜닝 링의 상부 표면은 하부 정렬 커플링을 포함하고, 조정가능 튜닝 링의 상부 표면은 제2 링 컴포넌트의 하부 표면과 접촉하도록 구성되고, 그리고 조정가능 튜닝 링의 하부 정렬 커플링은 제2 링 컴포넌트의 상부 정렬 커플링과 정합하여 인터페이스를 형성하도록 구성됨 ―; 및 조정가능 튜닝 링의 하부 표면과 인터페이싱되는 작동 메커니즘을 포함하며, 작동 메커니즘은, 제1 링 컴포넌트와 제2 링 컴포넌트 사이의 갭이 변화되도록 조정가능 튜닝 링을 작동시키도록 구성된다.
[0090] 3. 단락2의 프로세싱 챔버에 있어서, 기판 지지 부재는: 베이스; 베이스에 의해 지지되는 냉각 플레이트; 및 냉각 플레이트의 상부 표면 상에 포지셔닝되는 정전 척을 포함한다.
[0091] 4. 단락1 내지 단락3 중 어느 한 단락에 따른 프로세스 키트 또는 프로세싱 챔버에 있어서, 상부 정렬 커플링은 수형 커플링이고 그리고 하부 정렬 커플링은 암형 커플링이거나, 또는 상부 정렬 커플링은 암형 커플링이고 그리고 하부 정렬 커플링은 수형 커플링이다.
[0092] 5. 단락4의 프로세스 키트 또는 프로세싱 챔버에 있어서, 수형 커플링과 암형 커플링에 의해 형성되는 인터페이스는 정합 프로파일을 갖고, 그리고 정합 프로파일은, 더브테일, 스플라인, 핀형, 삼각형, 직사각형, 정사각형, 사다리꼴, 아크형, 및 라운드형으로 이루어진 그룹으로부터 선택된다.
[0093] 6. 단락1 내지 단락5 중 어느 한 단락에 따른 프로세스 키트 또는 프로세싱 챔버는, 조정가능 튜닝 링의 상부 표면과 제2 링 컴포넌트의 하부 표면 사이에 배치되고 그리고 조정가능 튜닝 링의 하부 정렬 커플링과 제2 링 컴포넌트의 상부 정렬 커플링 사이에 배치되는 갭을 더 포함한다.
[0094] 7. 단락6의 프로세스 키트 또는 프로세싱 챔버에 있어서, 작동 메커니즘은 하부 정렬 커플링과 상부 정렬 커플링 사이의 갭이 변화되도록 조정가능 튜닝 링을 작동시키도록 구성된다.
[0095] 8. 단락1 내지 단락7 중 어느 한 단락에 따른 프로세스 키트 또는 프로세싱 챔버는, 조정가능 튜닝 링과 작동 메커니즘 사이에 배치된 전기 절연 지지 링을 더 포함한다.
[0096] 9. 단락8의 프로세스 키트 또는 프로세싱 챔버에 있어서, 절연 지지 링은 폴리테트라플루오로에틸렌 재료를 포함한다.
[0097] 10. 단락8의 프로세스 키트 또는 프로세싱 챔버에 있어서, 작동 메커니즘은 리프트 핀을 포함하고, 그리고 절연 지지 링은 조정가능 튜닝 링과 리프트 핀 사이에 있고 그리고 조정가능 튜닝 링 및 리프트 핀과 접촉한다.
[0098] 11. 단락10의 프로세스 키트 또는 프로세싱 챔버에 있어서, 조정가능 튜닝 링의 하부 표면 상의 정렬 커플링과 절연 지지 링의 상부 표면 상의 정렬 커플링은 정합하여 조정가능 튜닝 링의 하부 표면 상의 정렬 커플링과 절연 지지 링의 상부 표면 상의 정렬 커플링 사이에 정합 프로파일을 형성한다.
[0099] 12. 단락8의 프로세스 키트 또는 프로세싱 챔버에 있어서, 절연 지지 링은 절연 지지 링의 하부 표면으로부터 절연 지지 링의 상부 표면을 향해 연장되는 3개 이상의 슬롯들을 포함하고, 그리고 각각의 슬롯은 각각의 슬롯 내에 배치된 리프트 핀을 포함한다.
[00100] 13. 단락1 내지 단락12 중 어느 한 단락에 따른 프로세스 키트 또는 프로세싱 챔버에 있어서, 조정가능 튜닝 링은 전기 전도성 재료를 포함한다.
[00101] 14. 단락13의 프로세스 키트 또는 프로세싱 챔버에 있어서, 전기 전도성 재료는 알루미늄 또는 알루미늄 합금을 포함한다.
[00102] 15. 단락1 내지 단락14 중 어느 한 단락에 따른 프로세스 키트 또는 프로세싱 챔버에 있어서, 제2 링 컴포넌트는 실리콘 카바이드를 포함한다.
[00103] 16. 단락1 내지 단락15 중 어느 한 단락에 따른 프로세스 키트 또는 프로세싱 챔버에 있어서, 제1 링 컴포넌트는 제1 링 컴포넌트에 형성된 계단형 표면을 포함하고, 그리고 제1 링 컴포넌트의 계단형 표면은 제2 링 컴포넌트의 하부 표면의 일부와 인터페이싱한다.
[00104] 17. 단락1 내지 단락16 중 어느 한 단락에 따른 프로세스 키트 또는 프로세싱 챔버에 있어서, 조정가능 튜닝 링은 조정가능 튜닝 링의 하부 표면으로부터 조정가능 튜닝 링의 상부 표면을 향해 연장되는 3개 이상의 슬롯들을 포함하고, 그리고 각각의 슬롯은 각각의 슬롯 내에 배치된 리프트 핀을 포함한다.
[00105] 18. 단락17의 프로세스 키트 또는 프로세싱 챔버에 있어서, 조정가능 튜닝 링은 조정가능 튜닝 링 둘레에 배치된 3개의 슬롯들을 포함하며, 그 3개의 슬롯들은 조정가능 튜닝 링의 중심으로부터 측정될 때 대략 110° 내지 대략 130°의 각도만큼 서로 분리된다.
[00106] 19. 단락17의 프로세스 키트 또는 프로세싱 챔버에 있어서, 조정가능 튜닝 링은 조정가능 튜닝 링 둘레에 배치된 4개의 슬롯들을 포함하며, 그 4개의 슬롯들은 조정가능 튜닝 링의 중심으로부터 측정될 때 대략 80° 내지 대략 100°의 각도만큼 서로 분리된다.
[00107] 20. 단락1 내지 단락19 중 어느 한 단락에 따른 프로세스 키트 또는 프로세싱 챔버에 있어서, 작동 메커니즘은 2개 이상의 리프트 핀들을 포함하고, 리프트 핀들 각각은 제1 단부 및 제2 단부를 갖고, 리프트 핀의 제1 단부는 조정가능 튜닝 링의 하부 표면과 접촉하고, 그리고 리프트 핀의 제2 단부는 리프트 메커니즘과 연통한다.
[00108] 21. 단락20의 프로세스 키트 또는 프로세싱 챔버에 있어서, 작동 메커니즘은 4개의 리프트 핀들을 포함하고, 리프트 핀들의 제1 단부들 각각은 조정가능 튜닝 링의 하부 표면 상의 포인트와 접촉하고, 하부 표면 상의 포인트들은 조정가능 튜닝 링의 중심으로부터 측정될 때 대략 80° 내지 대략 100°의 각도만큼 서로 분리된다.
[00109] 22. 단락20의 프로세스 키트 또는 프로세싱 챔버에 있어서, 리프트 메커니즘은 서보 드라이브를 포함한다.
[00110] 23. 기판을 프로세싱하기 위한 방법으로서, 방법은 단락1 내지 단락22 중 어느 한 단락에 따른 프로세스 키트 또는 프로세싱 챔버를 이용하여 수행된다.
[00111] 24. 기판을 프로세싱하기 위한 방법으로서, 방법은: 단락1 내지 단락22 중 어느 한 단락에 따른 프로세스 키트 또는 프로세싱 챔버 내에 배치된 기판 지지 부재 상에 기판을 포지셔닝하는 단계; 기판 위에 플라즈마를 형성하는 단계; 및 기판의 에지에서의 이온들의 방향을 변경하기 위해, 에지 링의 제2 링 컴포넌트와 인터페이싱되는 조정가능 튜닝 링을 작동시킴으로써 제2 링 컴포넌트의 높이를 조정하는 단계를 포함한다.
[00112] 25. 단락23 또는 단락24의 방법에 있어서, 갭은 조정가능 튜닝 링의 하부 정렬 커플링과 제2 링 컴포넌트의 상부 정렬 커플링 사이에 배치된다.
[00113] 26. 단락23 내지 단락25 중 어느 한 단락에 따른 방법은, 갭의 크기를 조정하고 그리고 조정가능 튜닝 링과 제2 링 컴포넌트 사이의 용량성 커플링을 변화시키기 위해 조정가능 튜닝 링을 작동시키는 단계를 더 포함한다.
[00114] 전술한 바가 특정 실시예들에 관한 것이지만, 다른 그리고 추가적인 실시예들이, 본 발명의 기본 범위를 벗어나지 않으면서 고안될 수 있고, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.
[00115] 소정의 실시예들 및 특징들은 수치 상한들의 세트 및 수치 하한들의 세트를 사용하여 설명되었다. 달리 표시되지 않는 한, 임의의 2개의 값들의 조합, 예컨대 임의의 하위 값과 임의의 상위 값의 조합, 임의의 2개의 하위 값들의 조합, 및/또는 임의의 2개의 상위 값들의 조합을 포함하는 범위들이 고려된다는 것이 인지되어야 한다. 아래의 하나 이상의 청구항들에서 소정의 하한들, 상한들 및 범위들이 나타난다.

Claims (15)

  1. 기판 프로세싱 챔버를 위한 프로세스 키트(process kit)로서,
    제1 링 컴포넌트 및 제2 링 컴포넌트를 갖는 에지 링 ― 상기 제1 링 컴포넌트는, 상기 제2 링 컴포넌트가 상기 제1 링 컴포넌트에 대해 이동가능하여 상기 제1 링 컴포넌트와 상기 제2 링 컴포넌트 사이에 갭을 형성하도록, 상기 제2 링 컴포넌트와 인터페이싱되고, 상기 제2 링 컴포넌트는 상부 표면 및 하부 표면을 갖고, 상기 제2 링 컴포넌트의 하부 표면은 상부 정렬 커플링을 포함함 ―;
    상기 에지 링 아래에 포지셔닝된 조정가능 튜닝 링 ― 상기 조정가능 튜닝 링은 상부 표면 및 하부 표면을 갖고, 상기 조정가능 튜닝 링의 상부 표면은 하부 정렬 커플링을 포함하고, 상기 조정가능 튜닝 링의 상부 표면은 상기 제2 링 컴포넌트의 하부 표면과 접촉하도록 구성되고, 그리고 상기 조정가능 튜닝 링의 하부 정렬 커플링은 상기 제2 링 컴포넌트의 상부 정렬 커플링과 정합(mate)하여 인터페이스를 형성하도록 구성됨 ―; 및
    상기 조정가능 튜닝 링의 하부 표면과 인터페이싱되는 작동 메커니즘을 포함하며,
    상기 작동 메커니즘은, 상기 제1 링 컴포넌트와 상기 제2 링 컴포넌트 사이의 갭이 변화되도록 상기 조정가능 튜닝 링을 작동시키도록 구성되는,
    기판 프로세싱 챔버를 위한 프로세스 키트.
  2. 제1 항에 있어서,
    상기 상부 정렬 커플링은 수형(male) 커플링이고 그리고 상기 하부 정렬 커플링은 암형(female) 커플링이거나, 또는 상기 상부 정렬 커플링은 암형 커플링이고 그리고 상기 하부 정렬 커플링은 수형 커플링이고; 그리고
    상기 수형 커플링과 상기 암형 커플링에 의해 형성되는 인터페이스는 정합 프로파일(mating profile)을 갖고, 그리고 상기 정합 프로파일은, 더브테일(dovetail), 스플라인(spline), 핀형(finned), 삼각형(triangular), 직사각형(rectangular), 정사각형(square), 사다리꼴(trapezoidal), 아크형(arced), 및 라운드형(rounded)으로 이루어진 그룹으로부터 선택되는,
    기판 프로세싱 챔버를 위한 프로세스 키트.
  3. 제1 항에 있어서,
    상기 조정가능 튜닝 링의 상부 표면과 상기 제2 링 컴포넌트의 하부 표면 사이에 배치되고 그리고 상기 조정가능 튜닝 링의 하부 정렬 커플링과 상기 제2 링 컴포넌트의 상부 정렬 커플링 사이에 배치되는 갭을 더 포함하고; 그리고
    상기 작동 메커니즘은 상기 하부 정렬 커플링과 상기 상부 정렬 커플링 사이의 갭이 변화되도록 상기 조정가능 튜닝 링을 작동시키도록 구성되는,
    기판 프로세싱 챔버를 위한 프로세스 키트.
  4. 제1 항에 있어서,
    상기 조정가능 튜닝 링과 상기 작동 메커니즘 사이에 배치된 전기 절연 지지 링을 더 포함하는,
    기판 프로세싱 챔버를 위한 프로세스 키트.
  5. 제4 항에 있어서,
    상기 작동 메커니즘은 리프트 핀을 포함하고, 그리고 상기 절연 지지 링은 상기 조정가능 튜닝 링과 상기 리프트 핀 사이에 있고 그리고 상기 조정가능 튜닝 링 및 상기 리프트 핀과 접촉하는,
    기판 프로세싱 챔버를 위한 프로세스 키트.
  6. 제5 항에 있어서,
    상기 조정가능 튜닝 링의 하부 표면 상의 정렬 커플링과 상기 절연 지지 링의 상부 표면 상의 정렬 커플링은 정합하여 상기 조정가능 튜닝 링의 하부 표면 상의 정렬 커플링과 상기 절연 지지 링의 상부 표면 상의 정렬 커플링 사이에 정합 프로파일을 형성하는,
    기판 프로세싱 챔버를 위한 프로세스 키트.
  7. 제4 항에 있어서,
    상기 절연 지지 링은 상기 절연 지지 링의 하부 표면으로부터 상기 절연 지지 링의 상부 표면을 향해 연장되는 3개 이상의 슬롯들을 포함하고, 그리고 각각의 슬롯은 상기 각각의 슬롯 내에 배치된 리프트 핀을 포함하는,
    기판 프로세싱 챔버를 위한 프로세스 키트.
  8. 제1 항에 있어서,
    상기 제1 링 컴포넌트는 상기 제1 링 컴포넌트에 형성된 계단형 표면(stepped surface)을 포함하고, 그리고 상기 제1 링 컴포넌트의 계단형 표면은 상기 제2 링 컴포넌트의 하부 표면의 일부와 인터페이싱하는,
    기판 프로세싱 챔버를 위한 프로세스 키트.
  9. 제1 항에 있어서,
    상기 조정가능 튜닝 링은 상기 조정가능 튜닝 링의 하부 표면으로부터 상기 조정가능 튜닝 링의 상부 표면을 향해 연장되는 3개 이상의 슬롯들을 포함하고, 그리고 각각의 슬롯은 상기 각각의 슬롯 내에 배치된 리프트 핀을 포함하는,
    기판 프로세싱 챔버를 위한 프로세스 키트.
  10. 제9 항에 있어서,
    상기 조정가능 튜닝 링은 상기 조정가능 튜닝 링 둘레에 배치된 3개의 슬롯들 ― 상기 3개의 슬롯들은 상기 조정가능 튜닝 링의 중심으로부터 측정될 때 대략 110° 내지 대략 130°의 각도만큼 서로 분리됨 ― 을 포함하거나; 또는
    상기 조정가능 튜닝 링은 상기 조정가능 튜닝 링 둘레에 배치된 4개의 슬롯들 ― 상기 4개의 슬롯들은 상기 조정가능 튜닝 링의 중심으로부터 측정될 때 대략 80° 내지 대략 100°의 각도만큼 서로 분리됨 ― 을 포함하는,
    기판 프로세싱 챔버를 위한 프로세스 키트.
  11. 제1 항에 있어서,
    상기 작동 메커니즘은 2개 이상의 리프트 핀들을 포함하고, 상기 리프트 핀들 각각은 제1 단부 및 제2 단부를 갖고, 상기 리프트 핀의 제1 단부는 상기 조정가능 튜닝 링의 하부 표면과 접촉하고, 그리고 상기 리프트 핀의 제2 단부는 리프트 메커니즘과 연통하거나; 또는
    상기 작동 메커니즘은 4개의 리프트 핀들을 포함하고, 상기 리프트 핀들의 제1 단부들 각각은 상기 조정가능 튜닝 링의 하부 표면 상의 포인트와 접촉하고, 상기 하부 표면 상의 포인트들은 상기 조정가능 튜닝 링의 중심으로부터 측정될 때 대략 80° 내지 대략 100°의 각도만큼 서로 분리되는,
    기판 프로세싱 챔버를 위한 프로세스 키트.
  12. 프로세싱 챔버로서,
    기판을 지지하도록 구성된 기판 지지 부재; 및
    상기 기판 지지 부재에 의해 지지되는 프로세스 키트를 포함하며,
    상기 프로세스 키트는,
    제1 링 컴포넌트 및 제2 링 컴포넌트를 갖는 에지 링 ― 상기 제1 링 컴포넌트는, 상기 제2 링 컴포넌트가 상기 제1 링 컴포넌트에 대해 이동가능하여 상기 제1 링 컴포넌트와 상기 제2 링 컴포넌트 사이에 갭을 형성하도록, 상기 제2 링 컴포넌트와 인터페이싱되고, 상기 제2 링 컴포넌트는 상부 표면 및 하부 표면을 갖고, 상기 제2 링 컴포넌트의 하부 표면은 상부 정렬 커플링을 포함함 ―;
    상기 에지 링 아래에 포지셔닝된 조정가능 튜닝 링 ― 상기 조정가능 튜닝 링은 상부 표면 및 하부 표면을 갖고, 상기 조정가능 튜닝 링의 상부 표면은 하부 정렬 커플링을 포함하고, 상기 조정가능 튜닝 링의 상부 표면은 상기 제2 링 컴포넌트의 하부 표면과 접촉하도록 구성되고, 그리고 상기 조정가능 튜닝 링의 하부 정렬 커플링은 상기 제2 링 컴포넌트의 상부 정렬 커플링과 정합하여 인터페이스를 형성하도록 구성됨 ―; 및
    상기 조정가능 튜닝 링의 하부 표면과 인터페이싱되는 작동 메커니즘을 포함하며,
    상기 작동 메커니즘은, 상기 제1 링 컴포넌트와 상기 제2 링 컴포넌트 사이의 갭이 변화되도록 상기 조정가능 튜닝 링을 작동시키도록 구성되는,
    프로세싱 챔버.
  13. 제12 항에 있어서,
    상기 기판 지지 부재는,
    베이스;
    상기 베이스에 의해 지지되는 냉각 플레이트; 및
    상기 냉각 플레이트의 상부 표면 상에 포지셔닝되는 정전 척을 포함하는,
    프로세싱 챔버.
  14. 기판을 프로세싱하기 위한 방법으로서,
    제12 항의 프로세싱 챔버 내에 배치된 상기 기판 지지 부재 상에 상기 기판을 포지셔닝하는 단계;
    상기 기판 위에 플라즈마를 형성하는 단계; 및
    상기 기판의 에지에서의 이온들의 방향을 변경하기 위해, 상기 에지 링의 제2 링 컴포넌트와 인터페이싱되는 상기 조정가능 튜닝 링을 작동시킴으로써 상기 제2 링 컴포넌트의 높이를 조정하는 단계를 포함하는,
    기판을 프로세싱하기 위한 방법.
  15. 제14 항에 있어서,
    상기 조정가능 튜닝 링의 하부 정렬 커플링과 상기 제2 링 컴포넌트의 상부 정렬 커플링 사이에 갭이 배치되고, 그리고
    상기 방법은,
    상기 갭의 크기를 조정하고 그리고 상기 조정가능 튜닝 링과 상기 제2 링 컴포넌트 사이의 용량성 커플링을 변화시키기 위해 상기 조정가능 튜닝 링을 작동시키는 단계를 더 포함하는,
    기판을 프로세싱하기 위한 방법.
KR1020190062161A 2018-05-28 2019-05-27 에지 균일성 제어를 위한 조정가능 튜닝 링을 갖는 프로세스 키트 KR20190135426A (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
IN201841019829 2018-05-28
IN201841019829 2018-05-28
US16/131,909 US10790123B2 (en) 2018-05-28 2018-09-14 Process kit with adjustable tuning ring for edge uniformity control
US16/131,909 2018-09-14
US16/131,903 US10600623B2 (en) 2018-05-28 2018-09-14 Process kit with adjustable tuning ring for edge uniformity control
US16/131,903 2018-09-14
US16/131,893 2018-09-14
US16/131,893 US11201037B2 (en) 2018-05-28 2018-09-14 Process kit with adjustable tuning ring for edge uniformity control

Publications (1)

Publication Number Publication Date
KR20190135426A true KR20190135426A (ko) 2019-12-06

Family

ID=68613513

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020190062161A KR20190135426A (ko) 2018-05-28 2019-05-27 에지 균일성 제어를 위한 조정가능 튜닝 링을 갖는 프로세스 키트
KR1020190062171A KR20190135429A (ko) 2018-05-28 2019-05-27 에지 균일성 제어를 위한 조정가능 튜닝 링을 갖는 프로세스 키트
KR1020190062168A KR20190135428A (ko) 2018-05-28 2019-05-27 에지 균일성 제어를 위한 조정가능 튜닝 링을 갖는 프로세스 키트

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020190062171A KR20190135429A (ko) 2018-05-28 2019-05-27 에지 균일성 제어를 위한 조정가능 튜닝 링을 갖는 프로세스 키트
KR1020190062168A KR20190135428A (ko) 2018-05-28 2019-05-27 에지 균일성 제어를 위한 조정가능 튜닝 링을 갖는 프로세스 키트

Country Status (5)

Country Link
US (4) US10790123B2 (ko)
JP (3) JP2019208023A (ko)
KR (3) KR20190135426A (ko)
CN (6) CN210123716U (ko)
TW (6) TWM586869U (ko)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
KR102630261B1 (ko) 2014-10-17 2024-01-29 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
JP6940495B2 (ja) 2015-10-30 2021-09-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 所望のゼータ電位を有する研磨用物品を形成するための装置及び方法
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. ABRASIVE DISTRIBUTION POLISHING PADS AND METHODS OF MAKING SAME
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US10903050B2 (en) * 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
US11328893B2 (en) * 2018-12-13 2022-05-10 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Plasma processing system
CN112992631B (zh) * 2019-12-16 2023-09-29 中微半导体设备(上海)股份有限公司 一种下电极组件,其安装方法及等离子体处理装置
JP2023516076A (ja) * 2020-03-04 2023-04-17 ラム リサーチ コーポレーション 調整可能なエッジシースシステムでの反射電力最小化
TW202213428A (zh) * 2020-06-05 2022-04-01 日商東京威力科創股份有限公司 電漿處理裝置
US11492697B2 (en) * 2020-06-22 2022-11-08 Applied Materials, Inc. Apparatus for improved anode-cathode ratio for rf chambers
JP2023546350A (ja) * 2020-10-05 2023-11-02 ラム リサーチ コーポレーション プラズマ処理システム用の可動エッジリング
CN112397366B (zh) * 2020-11-05 2023-07-14 北京北方华创微电子装备有限公司 一种承载装置及半导体反应腔室
KR20230027251A (ko) * 2020-11-19 2023-02-27 어플라이드 머티어리얼스, 인코포레이티드 기판 극단 에지 보호를 위한 링
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ
CN113097038B (zh) * 2021-02-25 2022-07-15 长江存储科技有限责任公司 刻蚀装置
US20220275500A1 (en) * 2021-02-26 2022-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structures and methods for processing a semiconductor substrate
US20220293397A1 (en) * 2021-03-10 2022-09-15 Applied Materials, Inc. Substrate edge ring that extends process environment beyond substrate diameter
WO2022225808A1 (en) * 2021-04-19 2022-10-27 Lam Research Corporation Shadow ring alignment for substrate support
US11721569B2 (en) 2021-06-18 2023-08-08 Applied Materials, Inc. Method and apparatus for determining a position of a ring within a process kit
KR102572318B1 (ko) * 2021-07-06 2023-08-29 (주)아이씨디 플라즈마 처리 장치
EP4148774A1 (en) * 2021-09-08 2023-03-15 Mattson Technology, Inc. Conductive member for cleaning focus ring of a plasma processing apparatus
WO2023224855A1 (en) * 2022-05-17 2023-11-23 Lam Research Corporation Self-centering edge ring
WO2024005850A1 (en) * 2022-07-01 2024-01-04 Lam Research Corporation Moveable edge rings for plasma processing systems
WO2024064049A1 (en) * 2022-09-23 2024-03-28 Lam Research Corporation Bellows seal for low thru-force actuation of temperature probe across vacuum interface

Family Cites Families (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100188454B1 (ko) 1991-05-28 1999-06-01 이노우에 아키라 기판 처리 장치
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5762714A (en) * 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5851140A (en) 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
JP3020898B2 (ja) 1997-07-22 2000-03-15 株式会社エイ・ティ・アール人間情報通信研究所 アフィンカメラ補正による三次元位置の線形推定方法
US6511543B1 (en) 1997-12-23 2003-01-28 Unaxis Balzers Aktiengesellschaft Holding device
US6773562B1 (en) 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
JP2000049144A (ja) 1998-07-28 2000-02-18 Hitachi Chem Co Ltd プラズマ処理装置用電極板
JP3234576B2 (ja) 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6709547B1 (en) 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6375748B1 (en) * 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
US6521292B1 (en) * 2000-08-04 2003-02-18 Applied Materials, Inc. Substrate support including purge ring having inner edge aligned to wafer edge
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
JP4676074B2 (ja) * 2001-02-15 2011-04-27 東京エレクトロン株式会社 フォーカスリング及びプラズマ処理装置
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
TW200520632A (en) 2003-09-05 2005-06-16 Tokyo Electron Ltd Focus ring and plasma processing apparatus
KR100578129B1 (ko) 2003-09-19 2006-05-10 삼성전자주식회사 플라즈마 식각 장치
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7338578B2 (en) 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
US20050189068A1 (en) 2004-02-27 2005-09-01 Kawasaki Microelectronics, Inc. Plasma processing apparatus and method of plasma processing
US20050263070A1 (en) 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
JP4006004B2 (ja) 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
KR20060117537A (ko) 2005-05-11 2006-11-17 삼성전자주식회사 리프트 핀 높이 정렬용 지그 및 이를 이용한 리프트 핀높이 정렬 방법
US7736528B2 (en) 2005-10-12 2010-06-15 Panasonic Corporation Plasma processing apparatus and plasma processing method
US7846257B2 (en) 2005-12-14 2010-12-07 Tokyo Electron Limited Method for cleaning substrate processing apparatus, substrate processing apparatus, program and recording medium having program recorded therein
US20090041568A1 (en) 2006-01-31 2009-02-12 Tokyo Electron Limited Substrate processing apparatus, substrate placing table used for same, and member exposed to plasma
US7572737B1 (en) 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
JP2008078208A (ja) 2006-09-19 2008-04-03 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
US20080066868A1 (en) 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
JP5317424B2 (ja) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US20080289766A1 (en) 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
KR100963297B1 (ko) 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
KR102134276B1 (ko) 2008-04-16 2020-07-15 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 프로세싱 증착 차폐 컴포넌트들
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US20100101729A1 (en) 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
JP5406067B2 (ja) 2009-02-16 2014-02-05 キヤノンアネルバ株式会社 トレイ及び真空処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US20110011534A1 (en) 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
JP5650935B2 (ja) 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
SG178287A1 (en) 2009-08-31 2012-03-29 Lam Res Corp A local plasma confinement and pressure control arrangement and methods thereof
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
JP5654297B2 (ja) 2010-09-14 2015-01-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
JP5690596B2 (ja) 2011-01-07 2015-03-25 東京エレクトロン株式会社 フォーカスリング及び該フォーカスリングを備える基板処理装置
JP6001529B2 (ja) * 2011-03-29 2016-10-05 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
JP6046128B2 (ja) 2011-05-31 2016-12-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマ(icp)リアクタ用動的イオンラジカルシーブ及びイオンラジカルアパーチャ
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
KR101267459B1 (ko) 2011-09-08 2013-05-31 한국과학기술연구원 플라즈마 이온주입 장치 및 방법
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9783889B2 (en) * 2012-03-26 2017-10-10 Applied Materials, Inc. Apparatus for variable substrate temperature control
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US10099245B2 (en) * 2013-03-14 2018-10-16 Applied Materials, Inc. Process kit for deposition and etching
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9123661B2 (en) 2013-08-07 2015-09-01 Lam Research Corporation Silicon containing confinement ring for plasma processing apparatus and method of forming thereof
WO2015031023A1 (en) 2013-08-30 2015-03-05 Applied Materials, Inc. Substrate support system
JP2015050156A (ja) 2013-09-04 2015-03-16 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US9410249B2 (en) * 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
JP6345030B2 (ja) 2014-08-11 2018-06-20 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
CN105789010B (zh) 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US20170263478A1 (en) * 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
JP6456177B2 (ja) 2015-02-12 2019-01-23 株式会社ディスコ ウェーハ処理システム
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
CN108140606B (zh) * 2015-10-21 2022-05-24 住友大阪水泥股份有限公司 静电卡盘装置
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9881820B2 (en) * 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10985078B2 (en) 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
WO2017131927A1 (en) * 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) * 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
KR102604063B1 (ko) * 2016-08-18 2023-11-21 삼성전자주식회사 정전 척 어셈블리 및 이를 포함하는 기판 처리 장치
US10921251B2 (en) 2016-08-22 2021-02-16 Applied Materials, Inc. Chamber component part wear indicator and a system for detecting part wear
US20180061696A1 (en) 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
JP2018054500A (ja) 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
US10510516B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Moving focus ring for plasma etcher
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6869034B2 (ja) 2017-01-17 2021-05-12 東京エレクトロン株式会社 プラズマ処理装置
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US11404249B2 (en) * 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
JP6656200B2 (ja) 2017-04-12 2020-03-04 東京エレクトロン株式会社 位置検出システム及び処理装置
TW202341281A (zh) 2017-04-26 2023-10-16 日商東京威力科創股份有限公司 電漿處理裝置
KR101927936B1 (ko) * 2017-06-09 2018-12-11 세메스 주식회사 기판 처리 장치
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
SG11202005150YA (en) 2017-12-01 2020-06-29 Applied Materials Inc Highly etch selective amorphous carbon film
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP6995008B2 (ja) 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch

Also Published As

Publication number Publication date
JP2019208023A (ja) 2019-12-05
KR20190135428A (ko) 2019-12-06
CN110544610A (zh) 2019-12-06
CN110544611A (zh) 2019-12-06
JP2019208024A (ja) 2019-12-05
TW202004985A (zh) 2020-01-16
TW202004837A (zh) 2020-01-16
US20190363003A1 (en) 2019-11-28
US20190362949A1 (en) 2019-11-28
TWM586869U (zh) 2019-11-21
KR20190135429A (ko) 2019-12-06
US11728143B2 (en) 2023-08-15
US20210013014A1 (en) 2021-01-14
TW202004956A (zh) 2020-01-16
TWM592160U (zh) 2020-03-11
US10790123B2 (en) 2020-09-29
CN210120110U (zh) 2020-02-28
TWM592162U (zh) 2020-03-11
US20190362948A1 (en) 2019-11-28
CN210123715U (zh) 2020-03-03
CN110544609A (zh) 2019-12-06
US11201037B2 (en) 2021-12-14
JP2019208025A (ja) 2019-12-05
US10600623B2 (en) 2020-03-24
CN210123716U (zh) 2020-03-03

Similar Documents

Publication Publication Date Title
US11728143B2 (en) Process kit with adjustable tuning ring for edge uniformity control
KR102498895B1 (ko) 에지 균일성 제어를 위한 조정가능한 연장되는 전극
KR200497481Y1 (ko) 에지 균일성 제어를 위한 조정가능한 연장되는 전극
KR200479295Y1 (ko) 플라즈마 처리 챔버의 가동 기판 지지 어셈블리를 위한 소모성 격리 링
KR101857284B1 (ko) 플라즈마 프로세싱 챔버를 위한 가동 접지 링
US20190088518A1 (en) Substrate support with cooled and conducting pins
US11929278B2 (en) Low impedance current path for edge non-uniformity tuning