TW202004956A - 具有用於邊緣均勻性控制的可調整調節環的製程配件 - Google Patents

具有用於邊緣均勻性控制的可調整調節環的製程配件 Download PDF

Info

Publication number
TW202004956A
TW202004956A TW108118385A TW108118385A TW202004956A TW 202004956 A TW202004956 A TW 202004956A TW 108118385 A TW108118385 A TW 108118385A TW 108118385 A TW108118385 A TW 108118385A TW 202004956 A TW202004956 A TW 202004956A
Authority
TW
Taiwan
Prior art keywords
ring
adjustable adjustment
ring member
coupling
adjustment ring
Prior art date
Application number
TW108118385A
Other languages
English (en)
Inventor
尤甘南達 薩羅德比許瓦那
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202004956A publication Critical patent/TW202004956A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/21Means for adjusting the focus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

提供了用於處理基板的製程配件、處理腔室和方法。該製程配件包括邊緣環、可調整調節環、以及致動機構。該邊緣環具有第一環部件,該第一環部件與相對於該第一環部件可移動的第二環部件相接,在該第一環部件和該第二環部件之間形成間隙。該第二環部件的下表面包含上部對準耦合件,且該可調整調節環的上表面包含下部對準耦合件。該可調整調節環的該下部對準耦合件被配置成與該第二環部件的該上部對準耦合件相配合,以形成介面。該致動機構與該可調整調節環的下表面相接。該致動機構被配置為致動該可調整調節環,使得該第一環部件與該第二環部件之間的間隙改變。

Description

具有用於邊緣均勻性控制的可調整調節環的製程配件
本文所描述的實施例總的來說涉及基板處理裝置,並且更具體地涉及用於基板處理裝置的改進的製程配件。
隨著半導體技術節點伴隨減小大小的元件幾何形狀、基板邊緣臨界尺寸而進步,均勻性要求變得更為嚴苛並影響管芯良率。商用電漿反應器包括多個可調旋鈕以用於控制跨基板的製程均勻性,諸如例如溫度、氣流、RF功率等。通常,在蝕刻製程中,矽基板在被靜電夾持在靜電卡盤上的同時被蝕刻。
在處理期間,安放在基板支撐件上的基板可通常在連續的或交替的製程中經受將材料沉積於基板上和將材料的部分從基板移除或蝕刻的製程。跨基板的表面具有均勻的沉積和蝕刻速率通常是有益的。然而,跨基板的表面往往存在製程非均勻性,並且製程非均勻性在基板的周邊或邊緣處可能是顯著的。在周邊處的這些非均勻性可以歸因於電場終止影響並且通常被稱作邊緣效應。在沉積或蝕刻期間,提供本文所討論和所述的製程配件來有利地影響基板周邊或邊緣處的均勻性。電漿鞘可根據邊緣環的幾何形狀而在基板邊緣處彎曲,並因此離子在垂直於電漿鞘的方向上加速。離子可因電漿鞘中的彎曲而聚焦在基板邊緣處或在基板邊緣處被偏轉。
因此,存在對用於基板處理裝置的改進的製程配件的持續的需求。
本文描述的實施例總的來說涉及基板處理裝置。更為具體地,提供了用於處理基板的製程配件、處理腔室和方法。在一個或多個實施例中,用於基板處理腔室的製程配件包括邊緣環、可調整調節環、以及致動機構。邊緣環具有第一環部件以及第二環部件。所述第一環部件與第二環部件相接(interfaced),使得所述第二環部件相對於所述第一環部件是可移動的,在所述第一環部件和所述第二環部件之間形成間隙。所述第二環部件具有上表面以及下表面,使得所述第二環部件的下表面包含上部對準耦合件。所述可調整調節環定位在所述邊緣環下方且具有上表面和下表面。所述可調整調節環的上表面包含下部對準耦合件。所述可調整調節環的上表面被配置為接觸所述第二環部件的下表面,並且所述可調整調節環的所述下部對準耦合件被配置為與所述第二環部件的所述上部對準耦合件相配合以形成介面。所述致動機構與所述可調整調節環的下表面相接。所述致動機構被配置為致動所述可調整調節環,使得所述第一環部件與所述第二環部件之間的間隙改變。
在一些示例中,上部對準耦合件是陽型耦合件,且下部對準耦合件是陰型耦合件。替代地,在其他的示例中,所述上部對準耦合件是陰型耦合件,且所述下部對準耦合件是陽型耦合件。由所述陽型耦合件和所述陰型耦合件形成的所述介面具有配合輪廓,所述配合輪廓具有燕尾、花鍵、帶鰭片、三角形、長方形、正方形、梯形、弧形或圓形的幾何形狀。
在其他的實施例中,處理腔室可包括:基板支撐構件,所述基板支撐構件被配置為支撐基板;和由所述基板支撐構件支撐的所述製程配件。所述基板支撐構件可包括基部、由所述基部支撐的冷卻板、和/或定位在所述冷卻板的上表面上的靜電卡盤。
在一些實施例中,用於處理基板的方法可包括:將所述基板定位在設置於如上所述的具有所述製程配件的處理腔室中的所述基板支撐構件上。所述方法進一步包括:在所述基板上方形成電漿,以及通過致動與所述部件相接的所述可調整調節環來調整所述邊緣環的所述第二環部件的高度從而改變所述基板的邊緣處的離子的方向。間隙設置在所述可調整調節環的下部對準耦合件與所述第二環的上部對準耦合件之間。方法還包括:通過移動所述第二環部件來調整所述間隙的大小,從而改變所述可調整調節環與所述第二環部件之間的電容耦合。
圖1A是根據一個實施例的具有可調整調節環的處理腔室100的截面圖。如所示,處理腔室100是適合於蝕刻基板(諸如基板150)的蝕刻腔室。可適於從本公開文本受益的處理腔室的示例為可從位於加利福尼亞州聖克拉拉市的應用材料公司(Applied Materials, Inc.)購得的Sym3® 處理腔室、C3® 處理腔室和Mesa 處理腔室。構想到其他處理腔室(包括沉積腔室和來自其他製造商的那些處理腔室)可適於從本公開文本受益。
處理腔室100包括腔室主體101和設置於腔室主體101上的蓋103,腔室主體101以及蓋103一起限定內部容積130。腔室主體101通常耦合到電接地107。基板支撐構件180(例如,基板支撐元件)設置在內部容積130內,以在處理期間在基板支撐構件180上支撐基板150。處理腔室100還包括:電感耦合電漿裝置102,電感耦合電漿裝置102用於在處理腔室100內產生電漿;和控制器155,所述控制器155被適配為控制處理腔室100的示例。
基板支撐構件180包括一個或多個電極153,所述一個或多個電極153通過匹配網路120耦合至偏壓源119以在處理期間促進基板150的偏壓。說明性地,偏壓源119可以是在例如大約13.56 MHz的頻率下的高達約1000W(但是不限於約1000W)的RF能量的源,儘管可以針對特定的應用按期望提供其他的頻率和功率。偏壓源119可以能夠產生連續功率或脈衝功率或者連續功率和脈衝功率這兩者。在一些示例中,偏壓源119可以是DC或脈衝DC源。在一些示例中,偏壓源119可以能夠提供多種頻率。一個或多個電極153可以耦合到卡緊電源160以在處理期間促進對基板150的卡緊。
電感耦合電漿裝置102設置在蓋103上方並且被配置為將RF功率電感耦合到腔室100中以於處理腔室100內產生電漿。電感耦合電漿裝置102包括設置於蓋103上方的第一和第二線圈110、112。每個線圈110、112的相對位置、直徑比和/或每個線圈110、112中的匝數可各自按期望調整以控制正被形成的電漿的分佈或密度。第一和第二線圈110、112中的每一個經由RF饋送結構106並通過匹配網路114耦合到RF電源108。說明性地,RF電源108可以能夠在從50 kHz至13.56 MHz的範圍內的可調頻率下產生高達約4000W(但是不限於約4000W),儘管可針對特定的應用按期望利用其他的頻率和功率。在一些示例中,功率分配器105(諸如分配電容器)可以提供在RF饋送結構106與RF電源108之間,以控制被提供到相應的第一和第二線圈的RF功率的相對量。在一些示例中,功率分配器105可以被併入匹配網路114。
加熱器元件113可以被設置在蓋103的頂部,以促進加熱處理腔室100的內部。加熱器元件113可以被設置在蓋103與第一和第二線圈110、112之間。在一些示例中,加熱器元件113可以包括電阻式加熱元件,並且可以耦合至電源115(諸如AC電源),所述電源115被配置為提供足以將加熱器元件113的溫度控制在所期望範圍內的能量。
在操作期間,基板150(諸如半導體晶圓或適合於電漿處理的其他基板)被放置在基板支撐構件180上,並且製程氣體從氣體面板116通過進入口117供應至腔室主體101的內部容積130中。通過將來自RF電源108的功率施加到第一和第二線圈110、112而在處理腔室100中將製程氣體點燃成電漿118。在一些示例中,來自偏壓源119(諸如RF源或者DC源)的功率也可以通過匹配網路120被提供到基板支撐構件180內的電極153。處理腔室100的內部內的壓力可以使用閥121和真空泵122來控制。腔室主體101的溫度可以使用穿過腔室主體101的含有液體的導管(未示出)來控制。
處理腔室100可以用於各種電漿製程。在一個實施例中,處理腔室100可用於用一種或多種蝕刻劑來執行乾法蝕刻。例如,處理腔室100可以用於從一種或多種前驅物或製程氣體(諸如一種或多種氟碳化合物(例如CF4 或C2 F6 )、O2 、NF3 、N2 、Ar、He或它們的組合)點燃電漿。
處理腔室100包括控制器155以控制在處理期間的處理腔室100的操作。控制器155可包括中央處理單元(CPU)123、記憶體124、和用於CPU 123的支援電路125,並促進對處理腔室100的部件的控制。控制器155可以是可在工業環境中使用以用於控制各種腔室和子處理器的通用電腦處理器的任何形式中的一種。記憶體124存儲可被執行或調用從而以本文所述的方式來控制處理腔室100的操作的軟體(原始程式碼或目標代碼)。
為了促進對處理腔室100的控制,CPU 123可以是可在工業環境中使用以用於控制各種腔室和子處理器的通用電腦處理器的任何形式中的一種,諸如可程式設計邏輯控制器(PLC)。記憶體124耦合到CPU 123,並且記憶體124是非瞬態的且可以是容易獲得的記憶體中的一種或多種,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟機、硬碟或任何其他形式的數位存儲(本端的或遠端的)。支援電路125耦合至CPU 123以用於以常規方式支援處理器。帶電荷物質產生、加熱、和其他製程通常典型地作為軟體常式存儲在記憶體124中。軟體常式還可以由定位在CPU 123正控制的處理腔室100遠端的第二CPU(未示出)存儲和/或執行。
記憶體124是以包含指令的電腦可讀存儲介質的形式,所述指令在被CPU 123執行時促進處理腔室100的操作。記憶體124中的指令是以諸如實現本公開文本的方法的程式之類的程式產品的形式。程式碼可以符合數種不同的程式設計語言中的任何一種。在一個示例中,本公開文本可以被實現作為存儲在與電腦系統一起使用的電腦可讀存儲介質上的程式產品。程式產品的(一個或多個)程式限定實施例的功能(包括本文所述的方法)。說明性電腦可讀存儲介質包括但不限於:(i)在其上永久存儲資訊的不可寫存儲介質(例如,電腦內的唯讀記憶體設備,諸如CD-ROM驅動器可讀取的CD-ROM盤、快閃記憶體、ROM晶圓或任何類型的固態非易失性半導體記憶體);和(ii)在其上存儲可變資訊的可寫存儲介質(例如,磁碟機內的軟碟或硬碟驅動器或任何類型的固態隨機存取半導體記憶體)。這樣的電腦可讀存儲介質在攜載引導本文所述方法的功能的電腦可讀指令時是本公開文本的實施例。
處理腔室100還包括設置在內部容積130中(諸如在基板支撐構件180上)的製程配件200,如圖1A中所描繪。製程配件200和其他製程配件的各種實施例在下文描述。製程配件200在基板150的處理操作期間(諸如在電漿製程期間)被使用。圖1B和圖1C描繪了包括處理腔室100中的基板支撐構件180的製程配件200的經放大的部分截面圖。
基板支撐構件180包括靜電卡盤(ESC)202、冷卻板(或陰極)204、基部206、和陰極堆疊212。冷卻板204設置在基部206上。冷卻板204可以包括用於將冷卻劑迴圈通過其中的多個冷卻通道(未示出)。冷卻板204可以通過黏合劑或其他合適機制來與靜電卡盤202接合或黏接。一個或多個電源208可耦合至冷卻板204。電源可以是或包括用於射頻(RF)、交流電(AC)、和/或直流電(DC)的源和/或饋送。靜電卡盤202可以包括一個或多個加熱器(未示出)。一個或多個加熱器可以是獨立可控制的。一個或多個加熱器使得靜電卡盤202能夠將基板150加熱到所期望的溫度。
製程配件200包括邊緣環210,邊緣環210包含形成環形主體的第一環部件220和第二環部件230。第一環部件220和第二環部件230可獨立地由一種或多種電絕緣材料製成或者包括一種或多種電絕緣材料,諸如碳化矽、氧化矽、石英或它們的任何組合。兩個環部件220、230彼此相接,使得第二環部件230相對於第一環部件220是可移動的。
如圖1C中所示,第一環部件220包括上表面218、下表面219、內邊緣222、和外邊緣224。上表面218基本上平行於下表面219。內邊緣222基本上平行於外邊緣224,並且基本上垂直於下表面219。第一環部件220進一步包括階梯狀表面226,階梯狀表面226限定在所述第一環部件220中。在所示的實施例中,階梯狀表面226形成在外邊緣224中,使得階梯狀表面226基本上平行於下表面219。階梯狀表面226限定用於接收第二環部件230的凹槽。通常,第一環部件220的高度被靜電卡盤202的高度限制。例如,第一環部件220的內邊緣222不延伸超過靜電卡盤202的高度。如此,第一環部件220保護靜電卡盤202的側部。在一些實施例中,基板150在被定位於靜電卡盤202上時延伸到部分超過第一環部件220,諸如在上表面218的上方。
第二環部件230包括上表面228、下表面231、內邊緣232、和外邊緣234。上表面228基本上平行於下表面231。內邊緣232基本上平行於外邊緣234,並且基本上垂直於下表面231。在一個實施例中,第二環部件230經由下表面231與第一環部件220相接。例如,第一環部件220的階梯狀表面226與第二環部件230的下表面231的至少一部分相接。當與第一環部件220相接時,第二環部件230的內邊緣232與基板150間隔開。例如,第二環部件230的內邊緣232可以與基板150間隔開約0.02mm與約0.1mm之間。
在其他實施例中,當相接時,第一環部件220和第二環部件230形成連續的下表面和連續的上表面,如圖1C中所描繪。在另一個實施例中,當不相接時,第一環部件220和第二環部件230不形成連續的下表面或連續的上表面,如圖1D中所描繪。相反,在一些實施例中,第一環部件220的上表面218可以比第二環部件230的上表面228更高。在其他實施例中,第二環部件230的下表面231可以位於第一環部件220的下表面219下方。由此,在一些實施例中,第一環部件220和第二環部件230不形成連續的頂表面或下表面。
製程配件200進一步包括具有上表面254和下表面256的可調整調節環250。可調整調節環250可以由一種或多種導電材料形成或以其他方式包括一種或多種導電材料。例如,導電材料可以是或包括鋁或一種或多種鋁合金。所述可調整調節環250設置在邊緣環210的下方。例如,可調整調節環250設置在第二環部件230的下方。可調整調節環250接觸第二環部件230的下表面231。在一個實施例中,可調整調節環250向下延伸靜電卡盤202和冷卻板204的長度,從而使得可調整調節環250具有與靜電卡盤202和冷卻板204的組合高度基本上相等的高度。如此,可調整調節環250能夠將來自冷卻板204的功率耦合到邊緣環210。
可調整調節環250可以圍繞冷卻板204,從而在可調整調節環250與冷卻板204之間形成橫向間隔開的間隙258。在一個示例中,橫向間隔開的間隙258具有大於0英寸且小於或等於0.03英寸的寬度。在其他示例中,橫向間隔開的間隙258的寬度是約0.005英寸、約0.007英寸、或約0.009英寸到約0.0010英寸、約0.0013英寸、約0.0015英寸、或約0.0019英寸。例如,橫向間隔開的間隙258具有約0.007英寸至約0.0015英寸的寬度。可調整調節環250與升降桿260相接。例如,升降桿260可以與可調整調節環250可操作耦合。
在一個或多個實施例中,基板150邊緣處的電漿鞘201可通過調節經由可調整調節環250(可調整調節環250設置在第二環部件230下方並以橫向間隔開的間隙258在冷卻板204旁邊)耦合到第二環部件230的功率來調整,並且另外的RF功率通過形成與冷卻板204的電容耦合被遞送到可調整調節環250。
升降桿260由升降機(lift)或致動機構280驅動。致動機構280可包括一個或多個升降機構282、一個或多個密封的波紋管284、一個或多個致動器、一個或多個控制器、和其他部件。升降機構282可以是或包括一個或多個伺服驅動機、伺服電機、電動機、齒輪、或它們的組合。在一個或多個配置中,致動機構280包括伺服驅動機和致動器組件,所述伺服驅動機和致動器組件安裝在處理腔室100外部或處理腔室100的大氣側並使用波紋管連接到致動器或升降機構282以密封內部容積130內的真空。
在一個或多個實施例中,致動機構280包括兩個、三個、四個或更多個升降桿260,升降桿260中的每一個具有第一端和第二端,升降桿260的第一端接觸可調整調節環250的下表面256,且升降桿260的第二端與升降機構282連通。致動機構280允許可調整調節環250在處理腔室100內垂直移動。作為調節環250垂直移動的結果,致動機構280使第二環部件230上升、下降或以其他方式移動第二環部件230。
如圖1D中所描繪,第二環部件230可以上升到第一環部件220的上方,從而在第一環部件220的階梯狀表面226與第二環部件230的下表面231之間形成間隙237。間隙237可以是從約0 mm、約1 mm、約2 mm、或約3 mm至約5 mm、約7 mm、約10 mm、或約12 mm。致動機構280與可調整調節環250的下表面256相接,致動機構280被配置為致動可調整調節環250以使得第一環部件220與第二環部件230之間的間隙237改變。
在一個實施例中,可調整調節環250可以包括塗層,所述塗層被形成在可調整調節環250的上表面254上或以其他方式被設置於可調整調節環250的上表面254上。例如,塗層可以是或包括氧化釔塗層或凝膠狀塗層。塗層用於限制電漿與可調整調節環250之間的化學反應並因此限制顆粒產生和環損傷。在另一個實施例中,一個或多個介電墊(例如,包含聚四氟乙烯的墊)定位在邊緣環210與靜電卡盤202之間。
製程配件200還包括蓋環元件270、環形主體276以及設置在蓋環元件270與環形主體276之間的電漿遮罩件278。蓋環元件270具有環形形狀,且包括蓋環272和套管274。蓋環272和套管274可獨立地由石英材料或其他抗電漿材料製成或者包括石英材料或其他抗電漿材料。例如,蓋環272可以是石英環,且套管274可以是石英管。
在一個或多個實施例中,如圖1C和圖1D中所描繪,電漿鞘201在處理腔室100中的製程配件200內形成在基板150和邊緣環210的部分之上。電壓VDC 可用於控制基板150的邊緣處的電漿鞘201輪廓,以補償基板150的邊緣處的臨界尺寸均勻性。電漿鞘201是將電漿主體與電漿材料的邊界連接起來的由空間電荷形成的強電場的薄區域。數學上來說,鞘層厚度d 由蔡爾德-朗繆爾(Child-Langmuir)等式來表示:
Figure 02_image001
其中i 是離子電流密度,ε 是真空電容率,e 是元電荷,Vp 是電漿電勢,並且VDC 是DC電壓。
在蝕刻反應器的情況中,電漿鞘201形成在電漿與正被蝕刻的基板150之間,腔室主體101、以及製程配件200的每一個其他零件以及製程腔室100與電漿接觸。電漿中所產生的離子在電漿鞘中被加速並垂直於電漿鞘移動。控制VDC (即,控制施加到邊緣環210的電壓)影響著電漿鞘201的厚度d 。可以相對於邊緣環210測量電漿鞘201的鞘厚度d。例如,厚度d描繪於圖1C和圖1D中。在所示的實施例中,致動可調整調節環250使第二環部件230上升。因為VDC 保持為常數,所以在邊緣環210上方的鞘厚度保持為常數。因此,致動可調整調節環250使電漿鞘201垂直地上升而不影響鞘厚度。因此,移動可調整調節環250影響基板150的邊緣處的電漿鞘201的形狀,這進而控制電漿離子的方向。
圖1D示出圖1C的處理腔室100中的製程配件200的部分,其中第二環部件230在上升位置。如圖1C中所示的以及所討論的,可調整調節環250上升使第二環部件230上升,這進而使電漿鞘201上升。因為電勢VDC 因接近固定的電容而保持為接近常數,所以電漿201厚度d 自始至終保持為常數。
圖2A至圖2J描繪了根據一個或多個實施例的包括設置在邊緣環210和可調整調節環250之間的對準耦合件的製程配件200a-200j的經放大的部分截面圖。製程配件200a-200j中的每一個可通過用製程配件200a-200j中的任何一個完全地或部分地替換製程配件200而用在處理腔室100中。
製程配件200a-200j中的每一個包括具有第一環部件220和第二環部件230的邊緣環210。第一環部件220可以與第二環部件230相接,使得第二環部件230相對於第一環部件220是可移動的,以便在第一環部件220與第二環部件230之間形成間隙237(如圖1D所描繪)。例如,間隙237可形成於第一環部件220的階梯狀表面226與第二環部件230的下表面231之間。可調整調節環250的上表面254和第二環部件230的下表面231可彼此接合或以其他方式來彼此接觸。
第二環部件230的下表面231包括上部對準耦合件236,且可調整調節環250的上表面254包括下部對準耦合件252。可調整調節環250的下部對準耦合件252可與第二環部件230的上部對準耦合件236配合,以形成具有相互輪廓或者配合輪廓的介面。
上部對準耦合件236可以是陽型耦合件或陰型耦合件,且下部對準耦合件252是與上部對準耦合件236相反類型的耦合件。例如,如果上部對準耦合件236是陽型耦合件,則下部對準耦合件252是陰型耦合件。替代地,如果上部對準耦合件236是陰型耦合件,則下部對準耦合件252是陽型耦合件。形成在上部對準耦合件236與下部對準耦合件252之間的相互輪廓或者配合輪廓可具有燕尾、花鍵、帶鰭片、三角形、長方形、正方形、梯形、弧形、圓形的幾何形狀、這樣的幾何形狀的組合、以及其他幾何形狀。
在製程配件200a中,如圖2A中所描繪的,上部對準耦合件236是陽型耦合件,所述陽型耦合件具有從第二環部件230的下表面231延伸的燕尾或梯形的幾何形狀。下部對準耦合件252是陰型耦合件,所述陰型耦合件具有形成到可調整調節環250的上表面254中的燕尾或梯形的幾何形狀。
在製程配件200b中,如圖2B中所描繪的,上部對準耦合件236是陰型耦合件,所述陰型耦合件具有形成到第二環部件230的下表面231中的燕尾或梯形的幾何形狀。下部對準耦合件252是陽型耦合件,所述陽型耦合件具有從可調整調節環250的上表面254延伸的燕尾或梯形的幾何形狀。
在製程配件200c中,如圖2C中所描繪的,上部對準耦合件236是陽型耦合件,所述陽型耦合件具有從第二環部件230的下表面231延伸的三角形的幾何形狀。下部對準耦合件252是陰型耦合件,所述陰型耦合件具有形成到可調整調節環250的上表面254中的三角形的幾何形狀。
在製程配件200d中,如圖2D中所描繪的,上部對準耦合件236是陰型耦合件,所述陰型耦合件具有形成到第二環部件230的下表面231中的三角形的幾何形狀。下部對準耦合件252是陽型耦合件,所述陽型耦合件具有從可調整調節環250的上表面254延伸的三角形的幾何形狀。
在製程配件200e中,如圖2E中所描繪的,上部對準耦合件236是陽型耦合件,所述陽型耦合件具有從第二環部件230的下表面231延伸的正方形或長方形的幾何形狀。下部對準耦合件252是陰型耦合件,所述陰型耦合件具有形成到可調整調節環250的上表面254中的正方形或長方形的幾何形狀。
在製程配件200f中,如圖2F中所描繪的,上部對準耦合件236是陰型耦合件,所述陰型耦合件具有形成到第二環部件230的下表面231中的正方形或長方形的幾何形狀。下部對準耦合件252是陽型耦合件,所述陽型耦合件具有從可調整調節環250的上表面254延伸的正方形或長方形的幾何形狀。
在製程配件200g中,如圖2G中所描繪的,上部對準耦合件236是陽型耦合件,所述陽型耦合件具有從第二環部件230的下表面231延伸的弧形或圓形的幾何形狀。下部對準耦合件252是陰型耦合件,所述陰型耦合件具有形成到可調整調節環250的上表面254中的弧形或圓形的幾何形狀。
在製程配件200h中,如圖2H中所描繪的,上部對準耦合件236是陰型耦合件,所述陰型耦合件具有形成到第二環部件230的下表面231中的弧形或圓形的幾何形狀。下部對準耦合件252是陽型耦合件,所述陽型耦合件具有從可調整調節環250的上表面254延伸的弧形或圓形的幾何形狀。
在製程配件200i中,如圖2I中所描繪的,上部對準耦合件236是陽型耦合件,所述陽型耦合件具有從第二環部件230的下表面231延伸的帶鰭片的幾何形狀。下部對準耦合件252是陰型耦合件,所述陰型耦合件具有形成到可調整調節環250的上表面254中的帶鰭片的幾何形狀。
在製程配件200j中,如圖2J中所描繪的,上部對準耦合件236是陰型耦合件,所述陰型耦合件具有形成到第二環部件230的下表面231中的帶鰭片的幾何形狀。下部對準耦合件252是陽型耦合件,所述陽型耦合件具有從可調整調節環250的上表面254延伸的帶鰭片的幾何形狀。
帶鰭片的幾何形狀可以具有兩種、三種或更多種形狀的輪廓(相同或不同的幾何形狀),作為陽型耦合件和/或陰型耦合件。帶鰭片的幾何形狀可以是圖2A至圖2J中示出的任何耦合件以及其他幾何的形狀。例如,帶鰭片的幾何形狀可以包括兩種長方形幾何形狀(如圖2I以及圖2J中所示)。替代地,帶鰭片的幾何形狀可以包括兩種三角形的幾何形狀、長方形幾何形狀和三角形幾何形狀的組合、長方形幾何形狀和燕尾幾何形狀的組合、或者任何其他的組合。
如圖2A至圖2J中所描繪的,間隙253可設置在可調整調節環250的上表面254與第二環部件230的下表面231之間。更為具體地,間隙253設置在可調整調節環250的下部對準耦合件252與第二環的上部對準耦合件236之間。可調整調節環250被致動、調整或以其他方式移動以調整間隙253的大小,並改變可調整調節環250與第二環部件230之間的電容耦合。因此,通過改變可調整調節環250與第二環部件230之間的距離(例如間隙253的大小),可調整調節環250與第二環部件230之間的電容耦合成比例地改變。
在一個或多個實施例中,對於調節電漿鞘201可有兩種不同的方法。在一個示例中,在可調整調節環250與第二環部件230之間的間隙253的大小可以可變地維持或調整。在另一個示例中,可調整調節環250和第二環部件230正彼此觸及或接觸,並且因而其間的間隙253不存在。
圖3描繪了根據一個或多個實施例的包含具有向內成角度的上表面228的邊緣環210的製程配件300的經放大的部分截面圖。圖4A描繪了根據一個或多個實施例的包含具有向內傾斜的上表面228的邊緣環210的製程配件400a的經放大的部分截面圖。圖4B和圖4C分別描繪了包含具有向內傾斜的上表面228的邊緣環210的製程配件400b、400c的經放大的部分截面圖。對於製程配件300和400a-400c,第一環部件220與第二環部件230相接,使得第二環部件230相對於第一環部件220是可移動的,在第一環部件220和所述第二環部件230之間形成間隙253。製程配件300和400a-400c中的任何一個可通過用製程配件300或400a-400c中的任何一個完全地或部分地替換製程配件200或製程配件200a-200j中任一個而用在處理腔室100中。
在一個或多個實施例中,第二環部件230的上表面228的至少一部分向內傾斜朝向第一環部件220。在一個實施例中,第二環部件230的上表面228從外邊緣234向內成角度到內邊緣232,如圖3中所描繪。在另一個實施例中,如圖4A中所描繪的,第二環部件230的上表面228的一部分或一區段遠離外邊緣234向內成角度並朝向內邊緣232。第二環部件230的上表面228可以具有傾斜的上表面229b,傾斜的上表面229b設置在內部上表面229a與外部上表面229c之間。傾斜的上表面229b向內成角度朝向內邊緣232,諸如朝向第一環部件220和/或基板150。內部上表面229a和外部上表面229c可以是彼此平行的或是基本上彼此平行的,如圖4A中所描繪。替代地,內部上表面229a和外部上表面229c不彼此平行(未示出)。
在另一個實施例中,如圖4B中所描繪的,第二環部件230的上表面228的一部分或一區段遠離外邊緣234向內成角度並朝向內邊緣232。第二環部件230的上表面228可以具有向內成角度或傾斜的上表面229b,向內成角度或傾斜的上表面229b設置成與外部上表面229c相鄰。在另一個實施例中,如圖4C中所描繪的,第二環部件230的上表面228的兩個或更多個部分或區段從外邊緣234向內成角度到內邊緣232。第二環部件230的上表面228可以具有內部上表面229a,內部上表面229a緊鄰第一向內成角度或傾斜的上表面229b,第一向內成角度或傾斜的上表面229b緊鄰第一外部上表面229c,第一外部上表面229c緊鄰第二向內成角度或傾斜的上表面229b,第二向內成角度或傾斜的上表面229b緊鄰第二外部上表面229c。如圖2B和圖2C中所示,傾斜的上表面229b向內成角度朝向內邊緣232,諸如朝向第一環部件220和/或基板150。
在處理期間,向內成角度的上表面228(圖3)和內部上表面229a(圖4A至圖4C)將電漿通過漏斗般輸送或以其它方式引導朝向第二環部件230的內邊緣232、第一環部件220的上表面218、以及基板150。如此,向內成角度的上表面228(圖3)和內部上表面229a(圖4A至圖4C)引導電漿遠離第二環部件230的外邊緣224和蓋環272。
如在上表面228與下表面231之間測量的,第二環部件230具有內部厚度D1和外部厚度D2。對於圖4A至圖4C中所描繪的第二環部件230而言,內部厚度D1在內部上表面229a與下表面231之間測量,且外部厚度D2在外部上表面229c與下表面231之間測量。內部厚度D1小於外部厚度D2,如圖3和圖4A至圖4C所示。第二環部件230的內部厚度D1為約1 mm、約1.8 mm、約2 mm、或約2.5mm至約3 mm、約4 mm、約5 mm、或約6 mm。第二環部件230的外部厚度D2為約1 mm、約2 mm、或約3 mm至約5 mm、約7 mm、約10 mm、約12 mm、或約15 mm。
圖5描繪了根據一個或多個實施例的包含邊緣環210、滑環520和可調整調節環250的製程配件500的經放大的部分截面圖。滑環520定位在邊緣環210的下方。滑環520具有上表面512以及下表面514。滑環520的上表面512與第二環部件230的下表面231接觸。可調整調節環250定位在滑環520的下方。可調整調節環250的上表面254與滑環520的下表面514接觸。
在一個或多個實施例中,在滑環520不存在的情況下,電漿可在處理期間侵蝕可調整調節環250的部分。一旦在第二環部件230與可調整調節環250之間放置滑環520,與不包括滑環520並使第二環部件230直接接觸可調整調節環250相反,滑環520減少在第二環部件230與可調整調節環250之間(由電漿侵蝕)形成並收集到的顆粒的量。
邊緣環210的第一環部件220與邊緣環210的第二環部件230相接,使得第二環部件230相對於第一環部件220是可移動的,在第一環部件220與第二環部件230之間形成間隙(未在圖5中示出)。致動機構280與可調整調節環250的下表面256相接。致動機構280移動或致動可調整調節環250和滑環520,使得滑環520的上表面512與第二環部件230的下表面231之間的間隙253改變。類似地,致動機構280移動或致動可調整調節環250和與第二環部件230接觸的滑環520,改變第二環部件230之間的間隙的大小。
在一個或多個實施例中,滑環520可以包括由鋁或鋁合金製成的或者包含鋁或鋁合金的主體或基質。滑環520的主體或基質可以完全地或部分地塗覆有包含陽極化氧化物的抗電漿塗層或膜(例如,由任何陽極化製程形成的氧化鋁層)、氧化釔、氧化鉿、氧化矽、它們的氧化物、或它們的任何組合。在其他實施例中,滑環520可以包括不同材料的兩個或更多個區段或部分,諸如包含兩個或多個環的分離式結構。例如,滑環520可以包括:上區段,所述上區段包含由一種或多種抗電漿材料(例如碳化矽)製成的或包含一種或多種抗電漿材料的環;和下區段,所述下區段包含由一種或多種導電材料(例如鋁或鋁合金)製成的或包含一種或多種導電材料的環。滑環520的下區段提供與靜電卡盤202的RF耦合。可以將形成滑環520的兩個或更多個區段黏接到一起或通過重力保持在一起。在一個或多個示例中,滑環520的上區段(例如,碳化矽)和下區段(例如,鋁或鋁合金)可以通過擴散鋁黏接來黏接或以其他方式接合在一起,以形成可以與冷卻板204 RF耦合的滑環520。
圖6描繪了根據一個或多個實施例的包含設置在可調整調節環250和致動機構280之間的電絕緣支撐環620的製程配件600的經放大的部分截面圖。每個致動機構280包括升降桿260。例如,絕緣支撐環620定位在或以其他方式設置在可調整調節環250與升降桿260之間並且接觸可調整調節環250和升降桿260。一旦絕緣支撐環620放置在可調整調節環250與致動機構280之間,則相對於不使用絕緣支撐環620並替代地升降桿260與可調整調節環250直接接觸或連接時,絕緣支撐環620減少了在可調整調節環250與致動機構280之間形成和收集到的顆粒的量。
絕緣支撐環620具有上表面622和下表面624。在一個或多個實施例中,如圖6中所示,上表面622和下表面624中的每一個獨立地包括一個或多個對準耦合件632和634。對準耦合件632是設置在上表面622上的陽型耦合件,而對準耦合件634是設置在下表面624上的陰型耦合件。替代地,雖未示出,但是對準耦合件632可以是陰型耦合件且對準耦合件634可以是陽型耦合件。如圖6上所示,對準耦合件257(示出為陰型耦合件)在可調整調節環250的下表面256上,且對準耦合件632被設置在絕緣支撐環620的上表面622上,對準耦合件257與對準耦合件632相配合以在它們間形成相互輪廓或配合輪廓。在另一個實施例中,雖未示出,但是可調整調節環250或絕緣支撐環620都不具有對準耦合件,且絕緣支撐環620的上表面622與可調整調節環250的下表面256相接觸。
在另一個實施例中,對準耦合件634可以是或包括形成在絕緣支撐環620的下表面624內的一個、兩個、三個、四個、或更多個陰型耦合件,諸如溝槽或孔。陰型對準耦合件634可以與升降桿260配合。因此,在一些示例中,存在與升降桿260的數量相同數量的陰型對準耦合件634。在一個或多個示例中,絕緣支撐環620具有兩個、三個、四個、或更多個對準耦合件634,所述兩個、三個、四個、或更多個對準耦合件634為從絕緣支撐環620的下表面624朝向絕緣支撐環620的上表面622延伸的溝槽,並且每個溝槽包含設置在其中的升降桿260。在另一個實施例中,雖未示出,但是絕緣支撐環620不具有對準耦合件,從而在舉升和降低絕緣支撐環620和可調整調節環250時升降桿260與絕緣支撐環620的下表面624直接地接觸。
絕緣支撐環620包含一種或多種聚合物材料,所一種或多種聚合物材料可以是或包括一種或多種氟化碳、氟化烴、熱固性交聯聚苯乙烯共聚物(例如,REXOLITE® 聚合物)、陶瓷、或它們的任何組合。在一個或多個示例中,絕緣支撐環620包含聚四氟乙烯(PTFE)材料。
儘管圖6描繪了上部對準耦合件(所述上部對準耦合件是在第二環部件230的下表面231上的陽型耦合件)和下部對準耦合件(所述下部對準耦合件是在可調整調節環250的上表面254上的陰型耦合件),但下表面231和上表面254中的每個可以獨立地具有任何類型的陽型耦合件或陰型耦合件(如圖2A至圖2J中所示)以及不存在耦合件(如圖1C和圖1D中所示),使得第二環部件230的下表面231和可調整調節環250的上表面254在沒有耦合件的情況下彼此接觸。
圖7A和圖7B描繪了根據一個或多個實施例的示出致動機構280的放置位置的可調整調節環250的底視圖。圖7A描繪了設置在可調整調節環250的下表面256上的三個位置702。在一個示例中,這些位置702在致動機構280(諸如升降桿260)的上端與下表面256接觸的位置處。三個位置702彼此分離開角度α1,所述角度α1從可調整調節環250的中心量起為約110度至約130度、約115度至約125度、或約118度至約122度,例如為約120度。
圖7B描繪了設置在可調整調節環250的下表面256上的四個位置702。在另一個示例中,這些位置702中的每一個在致動機構280(諸如升降桿260)的上端與下表面256接觸的位置處。四個位置702彼此分離開角度α2,所述角度α2從可調整調節環250的中心量起為約80度至約100度、約85度至約95度、或約88度至約92度,例如為約90度。
圖8描繪了根據一個或多個實施例的包含具有用於容納致動機構280的至少一部分的對準耦合件259的可調整調節環250的製程配件800的經放大的部分截面圖。對準耦合件259可以是或包括形成在可調整調節環250的下表面256內的一個、兩個、三個、四個、或更多個陰型耦合件,諸如溝槽或孔。
陰型對準耦合件259可以與升降桿260配合,如圖8中所示。因此,在一些示例中,存在與升降桿260的數量相同數量的陰型對準耦合件259。在一個或多個示例中,可調整調節環250具有兩個、三個、四個、或更多個對準耦合件259,所述兩個、三個、四個、或更多個對準耦合件259為從可調整調節環250的下表面256朝向可調整調節環250的上表面254延伸的溝槽,並且每個溝槽包含設置在其中的升降桿260。對準耦合件259可以從下表面256延伸距離D3到可調整調節環250中。例如,距離D3可以是約1 mm、約2 mm、約3 mm、或約4 mm至約5 mm、約7 mm、約10 mm、約12 mm、或約15 mm。
儘管圖8描繪了上部對準耦合件(所述上部對準耦合件是在第二環部件230的下表面231上的陰型耦合件)和下部對準耦合件(所述下部對準耦合件是在可調整調節環250的上表面254上的陽型耦合件),但下表面231和上表面254中的每個可以獨立地具有任何類型的陽型耦合件或陰型耦合件(如圖2A至圖2J中所示)以及不存在耦合件(如圖1C和圖1D中所示),使得第二環部件230的下表面231和可調整調節環250的上表面254在沒有耦合件的情況下彼此接觸。
圖9A和圖9B描繪了根據一個或多個實施例的在圖8示出的可調整調節環250的底視圖。圖9A描繪形成在可調整調節環250中並在其中包含點902的溝槽或陰型對準耦合件259中的三個。在一個示例中,這些點902在致動機構280(諸如升降桿260)插入或以其它方式設置於陰型對準耦合件259中的位置處。三個溝槽或陰型對準耦合件259彼此以角度α3分開,所述角度α3從可調整調節環250的中心量起為約110度至約130度、約115度至約125度、或約118度至約122度,例如為約120度。
圖9B描繪形成在可調整調節環250中並在其中包含點902的溝槽或陰型對準耦合件259中的四個。在另一個示例中,這些點902在致動機構280(諸如升降桿260)插入或以其它方式設置於陰型對準耦合件259中的位置處。四個溝槽或陰型對準耦合件259彼此以角度α4分開,所述角度α4從可調整調節環250的中心量起為約80度至約100度、約85度至約95度、或約88度至約92度,例如為約90度。
本公開文本的實施例進一步地涉及以下段落1-26中的任何一個或多個段落:
1. 一種用於基板處理腔室的製程配件,所述製程配件包括:邊緣環,所述邊緣環具有第一環部件和第二環部件,所述第一環部件與所述第二環部件相接,使得所述第二環部件相對於所述第一環部件是可移動的,在所述第一環部件與所述第二環部件之間形成間隙,所述第二環部件具有上表面和下表面,所述第二環部件的下表面包括上部對準耦合件;可調整調節環,所述可調整調節環定位在所述邊緣環下方,所述可調整調節環具有上表面和下表面,所述可調整調節環的上表面包括下部對準耦合件,所述可調整調節環的上表面被配置為與所述第二環部件的下表面接觸,並且所述可調整調節環的所述下部對準耦合件被配置為與所述第二環部件的所述上部對準耦合件配合以形成介面;以及致動機構,所述致動機構與所述可調整調節環的下表面相接,所述致動機構被配置成致動所述可調整調節環,使得所述第一環部件與所述第二環部件之間的間隙改變。
2. 一種處理腔室,所述處理腔室包括:基板支撐構件,所述基板支撐構件被配置為支撐基板;和製程配件,所述製程配件由所述基板支撐構件支撐,所述製程配件包括:邊緣環,所述邊緣環具有第一環部件和第二環部件,所述第一環部件與所述第二環部件相接,使得所述第二環部件相對於所述第一環部件是可移動的,在所述第一環部件與所述第二環部件之間形成間隙,所述第二環部件具有上表面和下表面,所述第二環部件的下表面包括上部對準耦合件;可調整調節環,所述可調整調節環定位在所述邊緣環下方,所述可調整調節環具有上表面和下表面,所述可調整調節環的上表面包括下部對準耦合件,所述可調整調節環的上表面被配置為與所述第二環部件的下表面接觸,並且所述可調整調節環的所述下部對準耦合件被配置為與所述第二環部件的所述上部對準耦合件配合以形成介面;以及致動機構,所述致動機構與所述可調整調節環的下表面相接,所述致動機構被配置成致動所述可調整調節環,使得所述第一環部件與所述第二環部件之間的間隙改變。
3. 根據段落2所述的處理腔室,其中所述基板支撐構件包括:基部;冷卻板,所述冷卻板由所述基部支撐;以及靜電卡盤,所述靜電卡盤定位在所述冷卻板的上表面上。
4. 根據段落1至3中任一項所述的製程配件或處理腔室,其中所述上部對準耦合件是陽型耦合件且所述下部對準耦合件是陰型耦合件,或者所述上部對準耦合件是所述陰型耦合件且所述下部對準耦合是所述陽型耦合件。
5. 根據段落4所述的製程配件或處理腔室,其中由所述陽型耦合件和所述陰型耦合件形成的所述介面具有配合輪廓,並且其中所述配合輪廓選自由以下項組成的群組:燕尾、花鍵、帶鰭片、三角形、長方形、正方形、梯形、弧形和圓形。
6. 根據段落1至5中任一項所述的製程配件或處理腔室,進一步包括間隙,所述間隙設置在所述可調整調節環的上表面與所述第二環部件的下表面之間且設置在所述可調整調節環的所述下部對準耦合件與所述第二環的所述上部對準耦合件之間。
7. 根據段落6所述的製程配件或處理腔室,其中所述致動機構被配置成致動所述可調整調節環以使得所述下部對準耦合件與所述上部對準耦合件之間的所述間隙改變。
8. 根據段落1至7中任一項所述的製程配件或處理腔室,進一步包括電絕緣支撐環,所述電絕緣支撐環設置在所述可調整調節環與所述致動機構之間。
9. 根據段落8所述的製程配件或處理腔室,其中所述絕緣支撐環包括聚四氟乙烯材料。
10. 根據段落8所述的製程配件或處理腔室,其中所述致動機構包括升降桿,並且其中所述絕緣支撐環在所述可調整調節環與所述升降桿之間並且接觸所述可調整調節環和所述升降桿。
11. 根據段落10所述的製程配件或處理腔室,其中所述可調整調節環的下表面上的對準耦合件和所述絕緣支撐環的上表面上的對準耦合件配合,以在所述可調整調節環的下表面上的對準耦合件與所述絕緣支撐環的上表面上的對準耦合件之間形成配合輪廓。
12. 根據段落8所述的製程配件或處理腔室,其中所述絕緣支撐環配合包括三個或更多個溝槽,所述三個或更多個溝槽從所述絕緣支撐環配合的下表面朝向所述絕緣支撐環配合的上表面延伸,並且其中每個溝槽包含設置在其中的升降桿。
13. 根據段落1至12中任一項所述的製程配件或處理腔室,其中所述可調整調節環包括導電材料。
14. 根據段落13所述的製程配件或處理腔室,其中所述導電材料包括鋁或鋁合金。
15. 根據段落1至14中任一項所述的製程配件或處理腔室,其中所述第二環部件包括碳化矽。
16. 根據段落1至15中任一項所述的製程配件或處理腔室,其中所述第一環部件包括形成在所述第一環部件中的階梯狀表面,並且其中所述第一環部件的所述階梯狀表面與所述第二環部件的下表面的一部分相接。
17. 根據段落1至16中任一項所述的製程配件或處理腔室,其中所述可調整調節環包括三個或更多個溝槽,所述三個或更多個溝槽從所述可調整調節環的下表面朝向所述可調整調節環的上表面延伸,並且其中每個溝槽包含設置在其中的升降桿。
18. 根據段落17所述的製程配件或處理腔室,其中所述可調整調節環包括三個溝槽,所述三個溝槽設置在所述可調整調節環周圍,從所述可調整調節環的中心測量,所述三個溝槽以約110度至約130度的角度彼此分開。
19. 根據段落17所述的製程配件或處理腔室,其中所述可調整調節環包括四個溝槽,所述四個溝槽設置在所述可調整調節環周圍,從所述可調整調節環的中心測量,所述四個溝槽以約80度至約100度的角度彼此分開。
20. 根據段落1至19中任一項所述的製程配件或處理腔室,其中所述致動機構包括兩個或更多個升降桿,所述升降桿中的每一個升降桿具有第一端和第二端,所述升降桿的所述第一端與所述可調整調節環的下表面接觸,並且所述升降桿的所述第二端與升降機構連通。
21. 根據段落20所述的製程配件或處理腔室,其中所述致動機構包括四個升降桿,所述升降桿的所述第一端中的每一個第一端接觸所述可調整調節環的下表面上的點,從所述可調整調節環的中心測量,所述下表面上的所述點以約80度至約100度的角度彼此分開。
22. 根據段落20所述的製程配件或處理腔室,其中所述升降機構包括伺服驅動機。
23. 一種用於處理基板的方法,其中所述方法用根據段落1至22中任一項所述的製程配件或處理腔室執行。
24. 一種用於處理基板的方法,所述方法包括:將所述基板定位在設置在根據段落1至22中任一項所述的製程配件或處理腔室中的所述基板支撐構件上;在所述基板上方形成電漿;以及通過致動與所述部件相接的所述可調整調節環來調整所述邊緣環的所述第二環部件的高度以改變所述基板的邊緣處的離子的方向。
25. 根據段落23或24所述的方法,其中間隙設置在所述可調整調節環的下部對準耦合件與所述第二環的上部對準耦合件之間。
26. 根據段落23至25中任一項所述的方法,進一步包括致動所述可調整調節環以調整所述間隙的大小並且改變所述可調整調節環與所述第二環部件之間的電容耦合。
儘管上述內容針對本公開內容的特定實施例,然而可在不脫離本公開內容的基本範圍的情況下設計出本公開內容的其他和進一步的實施例,並且其範圍由所附申請專利範圍決定。
已經使用一組數值上限以及一組數值下限描述了特定的實施例以及特徵。應當理解,除非另外指示,構想到範圍包括任何兩個值的組合,例如任何較低值與任何較高值的組合、任何兩個較低值的組合、和/或任何兩個較高值的組合。特定下限、上限和範圍出現在所附的一個或多個請求項中。
100‧‧‧處理腔室 101‧‧‧腔室主體 102‧‧‧電感耦合電漿裝置 103‧‧‧蓋 105‧‧‧功率分配器 106‧‧‧RF饋送結構 107‧‧‧電接地 108‧‧‧RF電源 110‧‧‧線圈 112‧‧‧線圈 113‧‧‧加熱器元件 114‧‧‧匹配網路 115‧‧‧電源 116‧‧‧氣體面板 117‧‧‧進入口 118‧‧‧電漿 119‧‧‧偏壓源 120‧‧‧匹配網路 121‧‧‧閥 122‧‧‧真空泵 123‧‧‧中央處理單元 124‧‧‧記憶體 125‧‧‧支援電路 130‧‧‧內部容積 150‧‧‧基板 153‧‧‧電極 155‧‧‧控制器 160‧‧‧卡緊電源 180‧‧‧基板支撐構件 200‧‧‧製程配件 200a-200j‧‧‧製程配件 201‧‧‧電漿鞘 202‧‧‧靜電卡盤 204‧‧‧冷卻板 206‧‧‧基部 208‧‧‧電源 210‧‧‧邊緣環 212‧‧‧陰極堆疊 218‧‧‧上表面 219‧‧‧下表面 220‧‧‧第一環部件 222‧‧‧內邊緣 224‧‧‧外邊緣 226‧‧‧階梯狀表面 228‧‧‧上表面 229a‧‧‧內部上表面 229b‧‧‧傾斜的上表面 229c‧‧‧外部上表面 230‧‧‧第二環部件 231‧‧‧下表面 232‧‧‧內邊緣 234‧‧‧外邊緣 236‧‧‧上部對準耦合件 237‧‧‧間隙 250‧‧‧可調整調節環 252‧‧‧下部對準耦合件 253‧‧‧間隙 254‧‧‧上表面 256‧‧‧下表面 257‧‧‧對準耦合件 258‧‧‧間隙 259‧‧‧對準耦合件 260‧‧‧升降桿 270‧‧‧蓋環元件 272‧‧‧蓋環 274‧‧‧套管 276‧‧‧環形主體 278‧‧‧電漿遮罩件 280‧‧‧致動機構 282‧‧‧升降機構 284‧‧‧波紋管 300‧‧‧製程配件 400a-400c‧‧‧製程配件 500‧‧‧製程配件 512‧‧‧上表面 514‧‧‧下表面 520‧‧‧滑環 600‧‧‧製程配件 620‧‧‧絕緣支撐環 622‧‧‧上表面 624‧‧‧下表面 632‧‧‧對準耦合件 634‧‧‧對準耦合件 702‧‧‧位置 800‧‧‧製程配件 902‧‧‧點
為了以能夠詳細理解本公開內容的以上記載特徵的方式,可以通過參考實施例來對以上簡要概括的公開文本進行更具體的描述,這些實施例中的一些在所附附圖中被示出。然而應該注意,所附附圖僅示出了本公開文本的典型實施例,故不應被認為限制其範圍,因為本公開文本可允許有其他等效的實施例。
圖1A描繪了根據一個或多個實施例的處理腔室的截面圖。
圖1B至圖1D描繪了根據一個或多個實施例的圖1A的處理腔室中所包含的製程配件的經放大的部分截面圖。
圖2A至圖2J描繪了根據一個或多個實施例的包含有包括對準耦合件的各種邊緣環和可調整調節環的多個製程配件的經放大的部分截面圖。
圖3描繪了根據一個或多個實施例的包含具有向內成角度的上表面的邊緣環的製程配件的經放大的部分截面圖。
圖4A至圖4C描繪了根據一個或多個實施例的包含具有另一個向內成角度或傾斜的上表面的邊緣環的其他製程配件的經放大的部分截面圖。
圖5描繪了根據一個或多個實施例的包含邊緣環、滑環和可調整調節環的另一個製程配件的經放大的部分截面圖。
圖6描繪了根據一個或多個實施例的包含設置在可調整調節環和致動機構之間的電絕緣支撐環的另一個製程配件的經放大的部分截面圖。
圖7A和圖7B描繪了根據一個或多個實施例的示出致動機構的放置位置的可調整調節環的底視圖。
圖8描繪了根據一個或多個實施例的包含具有用於容納致動機構的溝槽的可調整調節環的製程配件的經放大的部分截面圖。
圖9A和圖9B描繪了根據一個或多個實施例的在圖8中示出的可調整調節環的底視圖。
為清楚起見,在可適用的情況下已使用了相同的附圖標記來指定附圖之間共有的相同要素。另外,一個實施例的要素可有利地適用於本文所描述的其他實施例中。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
150‧‧‧基板
180‧‧‧基板支撐構件
200‧‧‧製程配件
200a‧‧‧製程配件
201‧‧‧電漿鞘
202‧‧‧靜電卡盤
204‧‧‧冷卻板
206‧‧‧基部
208‧‧‧電源
210‧‧‧邊緣環
212‧‧‧陰極堆疊
218‧‧‧上表面
219‧‧‧下表面
220‧‧‧第一環部件
222‧‧‧內邊緣
224‧‧‧外邊緣
226‧‧‧階梯狀表面
228‧‧‧上表面
229a‧‧‧內部上表面
229b‧‧‧傾斜的上表面
229c‧‧‧外部上表面
230‧‧‧第二環部件
231‧‧‧下表面
232‧‧‧內邊緣
234‧‧‧外邊緣
236‧‧‧上部對準耦合件
237‧‧‧間隙
250‧‧‧可調整調節環
252‧‧‧下部對準耦合件
253‧‧‧間隙
254‧‧‧上表面
256‧‧‧下表面
257‧‧‧對準耦合件
258‧‧‧間隙
259‧‧‧對準耦合件
260‧‧‧升降桿
270‧‧‧蓋環元件
272‧‧‧蓋環
274‧‧‧套管
276‧‧‧環形主體
278‧‧‧電漿遮罩件
280‧‧‧致動機構

Claims (18)

  1. 一種用於一基板處理腔室的製程配件,該製程配件包括: 一邊緣環,該邊緣環具有一第一環部件和一第二環部件,該第一環部件與該第二環部件相接,使得該第二環部件相對於該第一環部件是可移動的,在該第一環部件與該第二環部件之間形成一間隙,該第二環部件具有一上表面和一下表面,該第二環部件的下表面包括一上部對準耦合件;一可調整調節環,該可調整調節環定位在該邊緣環下方,該可調整調節環具有一上表面和一下表面,該可調整調節環的上表面包括一下部對準耦合件,該可調整調節環的上表面被配置成與該第二環部件的下表面接觸,並且該可調整調節環的該下部對準耦合件被配置成與該第二環部件的該上部對準耦合件相配合以形成一介面;以及一致動機構,該致動機構與該可調整調節環的下表面相接,該致動機構被配置為致動該可調整調節環,使得該第一環部件與該第二環部件之間的間隙改變。
  2. 如請求項1所述的製程配件,其中該上部對準耦合件是一陽型耦合件且該下部對準耦合件是一陰型耦合件,或者該上部對準耦合件是該陰型耦合件且該下部對準耦合是該陽型耦合件。
  3. 如請求項2所述的製程配件,其中由該陽型耦合件和該陰型耦合件形成的該介面具有一配合輪廓,並且其中該配合輪廓選自由以下項組成的群組:燕尾、花鍵、帶鰭片、三角形、長方形、正方形、梯形、弧形、和圓形。
  4. 如請求項1所述的製程配件,進一步包括一間隙,該間隙設置在該可調整調節環的上表面與該第二環部件的下表面之間且設置在該可調整調節環的該下部對準耦合件與該第二環的該上部對準耦合件之間。
  5. 如請求項4所述的製程配件,其中該致動機構被配置成致動該可調整調節環以使得該下部對準耦合件與該上部對準耦合件之間的該間隙改變。
  6. 如請求項1所述的製程配件,進一步包括一電絕緣支撐環,該電絕緣支撐環設置在該可調整調節環與該致動機構之間。
  7. 如請求項6所述的製程配件,其中該絕緣支撐環包括一聚四氟乙烯材料。
  8. 如請求項6所述的製程配件,其中該致動機構包括一升降桿,並且其中該絕緣支撐環在該可調整調節環與該升降桿之間並且接觸該可調整調節環和該升降桿。
  9. 如請求項8所述的製程配件,其中該可調整調節環的下表面上的一對準耦合件與該絕緣支撐環的一上表面上的一對準耦合件配合,以在該可調整調節環的下表面上的對準耦合件與該絕緣支撐環的上表面上的對準耦合件之間形成一配合輪廓。
  10. 如請求項6所述的製程配件,其中該絕緣支撐環配合包括三個或更多個溝槽,該三個或更多個溝槽從該絕緣支撐環配合的一下表面朝向該絕緣支撐環配合的一上表面延伸,並且其中每個溝槽包含一升降桿,該升降桿設置在該每個溝槽中。
  11. 如請求項1所述的製程配件,其中該第一環部件包括形成在該第一環部件中的一階梯狀表面,並且其中該第一環部件的該階梯狀表面與該第二環部件的下表面的一部分相接。
  12. 如請求項1所述的製程配件,其中該可調整調節環包括三個或更多個溝槽,該三個或更多個溝槽從該可調整調節環的下表面朝向該可調整調節環的上表面延伸,並且其中每個溝槽包含一升降桿,該升降桿設置在該每個溝槽中。
  13. 如請求項12所述的製程配件,其中該可調整調節環包括三個溝槽,該三個溝槽設置在該可調整調節環周圍,從該可調整調節環的中心測量,該三個溝槽以約110度至約130度的一角度彼此分開。
  14. 如請求項12所述的製程配件,其中該可調整調節環包括四個溝槽,該四個溝槽設置在該可調整調節環周圍,從該可調整調節環的中心測量,該四個溝槽以約80度至約100度的一角度彼此分開。
  15. 如請求項1所述的製程配件,其中該致動機構包括兩個或更多個升降桿,該升降桿中的每一個升降桿具有一第一端和一第二端,該升降桿的該第一端與該可調整調節環的下表面接觸,並且該升降桿的該第二端與一升降機構連通。
  16. 如請求項15所述的製程配件,其中該致動機構包括四個升降桿,該升降桿的該第一端中的每一個第一端與該可調整調節環的下表面上的一點接觸,從該可調整調節環的中心測量,該下表面上的該點以約80度至約100度的一角度彼此分開。
  17. 一種處理腔室,該處理腔室包括: 一基板支撐構件,該基板支撐構件被配置為支撐一基板;以及 一製程配件,該製程配件由該基板支撐構件支撐,該製程配件包括: 一邊緣環,該邊緣環具有一第一環部件和一第二環部件,該第一環部件與該第二環部件相接,使得該第二環部件相對於該第一環部件是可移動的,在該第一環部件與該第二環部件之間形成一間隙,該第二環部件具有一上表面和一下表面,該第二環部件的下表面包括一上部對準耦合件; 一可調整調節環,該可調整調節環定位在該邊緣環下方,該可調整調節環具有一上表面和一下表面,該可調整調節環的上表面包括一下部對準耦合件,該可調整調節環的上表面被配置成與該第二環部件的下表面接觸,並且該可調整調節環的該下部對準耦合件被配置成與該第二環部件的該上部對準耦合件相配合以形成一介面;以及 一致動機構,該致動機構與該可調整調節環的下表面相接,該致動機構被配置為致動該可調整調節環,使得該第一環部件與該第二環部件之間的間隙改變。
  18. 如請求項17所述的處理腔室,其中該基板支撐構件包括: 一基部; 一冷卻板,該冷卻板由該基部支撐;以及 一靜電卡盤,該靜電卡盤被定位在該冷卻板的一上表面上。
TW108118385A 2018-05-28 2019-05-28 具有用於邊緣均勻性控制的可調整調節環的製程配件 TW202004956A (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
IN201841019829 2018-05-28
IN201841019829 2018-05-28
US16/131,893 2018-09-14
US16/131,909 US10790123B2 (en) 2018-05-28 2018-09-14 Process kit with adjustable tuning ring for edge uniformity control
US16/131,903 US10600623B2 (en) 2018-05-28 2018-09-14 Process kit with adjustable tuning ring for edge uniformity control
US16/131,903 2018-09-14
US16/131,893 US11201037B2 (en) 2018-05-28 2018-09-14 Process kit with adjustable tuning ring for edge uniformity control
US16/131,909 2018-09-14

Publications (1)

Publication Number Publication Date
TW202004956A true TW202004956A (zh) 2020-01-16

Family

ID=68613513

Family Applications (6)

Application Number Title Priority Date Filing Date
TW108117819A TW202004837A (zh) 2018-05-28 2019-05-23 具有用於邊緣均勻性控制的可調整調節環的處理套組
TW108206463U TWM586869U (zh) 2018-05-28 2019-05-23 具有用於邊緣均勻性控制的可調整調節環的處理套組
TW108117965A TW202004985A (zh) 2018-05-28 2019-05-24 具有用於邊緣均勻性控制的可調整調節環的處理套組
TW108206552U TWM592160U (zh) 2018-05-28 2019-05-24 具有用於邊緣均勻性控制的可調整調節環的處理套組及含有該處理套組的處理腔室
TW108206713U TWM592162U (zh) 2018-05-28 2019-05-28 具有用於邊緣均勻性控制的可調整調節環的製程配件
TW108118385A TW202004956A (zh) 2018-05-28 2019-05-28 具有用於邊緣均勻性控制的可調整調節環的製程配件

Family Applications Before (5)

Application Number Title Priority Date Filing Date
TW108117819A TW202004837A (zh) 2018-05-28 2019-05-23 具有用於邊緣均勻性控制的可調整調節環的處理套組
TW108206463U TWM586869U (zh) 2018-05-28 2019-05-23 具有用於邊緣均勻性控制的可調整調節環的處理套組
TW108117965A TW202004985A (zh) 2018-05-28 2019-05-24 具有用於邊緣均勻性控制的可調整調節環的處理套組
TW108206552U TWM592160U (zh) 2018-05-28 2019-05-24 具有用於邊緣均勻性控制的可調整調節環的處理套組及含有該處理套組的處理腔室
TW108206713U TWM592162U (zh) 2018-05-28 2019-05-28 具有用於邊緣均勻性控制的可調整調節環的製程配件

Country Status (5)

Country Link
US (4) US11201037B2 (zh)
JP (3) JP2019208023A (zh)
KR (3) KR20190135428A (zh)
CN (6) CN110544609A (zh)
TW (6) TW202004837A (zh)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
KR102295988B1 (ko) 2014-10-17 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US10618141B2 (en) 2015-10-30 2020-04-14 Applied Materials, Inc. Apparatus for forming a polishing article that has a desired zeta potential
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. ABRASIVE DISTRIBUTION POLISHING PADS AND METHODS OF MAKING SAME
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
JP7299970B2 (ja) 2018-09-04 2023-06-28 アプライド マテリアルズ インコーポレイテッド 改良型研磨パッドのための配合物
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US10903050B2 (en) * 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
US11328893B2 (en) * 2018-12-13 2022-05-10 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Plasma processing system
JP7321026B2 (ja) * 2019-08-02 2023-08-04 東京エレクトロン株式会社 エッジリング、載置台、基板処理装置及び基板処理方法
CN112992631B (zh) * 2019-12-16 2023-09-29 中微半导体设备(上海)股份有限公司 一种下电极组件,其安装方法及等离子体处理装置
US20230102487A1 (en) * 2020-03-04 2023-03-30 Lam Research Corporation Minimizing reflected power in a tunable edge sheath system
TW202213428A (zh) * 2020-06-05 2022-04-01 日商東京威力科創股份有限公司 電漿處理裝置
US11492697B2 (en) * 2020-06-22 2022-11-08 Applied Materials, Inc. Apparatus for improved anode-cathode ratio for rf chambers
EP4226415A1 (en) * 2020-10-05 2023-08-16 Lam Research Corporation Moveable edge rings for plasma processing systems
CN112397366B (zh) * 2020-11-05 2023-07-14 北京北方华创微电子装备有限公司 一种承载装置及半导体反应腔室
KR20230027251A (ko) * 2020-11-19 2023-02-27 어플라이드 머티어리얼스, 인코포레이티드 기판 극단 에지 보호를 위한 링
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ
CN113097038B (zh) * 2021-02-25 2022-07-15 长江存储科技有限责任公司 刻蚀装置
US20220275500A1 (en) * 2021-02-26 2022-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structures and methods for processing a semiconductor substrate
US20220293397A1 (en) * 2021-03-10 2022-09-15 Applied Materials, Inc. Substrate edge ring that extends process environment beyond substrate diameter
CN117203751A (zh) * 2021-04-19 2023-12-08 朗姆研究公司 衬底支撑件的遮蔽环对准
US11721569B2 (en) 2021-06-18 2023-08-08 Applied Materials, Inc. Method and apparatus for determining a position of a ring within a process kit
KR102572318B1 (ko) * 2021-07-06 2023-08-29 (주)아이씨디 플라즈마 처리 장치
EP4148774A1 (en) * 2021-09-08 2023-03-15 Mattson Technology, Inc. Conductive member for cleaning focus ring of a plasma processing apparatus
WO2023224855A1 (en) * 2022-05-17 2023-11-23 Lam Research Corporation Self-centering edge ring
WO2024005850A1 (en) * 2022-07-01 2024-01-04 Lam Research Corporation Moveable edge rings for plasma processing systems
WO2024064049A1 (en) * 2022-09-23 2024-03-28 Lam Research Corporation Bellows seal for low thru-force actuation of temperature probe across vacuum interface

Family Cites Families (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5267607A (en) 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5851140A (en) 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
JP3020898B2 (ja) 1997-07-22 2000-03-15 株式会社エイ・ティ・アール人間情報通信研究所 アフィンカメラ補正による三次元位置の線形推定方法
DE59812627D1 (de) 1997-12-23 2005-04-07 Unaxis Balzers Ag Haltevorrichtung
US6773562B1 (en) 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
JP2000049144A (ja) 1998-07-28 2000-02-18 Hitachi Chem Co Ltd プラズマ処理装置用電極板
JP3234576B2 (ja) 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6709547B1 (en) 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
US6521292B1 (en) * 2000-08-04 2003-02-18 Applied Materials, Inc. Substrate support including purge ring having inner edge aligned to wafer edge
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
JP4676074B2 (ja) * 2001-02-15 2011-04-27 東京エレクトロン株式会社 フォーカスリング及びプラズマ処理装置
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
TW200520632A (en) 2003-09-05 2005-06-16 Tokyo Electron Ltd Focus ring and plasma processing apparatus
KR100578129B1 (ko) 2003-09-19 2006-05-10 삼성전자주식회사 플라즈마 식각 장치
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7338578B2 (en) 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
US20050189068A1 (en) 2004-02-27 2005-09-01 Kawasaki Microelectronics, Inc. Plasma processing apparatus and method of plasma processing
US20050263070A1 (en) 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
JP4006004B2 (ja) 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
KR20060117537A (ko) 2005-05-11 2006-11-17 삼성전자주식회사 리프트 핀 높이 정렬용 지그 및 이를 이용한 리프트 핀높이 정렬 방법
KR100964775B1 (ko) 2005-10-12 2010-06-21 파나소닉 주식회사 플라즈마 처리장치 및 플라즈마 처리방법
US7846257B2 (en) 2005-12-14 2010-12-07 Tokyo Electron Limited Method for cleaning substrate processing apparatus, substrate processing apparatus, program and recording medium having program recorded therein
KR20080015466A (ko) 2006-01-31 2008-02-19 동경 엘렉트론 주식회사 기판 처리 장치 및 그것에 이용되는 기판 탑재대,플라즈마에 노출되는 부재
US7572737B1 (en) 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
US20080066868A1 (en) 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
JP2008078208A (ja) 2006-09-19 2008-04-03 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
CN101583736A (zh) 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
JP5317424B2 (ja) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US20080289766A1 (en) 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
KR100963297B1 (ko) 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US20090260982A1 (en) 2008-04-16 2009-10-22 Applied Materials, Inc. Wafer processing deposition shielding components
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US20100101729A1 (en) 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
JP5406067B2 (ja) 2009-02-16 2014-02-05 キヤノンアネルバ株式会社 トレイ及び真空処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US20110011534A1 (en) 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
JP5650935B2 (ja) 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
CN102550130A (zh) 2009-08-31 2012-07-04 朗姆研究公司 用于执行等离子体约束的多外围环装置
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
JP5654297B2 (ja) 2010-09-14 2015-01-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
JP5690596B2 (ja) 2011-01-07 2015-03-25 東京エレクトロン株式会社 フォーカスリング及び該フォーカスリングを備える基板処理装置
JP6001529B2 (ja) 2011-03-29 2016-10-05 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
KR101744668B1 (ko) 2011-05-31 2017-06-08 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
KR101267459B1 (ko) 2011-09-08 2013-05-31 한국과학기술연구원 플라즈마 이온주입 장치 및 방법
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9783889B2 (en) * 2012-03-26 2017-10-10 Applied Materials, Inc. Apparatus for variable substrate temperature control
US10099245B2 (en) * 2013-03-14 2018-10-16 Applied Materials, Inc. Process kit for deposition and etching
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9123661B2 (en) 2013-08-07 2015-09-01 Lam Research Corporation Silicon containing confinement ring for plasma processing apparatus and method of forming thereof
CN105493262B (zh) 2013-08-30 2019-02-15 应用材料公司 基板支撑系统
JP2015050156A (ja) 2013-09-04 2015-03-16 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US9410249B2 (en) * 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
JP6345030B2 (ja) 2014-08-11 2018-06-20 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
CN105789010B (zh) 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
US20170263478A1 (en) * 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
JP6456177B2 (ja) 2015-02-12 2019-01-23 株式会社ディスコ ウェーハ処理システム
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
CN108140606B (zh) 2015-10-21 2022-05-24 住友大阪水泥股份有限公司 静电卡盘装置
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10985078B2 (en) 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
CN108369922B (zh) * 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
KR102604063B1 (ko) * 2016-08-18 2023-11-21 삼성전자주식회사 정전 척 어셈블리 및 이를 포함하는 기판 처리 장치
US10921251B2 (en) 2016-08-22 2021-02-16 Applied Materials, Inc. Chamber component part wear indicator and a system for detecting part wear
US20180061696A1 (en) 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
JP2018054500A (ja) 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
US10510516B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Moving focus ring for plasma etcher
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6869034B2 (ja) 2017-01-17 2021-05-12 東京エレクトロン株式会社 プラズマ処理装置
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US11404249B2 (en) * 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
JP6656200B2 (ja) 2017-04-12 2020-03-04 東京エレクトロン株式会社 位置検出システム及び処理装置
KR102535916B1 (ko) 2017-04-26 2023-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR101927936B1 (ko) * 2017-06-09 2018-12-11 세메스 주식회사 기판 처리 장치
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP7326275B2 (ja) 2017-12-01 2023-08-15 アプライド マテリアルズ インコーポレイテッド エッチング選択性の高いアモルファスカーボン膜
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP6995008B2 (ja) 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch

Also Published As

Publication number Publication date
CN210123715U (zh) 2020-03-03
TW202004837A (zh) 2020-01-16
CN110544611A (zh) 2019-12-06
JP2019208023A (ja) 2019-12-05
US10600623B2 (en) 2020-03-24
US20190362948A1 (en) 2019-11-28
US20190362949A1 (en) 2019-11-28
JP2019208024A (ja) 2019-12-05
CN110544610A (zh) 2019-12-06
CN210120110U (zh) 2020-02-28
KR20190135428A (ko) 2019-12-06
CN110544609A (zh) 2019-12-06
KR20190135426A (ko) 2019-12-06
US10790123B2 (en) 2020-09-29
TW202004985A (zh) 2020-01-16
US11201037B2 (en) 2021-12-14
CN210123716U (zh) 2020-03-03
JP2019208025A (ja) 2019-12-05
TWM592160U (zh) 2020-03-11
KR20190135429A (ko) 2019-12-06
US20210013014A1 (en) 2021-01-14
US11728143B2 (en) 2023-08-15
US20190363003A1 (en) 2019-11-28
TWM586869U (zh) 2019-11-21
TWM592162U (zh) 2020-03-11

Similar Documents

Publication Publication Date Title
TW202004956A (zh) 具有用於邊緣均勻性控制的可調整調節環的製程配件
JP7206028B2 (ja) エッジ均一性制御のための調整可能な延長電極
KR102501697B1 (ko) 에지 균일성 제어를 위한 조정가능한 연장되는 전극
KR200479295Y1 (ko) 플라즈마 처리 챔버의 가동 기판 지지 어셈블리를 위한 소모성 격리 링
KR101857284B1 (ko) 플라즈마 프로세싱 챔버를 위한 가동 접지 링
US20190088518A1 (en) Substrate support with cooled and conducting pins
KR20210087547A (ko) 에지 링의 온도 및 바이어스 제어
US11584994B2 (en) Pedestal for substrate processing chambers
JP7493516B2 (ja) 基板処理チャンバ用ペデスタル