JP7206028B2 - エッジ均一性制御のための調整可能な延長電極 - Google Patents

エッジ均一性制御のための調整可能な延長電極 Download PDF

Info

Publication number
JP7206028B2
JP7206028B2 JP2017234103A JP2017234103A JP7206028B2 JP 7206028 B2 JP7206028 B2 JP 7206028B2 JP 2017234103 A JP2017234103 A JP 2017234103A JP 2017234103 A JP2017234103 A JP 2017234103A JP 7206028 B2 JP7206028 B2 JP 7206028B2
Authority
JP
Japan
Prior art keywords
ring
ring component
component
adjustable adjustment
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017234103A
Other languages
English (en)
Other versions
JP2018125519A (ja
JP2018125519A5 (ja
Inventor
リュエール オリビエ
ドーフ レオニド
スリニバサン スニル
ディンドサ ラジンダー
ロジャース ジェームズ
エム コーサウ デニス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018125519A publication Critical patent/JP2018125519A/ja
Publication of JP2018125519A5 publication Critical patent/JP2018125519A5/ja
Application granted granted Critical
Publication of JP7206028B2 publication Critical patent/JP7206028B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Insulating Materials (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

背景
(分野)
本明細書に記載の実施形態は、概して、基板処理装置に関し、より詳細には、基板処理装置用の改良されたプロセスキットに関する。
(関連技術の説明)
半導体技術ノードが縮小されたサイズのデバイスジオメトリと共に進歩するにつれて、基板エッジのクリティカルディメンジョンの均一性の要件がより厳しくなり、ダイの歩留まりに影響を与えている。商業的なプラズマリアクタは、基板全域にわたるプロセス均一性(例えば、温度、ガス流、RF電力など)を制御するための複数の調整可能なノブを含む。典型的には、エッチングプロセスにおいて、シリコン基板は、静電チャックに静電的にクランプされながらエッチングされる。
処理中、基板支持体上に載置された基板は、基板上に材料を堆積させ、基板から材料の一部を除去又はエッチングするプロセスを、しばしば連続又は交互のプロセスで受ける可能性がある。典型的には、基板の表面全域にわたって均一な堆積速度及びエッチング速度を有することが有益である。しかしながら、プロセスの不均一性がしばしば基板の表面全域にわたって存在し、基板の周縁部又はエッジで顕著である可能性がある。周縁部におけるこれらの不均一性は、電場の終端の影響に起因する可能性があり、時にはエッジ効果と呼ばれる。堆積又はエッチングの間に、少なくとも1つの堆積リングを含むプロセスキットが時には提供され、基板の周縁部又はエッジにおける均一性に好影響を与える。
したがって、基板処理装置のための改良されたプロセスキットが絶えず必要とされている。
概要
本明細書に記載の実施形態は、概して、基板処理装置に関する。一実施形態では、基板処理チャンバ用のプロセスキットが本明細書に開示される。プロセスキットは、リング、調整可能(アジャスタブル)な調整(チューニング)リング、及び作動機構を含む。リングは、第1リングコンポーネント及び第2リングコンポーネントを有する。第1リングコンポーネントは、第2リングコンポーネントとインターフェース接続され、これによって第2リングコンポーネントは、第1リングコンポーネントに対して可動となり、これらの間にギャップを形成する。調整可能な調整リングは、リングの下に配置され、第2リングコンポーネントの底面に接触する。調整可能な調整リングは上面及び底面を有する。調整可能な調整リングの上面は、第2リングコンポーネントに接触する。作動機構は、調整可能な調整リングの底面とインターフェース接続される。作動機構は、調整可能な調整リングを作動させるように構成され、これによって第1リングコンポーネントと第2リングコンポーネントとの間のギャップを変化させる。
別の一実施形態では、処理チャンバが本明細書に開示される。処理チャンバは、基板支持部材及びプロセスキットを含む。基板支持部材は、基板を支持するように構成される。プロセスキットは、基板支持部材によって支持される。プロセスキットは、リング、調整可能な調整リング、及び作動機構を含む。リングは、第1リングコンポーネント及び第2リングコンポーネントを有する。第1リングコンポーネントは、第2リングコンポーネントとインターフェース接続され、これによって第2リングコンポーネントは、第1リングコンポーネントに対して可動となり、これらの間にギャップを形成する。調整可能な調整リングは、リングの下に配置され、第2リングコンポーネントの底面に接触する。調整可能な調整リングは上面及び底面を有する。調整可能な調整リングの上面は、第2リングコンポーネントに接触する。作動機構は、調整可能な調整リングの底面とインターフェース接続される。作動機構は、調整可能な調整リングを作動させるように構成され、これによって第1リングコンポーネントと第2リングコンポーネントとの間のギャップを変化させる。
別の一実施形態では、基板を処理する方法が本明細書に開示される。基板は、基板処理チャンバ内に配置された基板支持部材上に配置される。プラズマは基板の上方に生成される。エッジリングのコンポーネントの高さは、コンポーネントにインターフェース接続された調整可能な調整リングを作動させることによって調整され、基板のエッジでイオンの方向を変える。
本開示の上述した構成を詳細に理解することができるように、上記に簡単に要約した本開示のより具体的な説明を、実施形態を参照して行う。実施形態のいくつかは添付図面に示されている。しかしながら、添付図面は本開示の典型的な実施形態を示しているに過ぎず、したがってこの範囲を制限していると解釈されるべきではなく、本開示は他の等しく有効な実施形態を含み得ることに留意すべきである。
一実施形態に係る、処理チャンバの断面図である。 一実施形態に係る、図1の処理チャンバの拡大部分断面図である。 一実施形態に係る、図1の処理チャンバの拡大部分断面図である。 一実施形態に係る、2つの静電容量経路を示す、図1の処理チャンバの一部の簡略断面図である。 本開示の別の利点を示す、一実施形態に係る、図1の処理チャンバの一部の簡略断面図である。 本開示の別の利点を示す、一実施形態に係る、図1の処理チャンバの一部の簡略断面図である。
明確にするために、図面に共通する同一の要素を示す際には適用可能な限り同一の参照番号を使用している。また、一実施形態の要素は、本明細書に記載される他の実施形態での利用に対して有利に適合させることができる。
詳細な説明
図1は、一実施形態に係る、調整可能な調整リング150を有する処理チャンバ100の断面図である。図示されるように、処理チャンバ100は、基板(例えば、基板101)をエッチングするのに適したエッチングチャンバである。本開示から恩恵を受けるように適合され得る処理チャンバの例は、カリフォルニア州サンタクララにあるアプライドマテリアルズ社(Applied Materials、Inc.)から市販されている、Sym3(商標名)処理チャンバ、C3(商標名)処理チャンバ、及びMesa(商標名)処理チャンバである。堆積チャンバ及び他の製造業者からのものを含む他の処理チャンバは、本開示から恩恵を受けるように適合され得ることが考えられる。
処理チャンバ100は、様々なプラズマ処理のために使用することができる。一実施形態では、処理チャンバ100を使用して、1以上のエッチング剤によりドライエッチングを行うことができる。例えば、処理チャンバは、前駆体CxFy(ただし、xとyは異なる許容される組み合わせとすることができる)、O2、NF3、又はそれらの組み合わせからのプラズマの点火のために使用することができる。
処理チャンバ100は、チャンバ本体102、蓋アセンブリ104、及び支持アセンブリ106を含む。蓋アセンブリ104は、チャンバ本体102の上端部に配置される。支持アセンブリ106は、チャンバ本体102によって画定される内部容積108内にさらされる。チャンバ本体102は、その側壁に形成されたスリットバルブ開口部110を含む。スリットバルブ開口部110は選択的に開閉され、基板ハンドリングロボット(図示せず)によって内部容積108へのアクセスを可能にする。
チャンバ本体102は、支持アセンブリ106を取り囲むライナ112を更に含むことができる。ライナ112は、修理及び洗浄のために取り外し可能である。ライナ112は、金属(例えば、アルミニウム)、セラミックス材料、又は他のプロセス適合性材料で作製することができる。1以上の実施形態では、ライナ112は、1以上の開口部114と、真空ポート118と流体連通する内部に形成されたポンピングチャネル116とを含む。開口部114は、ガスがポンピングチャネル116に流入する流路を提供する。ポンピングチャネル116は、真空ポート118へのチャンバ100内のガスの排出を提供する。
真空システム120は、真空ポート118に結合される。真空システム120は、真空ポンプ122及びスロットルバルブ124を含むことができる。スロットルバルブ124は、チャンバ100を通るガスの流れを調節する。真空ポンプ122は、内部容積108内に配置された真空ポート118に結合される。
蓋アセンブリ104は、その間にプラズマ容積又は空洞を形成するように構成された少なくとも2つの積み重ねられたコンポーネントを含む。1以上の実施形態では、蓋アセンブリ104は、第2電極128(「下部電極」)の鉛直方向上方に配置された第1電極126(「上部電極」)を含む。上部電極126及び下部電極128は、その間にプラズマ空洞130を閉じ込める。第1電極126は、電源132(例えば、RF電源)に結合される。第2電極128は、グランドに接続され、2つの電極126、128の間に静電容量(キャパシタンス)を形成する。上部電極126は、ガス入口134と流体連通している。1以上のガス入口134の第1端部は、プラズマ空洞130内に開放している。
蓋アセンブリ104はまた、第1電極126を第2電極128から電気的に絶縁するアイソレータリング136を含むことができる。アイソレータリング136は、酸化アルミニウム又は任意の他の絶縁性の加工適合性材料から作製することができる。
蓋アセンブリはまた、ガス分配プレート138及びブロッカプレート140を含むことができる。第2電極128、ガス分配プレート138、及びブロッカプレート140は、チャンバ本体102に結合される蓋リム142上に積み重ねられ配置することができる。
1以上の実施形態では、第2電極128は、プラズマ空洞130の下に形成された複数のガス通路144を含み、プラズマ空洞130からのガスがそこを通って流れることを可能にする。ガス分配プレート138は、そこを通ってガス流を分配するように構成された複数の開口部146を含む。ブロッカプレート140は、第2電極128とガス分配プレート138との間にオプションとして配置されてもよい。ブロッカプレート140は、複数の開口部148を含み、第2電極128からガス分配プレート138まで複数のガス通路を提供する。
支持アセンブリ106は、支持部材180を含むことができる。支持部材180は、処理のために基板101を支持するように構成される。支持部材180は、チャンバ本体102の底面を貫通して延びるシャフト184を介してリフト機構182に結合することができる。リフト機構182は、シャフト184の周囲からの真空漏れを防止するベローズ186によってチャンバ本体102にフレキシブルにシールされてもよい。リフト機構182は、支持部材180が下部の搬送部分と多数の上部の処理位置との間でチャンバ本体102内を鉛直方向に移動することを可能にする。更に、1以上のリフトピン188が、支持部材180を貫通して配置することができる。1以上のリフトピン188は、支持部材180を貫通して延在するように構成され、これによって基板101は支持部材180の表面から持ち上げることができる。1以上のリフトピン188は、リフトリング190によってアクティブにすることができる。
図2Aは、一実施形態に係る、支持部材180に接して内部に配置されたプロセスキット200を示す処理チャンバ100の一部の部分断面図である。支持部材180は、静電チャック202、冷却プレート(又はカソード)204、及びベース206を含む。冷却プレート204は、ベース206の上に配置される。冷却プレート204は、それを通して冷却液を循環させるための複数の冷却チャネル(図示せず)を含むことができる。冷却プレート204は、接着剤又は任意の適切な機構によって静電チャック202と係合することができる。1以上の電源208を冷却プレート204に結合することができる。静電チャック202は、1以上のヒータ(図示せず)を含むことができる。1以上のヒータは、独立して制御可能であってもよい。1以上のヒータにより、静電チャック202は、基板101の底面から基板101を所望の温度に加熱することができる。
プロセスキット200は、支持部材180上に支持することができる。プロセスキット200は、環状体212を有するエッジリング210を含む。環状体212は、2つのエッジリングコンポーネント214、216に分割される。2つのエッジリングコンポーネント214、216は互いにインターフェース接続され、これによってコンポーネント216は、コンポーネント214に対して移動可能とすることができる。なお、「インターフェース接続」なる用語は、本明細書内で幅広く使用され、直接協働して共に作用することを意味し、直接的/間接的機械的結合、及び/又は有線/無線伝達を介することができる。第1エッジリングコンポーネント214は、上面218と、底面220と、内側エッジ222と、外側エッジ224とを含む。上面218は、底面220と実質的に平行である。内側エッジ222は、外側エッジ224と実質的に平行であり、底面220に対して実質的に垂直である。いくつかの実施形態では、第1エッジリングコンポーネント214は、その中に画定された段差面226を更に含む。図示の実施形態では、段差面226は、外側エッジ224に形成され、これによって段差面226は底面220と実質的に平行となる。段差面226は、第2エッジリングコンポーネント216を受けるための凹部を画定する。一般的に、第1エッジリングコンポーネント214の高さは、静電チャック202の高さによって制限される。例えば、第1エッジリングコンポーネント214の内側エッジ222は、静電チャック202の高さを超えて延在しない。こうして、第1エッジリングコンポーネント214は、静電チャック202の側部を保護する。いくつかの実施形態では、基板101は、静電チャック202上に配置されたとき、第1エッジリングコンポーネント214の上に部分的に延在している。
第2エッジリングコンポーネント216は、上面228と、底面230と、内側エッジ232と、外側エッジ234とを含む。上面228は、底面230と実質的に平行である。内側エッジ232は、外側エッジ234と実質的に平行であり、底面230に対して実質的に垂直である。一実施形態では、第2エッジリングコンポーネント216は、底面230を介して第1エッジリングコンポーネント214とインターフェース接続される。例えば、第2エッジリングコンポーネント216の底面230は、第1エッジリングコンポーネント214の段差面226とインターフェース接続される。別の一実施形態では、第2エッジリングコンポーネント216は、その中に画定された段差面236を更に含むことができる。図示の実施形態では、段差面236は、内側エッジ232に形成され、これによって段差面236は、第1エッジリングコンポーネント214の段差面226とインターフェース接続する。第1エッジリングコンポーネント214とインターフェース接続するとき、第2エッジリングコンポーネント216の内側エッジ232は、基板から離間している。例えば、第2エッジリングコンポーネント216の内側エッジ232は、基板101から約0.02mm~約0.1mmの間で離間することができる。
一実施形態では、第1エッジリングコンポーネント214及び第2エッジリングコンポーネント216は、インターフェース接続されると、連続的な底面238及び連続的な上面240を形成する。別の一実施形態では、第1エッジリングコンポーネント214及び第2エッジリングコンポーネント216は、インターフェース接続されると、連続的な底面238及び連続的な上面240を形成しない。むしろ、いくつかの実施形態では、第1エッジリングコンポーネント214の上面218は、第2エッジリングコンポーネント216の上面228よりも高くすることができる。他の実施形態では、第2エッジリングコンポーネント216の底面230は、第1エッジリングコンポーネント214の底面220の下に位置することができる。したがって、いくつかの実施形態では、第1エッジリングコンポーネント214及び第2エッジコンポーネント216は、連続的な上面又は底面を形成しない。
プロセスキットは、上面254及び底面256を有する調整可能な調整リング150を更に含む。調整可能な調整リング150は、導電性材料(例えば、アルミニウム)から形成することができる。調整可能な調整リング150は、エッジリング210の下に配置される。例えば、調整可能な調整リング150は、第2エッジリングコンポーネント216の下に配置される。調整可能な調整リング150は、エッジリング210の底面238に接触する。例えば、調整可能な調整リング150は、第2エッジリングコンポーネント216の底面230に接触する。一実施形態では、調整可能な調整リング150は、静電チャック202及び冷却プレート204の長さ方向に下へと延在し、これによって調整可能な調整リング150は、静電チャック202及び冷却プレート204の組み合わされた高さと実質的に等しい高さを有する。このように、調整可能な調整リング150は、冷却プレート204からの電力をエッジリング210に結合することができる。
調整可能な調整リング150は、冷却プレート204に外接することができ、したがって、横方向に離間したギャップ258を形成する。一例では、横方向に離間したギャップ258は、0インチより大きく0.03インチ以下である。調整可能な調整リング150は、リフトピン218とインターフェース接続する。例えば、リフトピン260は、調整可能な調整リング150と動作可能に結合させることができる。リフトピン260は、リフト機構182によって駆動される。いくつかの実施形態では、リフトピン260は、リフト機構182から独立したリフト機構(図示せず)によって駆動することができる。リフト機構182は、調整可能な調整リング150をチャンバ100内で鉛直方向に移動させることができる。調整リング150の鉛直移動の結果として、リフト機構182は、第2エッジリングコンポーネント216を上昇させる。第2エッジリングコンポーネント216は、第1エッジリングコンポーネント214の上方に持ち上げられ、こうして第1エッジリングコンポーネントの段差面と第2エッジリングコンポーネントの段差面との間にギャップ(図4B内の299)を形成することができる。
一実施形態では、調整可能な調整リング150は、調整可能な調整リング150の上面254に形成されたコーティング263を含むことができる。例えば、コーティング263は、酸化イットリウムコーティング又はゲル様コーティングであってもよい。コーティング263を使用して、プラズマと調整可能な調整リング150との間の化学反応を制限し、こうして、粒子の生成及びリングの損傷を制限する。別の一実施形態では、1以上の誘電体パッド(例えば、テフロン(登録商標)パッド)306が、調整可能な調整リング150と冷却プレート204との間の内部に配置され、その上にエッジリング210が着座する。
別の一実施形態(例えば、図2Bに示されるもの)では、調整可能な調整リング150は手動で動かすことができ、こうしてリフトピン260の必要性を排除する。調整リング150は、空洞262及びその中に形成されたアクセスオリフィス264を含むことができる。アクセスオリフィス264は、調整可能な調整リング150の上部から形成され、空洞260の中に下方へと延びる。アクセスオリフィス264は、空洞262の第2直径268より小さい第1直径266を有する。空洞262は、アクセスオリフィス264の下に形成されている。空洞262は、調整リング150の底部まで形成されている。空洞262は、ネジ270を収容するように構成されている。ネジ270は、例えば、アクセスオリフィス264を介して空洞262内に延在する六角キー(図示せず)を介して回転させることができ、これによってネジ270は調整リング150を上昇/下降させることができる。
図2A及び図2Bを併せて考察すると、プロセスキット200は、石英リング272を更に含むことができる。石英リング272は、上面276、底面278、内側エッジ280、及び外側エッジ面282を有する環状体274を含む。上面276は、底面278と実質的に平行である。内側エッジ280は、外側エッジ282に実質的に平行であり、底面278に対して実質的に垂直である。内側エッジ280は、調整可能な調整リング150及びエッジリング210に隣接して配置される。
図3は、一実施形態に係る、2つの静電容量を示す、図1の処理チャンバの一部の簡略断面図である。電力は、2つの静電容量302、304を通る2つの経路に沿って、カソード204からエッジリングに結合させることができる。結合される電力の量は、リング210とプラズマとの間の静電容量305に対する、これらの2つの経路に沿った静電容量に依存する。プラズマ条件に依存して、静電容量305は変化させることができる。例えば、静電容量305は、5pFから150pFまで変化させることができる。別の一例では、静電容量304は、調整可能な調整リング150が上下に動かされるときに、2つのエッジリングコンポーネント214、216の間に平行平面コンデンサが形成されるため、約10pF~約500pFの間で変化させることができる。静電容量302はまた、調整可能な調整リング150とカソード204との間に重複領域があるため、調整可能な調整リング150が上下に動くときに変化させることができる。調整可能な調整リング150とカソード204の配置は、平行板コンデンサを形成する。調整可能な調整リング150が上下に動くと、調整可能な調整リング150とカソード204との間の重複領域が変化し、可変静電容量302が生じる。それにもかかわらず、鉛直方向の移動の振幅は、カソード204と重複する調整可能な調整リング150の長さに対して小さいので、静電容量302の変化は制限される。例えば、鉛直方向の移動の振幅は、約0mm~約2mmとすることができ、一方、カソードと重複する調整可能な調整リング150の長さは約3cmである。その結果、静電容量302は、ある閾値量を超えて維持される。例えば、静電容量302は、1000pFを超えて維持することができる。従って、静電容量302と304との和である、カソード204とエッジリング210との間の静電容量は、静電容量305よりも常に少なくとも1桁大きい。このように、エッジリング210の電位VDCは、ほぼ一定のままである。例えば、電位変動は5%を超えないかもしれない。エッジリング210に印加される電圧VDCを一定に維持することにより、基板101及びエッジリング210の周りのプラズマシースの制御が可能になる。その効果については、図4A及び図4Bに関連して以下でより詳細に説明する。
図4A及び図4Bは、本開示の別の利点を示す、一実施形態に係る、処理チャンバ100の一部を示す。電圧VDCを使用して、基板101のエッジ406でプラズマシース404のプロファイルを制御して、基板エッジ406でのクリティカルディメンジョンの均一性を補償することができる。プラズマシース404は、プラズマの本体をその材料境界に結合する空間電荷によって形成される強い電場の薄い領域である。数学的には、シースの厚さdは、チャイルド・ラングミュア方程式で表される。
Figure 0007206028000001
ただし、iはイオン電流密度、εは真空の誘電率、eは素電荷、Vはプラズマ電位、VDCは直流電圧である。
エッチングリアクタの場合、プラズマと、エッチングされる基板101、チャンバ本体102、及びプラズマと接触する処理チャンバ100のあらゆる他の部分との間に、プラズマシース404が形成される。プラズマ中で生成されたイオンは、プラズマシース内で加速され、プラズマシースに対して垂直に移動する。VDCを制御すること(すなわち、エッジリング210に印加される電圧を制御すること)は、シース404の厚さdに影響を及ぼす。シース404のシース厚さdは、エッジリング210に関して測定することができる。例えば、厚さdは、図4A及び図4Bに示される。図示の実施形態では、調整可能な調整リング150を作動させると、第2エッジリングコンポーネント216が上昇する。VDCが一定のままであるので、エッジリング210の上方のシース厚さは一定のままである。したがって、調節可能な調整リング150を作動させると、シースの厚さに影響を与えることなくシース404が鉛直方向に上昇する。したがって、調整可能な調整リング150の移動は、基板101のエッジ406におけるシース404の形状に影響を及ぼし、これは次に、プラズマイオンの方向を制御する。
図4Bは、第2エッジリングコンポーネント216が上昇位置にある図4Aの処理チャンバ100の一部を示している。図示されるように、また図4Aで説明したように、調整可能な調整リング150を上げると、第2エッジリングコンポーネント216が上昇し、次いでシース404が上昇する。電位VDCは、ほぼ固定された静電容量302の結果としてほぼ一定のままであり、シース404の厚さdは、全体にわたって一定のままである。
図1に戻って参照すると、調節可能な調整リングの制御は、コントローラ191によって制御することができる。コントローラ191は、処理システムの様々なコンポーネントに結合され、基板処理の制御を促進する、メモリ194及び大容量記憶装置、入力制御ユニット、及びディスプレイユニット(図示せず)(例えば、電源、クロック、キャッシュ、入出力(I/O)回路、及びライナ)と共に動作可能なプログラミング可能な中央処理装置(CPU)192を含む。
上述したチャンバ100の制御を促進するために、CPU192は、様々なチャンバ及びサブプロセッサを制御するために工業環境で使用することができる任意の形態の汎用コンピュータプロセッサのうちの1つ(例えば、プログラマブルロジックコントローラ(PLC))とすることができる。メモリ194はCPU192に結合され、メモリ194は非一時的であり、容易に利用可能なメモリ(例えば、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピー(商標名)ディスクドライブ、ハードディスク、又はローカル又はリモートの他の形態のデジタル記憶装置)のうちの1以上とすることができる。サポート回路196は、CPU192に結合され、従来の方法でプロセッサをサポートする。荷電種の生成、加熱、及び他のプロセスは、一般的に、(通常はソフトウェアルーチンとして)メモリ194に記憶される。ソフトウェアルーチンはまた、CPU192によって制御される処理チャンバ100から遠隔に位置する第2のCPU(図示せず)によって記憶及び/又は実行されてもよい。
メモリ194は、CPU192によって実行されると、チャンバ100の動作を促進する命令を含むコンピュータ可読記憶媒体の形態である。メモリ194内の命令は、プログラム製品の形態(例えば、本開示の方法を実装するプログラム)である。プログラムコードは、多数の異なるプログラミング言語のうちのいずれか1つに準拠することができる。一例では、本開示は、コンピュータシステムと共に使用するためのコンピュータ可読記憶媒体に記憶されたプログラム製品として実装することができる。プログラム製品のプログラムは、(本明細書に記載の方法を含む)実施形態の機能を定義する。例示的なコンピュータ可読記憶媒体には、(i)情報が永久に格納される書き込み不可能な記憶媒体(例えば、コンピュータ内のリードオンリーメモリ装置(例えば、CD-ROMドライブによって読み取り可能なCD-ROMディスク)、フラッシュメモリ、ROMチップ、又は任意のタイプの固体(ソリッドステート)不揮発性半導体メモリ)と、(ii)変更可能な情報が格納される書込み可能な記憶媒体(例えば、ディスケットドライブ内のフロッピー(商標名)ディスク又はハードディスクドライブ又は任意のタイプの固体ランダムアクセス半導体メモリ)が含まれるが、これらに限定されない。そのようなコンピュータ可読記憶媒体は、本明細書に記載の方法の機能を指示するコンピュータ可読命令を持っている場合、本開示の実施形態となる。
上記は特定の実施形態を対象としているが、他の及び更なる実施形態は本発明の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (14)

  1. 基板処理チャンバ用のプロセスキットであって、プロセスキットは、
    第1リングコンポーネント及び第2リングコンポーネントを有するリングであって、第1リングコンポーネントは、第2リングコンポーネントとインターフェース接続され、これによって第2リングコンポーネントは、第1リングコンポーネントに対して可動となり、これらの間にギャップを形成するリングと、
    リングの下に配置され、第2リングコンポーネントの底面に接触する調整可能な調整リングであって、調整可能な調整リングは上面及び底面を有する環状体を有し、環状体の底面には空洞が形成され、環状体内にはアクセスオリフィスが形成され、アクセスオリフィスは環状体の上面から空洞内へと延在し、環状体の上面は、第2リングコンポーネントに接触する調整可能な調整リングと、
    調整可能な調整リングの環状体の底面とインターフェース接続された作動機構であって、作動機構は調整可能な調整リングを作動させるように構成され、これによって第1リングコンポーネントと第2リングコンポーネントとの間のギャップを変化させる作動機構とを含むプロセスキット。
  2. 調整可能な調整リングは、導電性材料から形成される、請求項1記載のプロセスキット。
  3. 第1リングコンポーネントは、内部に形成された段差面を含む、請求項1記載のプロセスキット。
  4. 第2リングコンポーネントは、内部に形成された段差面を含み、第2リングコンポーネントの段差面は、第1リングコンポーネントの段差面とインターフェース接続する、請求項3記載のプロセスキット。
  5. 処理チャンバであって、
    基板を支持するように構成された基板支持部材と、
    基板支持部材によって支持されたプロセスキットとを含み、プロセスキットは、
    第1リングコンポーネント及び第2リングコンポーネントを有するリングであって、第1リングコンポーネントは、第2リングコンポーネントとインターフェース接続され、これによって第2リングコンポーネントは、第1リングコンポーネントに対して可動となり、これらの間にギャップを形成するリングと、
    リングの下に配置され、第2リングコンポーネントの底面に接触する調整可能な調整リングであって、調整可能な調整リングは上面及び底面を有する環状体を有し、環状体の底面には空洞が形成され、環状体内にはアクセスオリフィスが形成され、アクセスオリフィスは環状体の上面から空洞内へと延在し、環状体の上面は、第2リングコンポーネントに接触する調整可能な調整リングと、
    調整可能な調整リングの環状体の底面とインターフェース接続された作動機構であって、作動機構は調整可能な調整リングを作動させるように構成され、これによって第1リングコンポーネントと第2リングコンポーネントとの間のギャップを変化させる作動機構とを含む処理チャンバ。
  6. 調整可能な調整リングは、導電性材料から形成される、請求項5記載の処理チャンバ。
  7. 第1リングコンポーネントは、内部に形成された段差面を含む、請求項5記載の処理チャンバ。
  8. 第2リングコンポーネントは、内部に形成された段差面を含み、第2リングコンポーネントの段差面は、第1リングコンポーネントの段差面とインターフェース接続する、請求項7記載の処理チャンバ。
  9. 処理チャンバであって、
    基板を支持するように構成された基板支持部材と、
    基板支持部材によって支持されたプロセスキットとを含み、プロセスキットは、
    第1リングコンポーネント及び第2リングコンポーネントを有するリングであって、第1リングコンポーネントは、第2リングコンポーネントとインターフェース接続され、これによって第2リングコンポーネントは、第1リングコンポーネントに対して可動となり、これらの間にギャップを形成するリングと、
    リングの下に配置され、第2リングコンポーネントの底面に接触する調整可能な調整リングであって、調整可能な調整リングは上面及び底面を有する環状体を有し、環状体の上面は、第2リングコンポーネントに接触する調整可能な調整リングと、
    調整可能な調整リングの環状体の底面とインターフェース接続された作動機構であって、作動機構は調整可能な調整リングを作動させるように構成され、これによって第1リングコンポーネントと第2リングコンポーネントとの間のギャップを変化させる作動機構とを含み、
    作動機構は、第1端部及び第2端部を有するリフトピンを含み、リフトピンの第1端部は調整可能な調整リングの環状体の底面に接触し、リフトピンの第2端部はリフト機構と連通している、処理チャンバ。
  10. 作動機構は、空洞内に少なくとも部分的に配置されたネジであり、ネジは、アクセスオリフィスを通して回転され、調整可能な調整リングを作動させるように構成される、請求項5記載の処理チャンバ。
  11. 空洞は第1直径を有し、アクセスオリフィスは第2直径を有し、第1直径は第2直径よりも大きい、請求項5記載の処理チャンバ。
  12. 作動機構は、プラズマシースの厚さをほぼ一定に維持しながら、プラズマとエッジリングとの間に形成されたプラズマシースを押し上げる及び押し下げるように構成されている、請求項1記載のプロセスキット。
  13. 基板支持部材は、
    ベースと、
    ベースによって支持された冷却プレートと、
    冷却プレートの上面に配置された静電チャックとを含む、請求項5記載の処理チャンバ。
  14. 請求項5記載の基板処理チャンバ内で基板を処理する方法であって、
    基板処理チャンバ内に配置された基板支持部材上に基板を配置するステップと、
    基板の上方にプラズマを形成するステップと、
    コンポーネントにインターフェース接続された調整可能な調整リングを作動させることによってエッジリングの前記コンポーネントの高さを調整して基板のエッジでイオンの方向を変えるステップとを含む方法。
JP2017234103A 2017-02-01 2017-12-06 エッジ均一性制御のための調整可能な延長電極 Active JP7206028B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/421,726 US10553404B2 (en) 2017-02-01 2017-02-01 Adjustable extended electrode for edge uniformity control
US15/421,726 2017-02-01

Publications (3)

Publication Number Publication Date
JP2018125519A JP2018125519A (ja) 2018-08-09
JP2018125519A5 JP2018125519A5 (ja) 2020-11-26
JP7206028B2 true JP7206028B2 (ja) 2023-01-17

Family

ID=62980201

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017234103A Active JP7206028B2 (ja) 2017-02-01 2017-12-06 エッジ均一性制御のための調整可能な延長電極

Country Status (5)

Country Link
US (2) US10553404B2 (ja)
JP (1) JP7206028B2 (ja)
KR (3) KR102498895B1 (ja)
CN (2) CN108376657A (ja)
TW (1) TWI771346B (ja)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116110846A (zh) * 2016-01-26 2023-05-12 应用材料公司 晶片边缘环升降解决方案
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10276364B2 (en) 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
JP6859426B2 (ja) * 2018-08-13 2021-04-14 ラム リサーチ コーポレーションLam Research Corporation エッジリングの位置決めおよびセンタリング機構を組み込んだプラズマシース調整のための交換可能および/または折りたたみ式エッジリングアセンブリ
JP7115942B2 (ja) * 2018-09-06 2022-08-09 東京エレクトロン株式会社 載置台、基板処理装置、エッジリング及びエッジリングの搬送方法
JP7076351B2 (ja) * 2018-10-03 2022-05-27 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の厚さ測定方法
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11551965B2 (en) * 2018-12-07 2023-01-10 Applied Materials, Inc. Apparatus to reduce polymers deposition
JP7406965B2 (ja) * 2019-01-09 2023-12-28 東京エレクトロン株式会社 プラズマ処理装置
US20200234928A1 (en) * 2019-01-17 2020-07-23 Applied Materials, Inc. Semiconductor plasma processing equipment with wafer edge plasma sheath tuning ability
KR20210126783A (ko) * 2019-03-06 2021-10-20 램 리써치 코포레이션 기판 프로세싱 시스템을 위한 조정가능한 에지 링의 두께를 측정하기 위한 측정 시스템
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
CN112151343B (zh) * 2019-06-28 2023-03-24 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体处理装置及其方法
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
TWM602283U (zh) * 2019-08-05 2020-10-01 美商蘭姆研究公司 基板處理系統用之具有升降銷溝槽的邊緣環
JP7227875B2 (ja) * 2019-08-22 2023-02-22 株式会社荏原製作所 基板ホルダおよびめっき装置
CN112713075B (zh) * 2019-10-25 2024-03-12 中微半导体设备(上海)股份有限公司 等离子体隔离环、等离子体处理装置与基片处理方法
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
US11668553B2 (en) 2020-02-14 2023-06-06 Applied Materials Inc. Apparatus and method for controlling edge ring variation
JP7466686B2 (ja) * 2020-03-23 2024-04-12 ラム リサーチ コーポレーション 基板処理システムにおける中間リング腐食補償
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
US11380575B2 (en) 2020-07-27 2022-07-05 Applied Materials, Inc. Film thickness uniformity improvement using edge ring and bias electrode geometry
KR20220021514A (ko) 2020-08-14 2022-02-22 삼성전자주식회사 상부 전극 및 이를 포함하는 기판 처리 장치

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010021404A (ja) 2008-07-11 2010-01-28 Hitachi High-Technologies Corp プラズマ処理装置
JP2012146743A (ja) 2011-01-07 2012-08-02 Tokyo Electron Ltd 基板処理装置
JP2016146472A (ja) 2015-01-16 2016-08-12 ラム リサーチ コーポレーションLam Research Corporation 半導体ウエハ処理中におけるエッジ処理制御のための可動式エッジ連結リング

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5267607A (en) 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
EP0742579A2 (en) * 1995-05-11 1996-11-13 Applied Materials, Inc. A method and apparatus for concentrating plasma on a substrate surface during processing
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5851140A (en) 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
JPH10289881A (ja) * 1997-04-15 1998-10-27 Kokusai Electric Co Ltd プラズマcvd装置
DE59812627D1 (de) 1997-12-23 2005-04-07 Unaxis Balzers Ag Haltevorrichtung
US6773562B1 (en) 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
JP2000049144A (ja) 1998-07-28 2000-02-18 Hitachi Chem Co Ltd プラズマ処理装置用電極板
JP3234576B2 (ja) 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6709547B1 (en) 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP2001230239A (ja) * 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3388228B2 (ja) * 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
KR100578129B1 (ko) 2003-09-19 2006-05-10 삼성전자주식회사 플라즈마 식각 장치
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7338578B2 (en) 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
US20050189068A1 (en) 2004-02-27 2005-09-01 Kawasaki Microelectronics, Inc. Plasma processing apparatus and method of plasma processing
US20050263070A1 (en) 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
JP4006004B2 (ja) 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
KR100964775B1 (ko) 2005-10-12 2010-06-21 파나소닉 주식회사 플라즈마 처리장치 및 플라즈마 처리방법
KR20080015466A (ko) 2006-01-31 2008-02-19 동경 엘렉트론 주식회사 기판 처리 장치 및 그것에 이용되는 기판 탑재대,플라즈마에 노출되는 부재
JP5116983B2 (ja) * 2006-03-30 2013-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7572737B1 (en) 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
KR20080023569A (ko) * 2006-09-11 2008-03-14 주식회사 하이닉스반도체 식각프로파일 변형을 방지하는 플라즈마식각장치
US20080066868A1 (en) 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
JP2008078208A (ja) 2006-09-19 2008-04-03 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
CN101583736A (zh) 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US20080289766A1 (en) 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
US8563619B2 (en) * 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
KR100963297B1 (ko) 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US8317969B2 (en) * 2008-03-25 2012-11-27 Tokyo Electron Limited Plasma processing apparatus
US20090260982A1 (en) 2008-04-16 2009-10-22 Applied Materials, Inc. Wafer processing deposition shielding components
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
KR101245430B1 (ko) * 2008-07-11 2013-03-19 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US20100101729A1 (en) 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
JP5406067B2 (ja) 2009-02-16 2014-02-05 キヤノンアネルバ株式会社 トレイ及び真空処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
JP5650935B2 (ja) 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
CN102550130A (zh) 2009-08-31 2012-07-04 朗姆研究公司 用于执行等离子体约束的多外围环装置
US8270141B2 (en) * 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
JP5654297B2 (ja) 2010-09-14 2015-01-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
JP5690596B2 (ja) * 2011-01-07 2015-03-25 東京エレクトロン株式会社 フォーカスリング及び該フォーカスリングを備える基板処理装置
JP6001529B2 (ja) 2011-03-29 2016-10-05 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
JP2012222235A (ja) * 2011-04-12 2012-11-12 Hitachi High-Technologies Corp プラズマ処理装置
KR101744668B1 (ko) 2011-05-31 2017-06-08 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
KR101267459B1 (ko) 2011-09-08 2013-05-31 한국과학기술연구원 플라즈마 이온주입 장치 및 방법
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9184030B2 (en) * 2012-07-19 2015-11-10 Lam Research Corporation Edge exclusion control with adjustable plasma exclusion zone ring
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
CN105493262B (zh) 2013-08-30 2019-02-15 应用材料公司 基板支撑系统
JP2015050156A (ja) 2013-09-04 2015-03-16 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US9410249B2 (en) * 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
JP6345030B2 (ja) 2014-08-11 2018-06-20 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
CN105789010B (zh) 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
JP6456177B2 (ja) 2015-02-12 2019-01-23 株式会社ディスコ ウェーハ処理システム
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
CN108140606B (zh) 2015-10-21 2022-05-24 住友大阪水泥股份有限公司 静电卡盘装置
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10985078B2 (en) 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10921251B2 (en) 2016-08-22 2021-02-16 Applied Materials, Inc. Chamber component part wear indicator and a system for detecting part wear
US20180061696A1 (en) 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
JP2018054500A (ja) 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
JP6656200B2 (ja) 2017-04-12 2020-03-04 東京エレクトロン株式会社 位置検出システム及び処理装置
KR102535916B1 (ko) 2017-04-26 2023-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP7326275B2 (ja) 2017-12-01 2023-08-15 アプライド マテリアルズ インコーポレイテッド エッチング選択性の高いアモルファスカーボン膜
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
JP6995008B2 (ja) 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010021404A (ja) 2008-07-11 2010-01-28 Hitachi High-Technologies Corp プラズマ処理装置
JP2012146743A (ja) 2011-01-07 2012-08-02 Tokyo Electron Ltd 基板処理装置
JP2016146472A (ja) 2015-01-16 2016-08-12 ラム リサーチ コーポレーションLam Research Corporation 半導体ウエハ処理中におけるエッジ処理制御のための可動式エッジ連結リング

Also Published As

Publication number Publication date
TWI771346B (zh) 2022-07-21
KR102498895B1 (ko) 2023-02-09
KR20180089852A (ko) 2018-08-09
JP2018125519A (ja) 2018-08-09
TW202242950A (zh) 2022-11-01
CN108376657A (zh) 2018-08-07
KR200496524Y1 (ko) 2023-02-16
KR20230038667A (ko) 2023-03-21
US10991556B2 (en) 2021-04-27
TW201841203A (zh) 2018-11-16
KR20180002414U (ko) 2018-08-09
CN207966931U (zh) 2018-10-12
US20180218933A1 (en) 2018-08-02
US20200118798A1 (en) 2020-04-16
US10553404B2 (en) 2020-02-04

Similar Documents

Publication Publication Date Title
JP7206028B2 (ja) エッジ均一性制御のための調整可能な延長電極
KR200497481Y1 (ko) 에지 균일성 제어를 위한 조정가능한 연장되는 전극
US10600623B2 (en) Process kit with adjustable tuning ring for edge uniformity control
TWI835177B (zh) 用於基板處理腔室的製程套件以及處理腔室

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201016

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201016

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211029

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211102

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220202

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220401

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220502

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20220705

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221104

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20221104

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20221114

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20221115

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221206

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230103

R150 Certificate of patent or registration of utility model

Ref document number: 7206028

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150