KR20210126783A - 기판 프로세싱 시스템을 위한 조정가능한 에지 링의 두께를 측정하기 위한 측정 시스템 - Google Patents

기판 프로세싱 시스템을 위한 조정가능한 에지 링의 두께를 측정하기 위한 측정 시스템 Download PDF

Info

Publication number
KR20210126783A
KR20210126783A KR1020217031989A KR20217031989A KR20210126783A KR 20210126783 A KR20210126783 A KR 20210126783A KR 1020217031989 A KR1020217031989 A KR 1020217031989A KR 20217031989 A KR20217031989 A KR 20217031989A KR 20210126783 A KR20210126783 A KR 20210126783A
Authority
KR
South Korea
Prior art keywords
edge ring
height
thickness
controller
height adjuster
Prior art date
Application number
KR1020217031989A
Other languages
English (en)
Inventor
호세인 사데기
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20210126783A publication Critical patent/KR20210126783A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B17/00Measuring arrangements characterised by the use of infrasonic, sonic or ultrasonic vibrations
    • G01B17/02Measuring arrangements characterised by the use of infrasonic, sonic or ultrasonic vibrations for measuring thickness
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B5/00Measuring arrangements characterised by the use of mechanical techniques
    • G01B5/02Measuring arrangements characterised by the use of mechanical techniques for measuring length, width or thickness
    • G01B5/06Measuring arrangements characterised by the use of mechanical techniques for measuring length, width or thickness for measuring thickness
    • G01B5/061Measuring arrangements characterised by the use of mechanical techniques for measuring length, width or thickness for measuring thickness height gauges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마 프로세싱 챔버에서 에지 링의 높이 및 두께 중 적어도 하나를 측정하기 위한 측정 시스템은 에지 링 내로 초음파 신호를 출력하고 에지 링으로부터 반사된 신호를 수신하도록 구성된 초음파 트랜스듀서를 포함한다. 제어기는 초음파 트랜스듀서로 하여금 초음파 신호를 생성하게 하고 그리고 초음파 신호 및 반사된 신호의 타이밍에 기초하여 에지 링의 두께를 결정하도록 구성된다.

Description

기판 프로세싱 시스템을 위한 조정가능한 에지 링의 두께를 측정하기 위한 측정 시스템
본 개시는 일반적으로 플라즈마 프로세싱 시스템들에 관한 것이고, 보다 구체적으로 플라즈마 프로세싱 시스템의 에지 링의 두께를 측정하기 위한 측정 시스템에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시하기 위한 것이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들 상에서 처리들을 수행한다. 기판 처리들의 예들은 증착, 애싱, 에칭, 세정 및/또는 다른 프로세스들을 포함한다. 기판을 처리하기 위해 프로세스 가스 혼합물들이 프로세싱 챔버 내로 공급될 수도 있다. 플라즈마는 화학 반응들을 향상시키기 위해 가스들을 점화하도록 사용될 수도 있다.
기판은 처리 동안 기판 지지부 상에 배치된다. 에지 링은 기판 상의 플라즈마의 영향을 조정하도록 사용될 수도 있다. 에지 링은 기판의 방사상으로 외측 에지 둘레에 그리고 인접하게 배치된다. 동작 동안, 에지 링의 프로파일이 마모된다. 플라즈마에 대한 에지 링의 영향은 마모에 반응하여 변화한다.
관련 출원들에 대한 교차 참조
본 출원은 2019 년 3 월 6 일 출원된 미국 특허 가출원 번호 제 62/814,459 호의 우선권을 주장한다. 상기 참조된 출원의 전체 개시 (disclosure) 는 참조로서 본 명세서에 인용된다.
플라즈마 프로세싱 챔버 내에서 에지 링의 높이 및 두께 중 적어도 하나를 측정하기 위한 측정 시스템은 에지 링 내로 초음파 신호를 출력하고 에지 링으로부터 반사된 신호를 수신하도록 구성된 초음파 트랜스듀서 (ultrasound transducer) 를 포함한다. 제어기는 초음파 트랜스듀서로 하여금 초음파 신호를 생성하게 하고 초음파 신호 및 반사된 신호의 타이밍에 기초하여 에지 링의 두께를 결정하도록 구성된다.
다른 특징들에서, 제 1 높이 조정기가 플라즈마 프로세싱 챔버의 표면에 대해 에지 링의 높이를 조정하도록 구성된다. 제어기는 두께에 기초하여 제 1 높이 조정기를 사용하여 표면에 대해 에지 링의 높이를 조정한다. 제 2 높이 조정기가 초음파 트랜스듀서로 하여금 초음파 신호를 생성하게 하기 전에 에지 링과 콘택트하여 초음파 트랜스듀서를 이동시키도록 구성된다.
다른 특징들에서, 핀이 제 2 높이 조정기와 초음파 트랜스듀서 사이에 배치된다. 핀은 초음파 트랜스듀서와 에지 링 사이에 배치된다. 초음파 트랜스듀서는 에지 링과 직접적으로 콘택트한다. 제어기는 두께에 응답하여 에지 링으로 하여금 선택적으로 교체되게 하도록 구성된다.
다른 특징들에서, 초음파 트랜스듀서는 제 1 높이 조정기와 에지 링 사이에 배치된다.
기판들을 위한 플라즈마 프로세싱 챔버에서 에지 링의 높이 및 두께 중 적어도 하나를 측정하기 위한 측정 시스템은 로드 셀 (load cell) 및 스트레인 게이지로 구성된 그룹으로부터 선택된 중량 측정 디바이스를 포함하고, 중량 측정 디바이스는 에지 링의 중량을 측정하도록 구성된다. 제어기는 중량에 기초하여 에지 링의 두께를 결정하도록 구성된다.
다른 특징들에서, 제 1 높이 조정기가 표면에 대해 에지 링의 높이를 조정하도록 구성된다. 두께에 기초하여, 제어기는 제 1 높이 조정기를 사용하여 표면에 대해 에지 링의 높이를 조정한다.
다른 특징들에서, 제 2 높이 조정기가 중량 측정 디바이스가 에지 링의 중량을 측정하기 전에 에지 링과 콘택트하여 중량 측정 디바이스를 이동시키도록 구성된다. 핀이 제 2 높이 조정기와 중량 측정 디바이스 사이에 배치된다. 핀이 중량 측정 디바이스와 에지 링 사이에 배치된다.
다른 특징들에서, 제어기는 두께에 응답하여 에지 링으로 하여금 선택적으로 교체되게 하도록 구성된다. 중량 측정 디바이스는 제 1 높이 조정기와 에지 링 사이에 배치된다.
기판들을 위한 플라즈마 프로세싱 챔버에서 에지 링의 높이 및 두께 중 적어도 하나를 측정하기 위한 측정 시스템은 모터를 포함하고 표면에 대해 에지 링의 높이를 조정하도록 구성된 높이 조정기를 포함한다. 적어도 하나의 센서가 모터의 파라미터를 센싱하도록 구성되고 전류 센서 및 전압 센서로 구성된 그룹으로부터 선택된다. 제어기는 적어도 하나의 센서의 출력에 기초하여 에지 링의 중량을 결정하고, 중량에 기초하여 에지 링의 두께를 결정하도록 구성된다.
다른 특징들에서, 높이 조정기를 사용하여, 제어기는 두께에 기초하여 표면에 대한 에지 링의 높이를 조정한다. 핀이 높이 조정기와 에지 링 사이에 배치된다. 제어기는 두께에 응답하여 에지 링으로 하여금 선택적으로 교체되게 하도록 구성된다. 서버가 제어기로부터 원격으로 위치된다.
다른 특징들에서, 제어기는 적어도 하나의 센서의 출력을 서버로 전송한다. 복수의 프로세싱 챔버들은 제어기, 높이 조정기 및 센서를 포함한다. 복수의 프로세싱 챔버들 각각의 제어기는 대응하는 센서의 출력을 서버로 전송하도록 구성된다. 서버는 적어도 하나의 센서의 출력 및 복수의 프로세싱 챔버들 각각의 대응하는 센서의 출력에 기초하여 에지 링의 건전성 상태를 평가하도록 머신 러닝 알고리즘을 사용한다.
기판들을 위한 플라즈마 프로세싱 챔버에서 에지 링의 높이 및 두께 중 적어도 하나를 측정하기 위한 측정 시스템이 에지 링으로부터 제 1 거리에 배치되고 에지 링에서 제 1 출력 신호를 송신하도록 구성된 스피커를 포함한다. 마이크로폰이 에지 링으로부터 제 2 거리에 배치되고 제 1 출력 신호에 기초하여 에지 링으로부터 반사된 신호를 측정하도록 구성된다. 제어기가 반사된 신호에 기초하여 에지 링의 두께를 결정하도록 구성된다.
다른 특징들에서, 높이 조정기가 표면에 대해 에지 링의 높이를 조정하도록 구성된다. 제어기는 높이 조정기로 하여금 두께에 기초하여 표면에 대해 에지 링의 높이를 조정하게 하도록 구성된다. 제어기는 두께에 응답하여 에지 링으로 하여금 선택적으로 교체되게 하도록 구성된다. 반사된 신호는 제 1 반사된 신호 및 제 2 반사된 신호를 포함한다. 제어기는 제 1 반사된 신호 및 제 2 반사된 신호 중 적어도 하나에 기초하여 두께를 결정한다.
본 개시의 추가 적용 가능성의 영역들은 상세한 기술, 청구항들 및 도면들로부터 자명해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시의 목적들을 위해 의도되고, 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1a는 본 개시에 따른 높이 모니터링 시스템을 갖는 CCP (capacitively coupled plasma) 기판 프로세싱 시스템의 예의 기능적 블록도이다.
도 1b 및 도 1c는 본 개시에 따른 기판 지지부 및 에지 링들의 예의 단면도들이다.
도 2는 본 개시에 따른 높이 모니터링 시스템을 갖는 ICP (inductively coupled plasma) 기판 프로세싱 시스템의 예의 기능적 블록도이다.
도 3a 내지 도 3c는 본 개시에 따른 높이 모니터링 시스템의 예의 기능적 블록도들이다.
도 3d는 본 개시에 따른 에지 링의 수직 방향의 두께를 측정하기 위해 사용되는 입사 파 및 반사 파의 예를 예시한다.
도 3e 및 도 3f는 본 개시에 따른 초음파 트랜스듀서들의 예의 기능적 블록도들이다.
도 4는 본 개시에 따른 높이 모니터링 시스템을 동작시키기 위한 방법의 플로우 차트이다.
도 5 및 도 6은 본 개시에 따른 초음파 트랜스듀서들을 포함하는 높이 모니터링 시스템의 또 다른 예의 기능적 블록도들이다.
도 7a는 본 개시에 따른 로드 셀들 또는 스트레인 게이지들과 같은 중량 측정 디바이스들을 포함하는 높이 모니터링 시스템의 또 다른 예의 기능적 블록도이다.
도 7b는 도 7a의 높이 모니터링 시스템을 동작시키기 위한 방법의 플로우 차트이다.
도 8a는 본 개시에 따른 높이 모니터링 시스템의 또 다른 예의 기능적 블록도이다.
도 8b는 도 8a의 높이 모니터링 시스템을 동작시키기 위한 방법의 플로우 차트이다.
도 8c는 본 개시에 따른 머신 러닝 알고리즘들을 사용하여 높이 조정기에서 모터 및 리프트 메커니즘의 건전성을 결정하기 위한 방법의 플로우 차트이다.
도 9a는 본 개시에 따른 스피커 및 마이크로폰을 포함하는 높이 모니터링 시스템의 또 다른 예의 기능적 블록도이다.
도 9b는 도 9a의 높이 모니터링 시스템을 동작시키기 위한 방법의 플로우 차트이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
기판 프로세싱 동안, 기판은 정전 척 (electrostatic chuck; ESC) 과 같은 페데스탈 상에 배치되고, 프로세스 가스들이 공급되고, 플라즈마는 프로세싱 챔버 내에서 스트라이킹된다. 플라즈마 프로세싱 동안, 프로세싱 챔버 내의 컴포넌트들은 플라즈마에 대한 노출로 인해 마모를 경험한다.
일부 프로세싱 챔버들에서, 에지 링은 플라즈마를 성형하기 위해 기판의 방사상으로 외측 에지 둘레에 배치된다. 복수의 기판들을 프로세싱한 후, 에지 링이 마모된다. 그 결과, 기판에 대한 에지 링의 영향이 변화한다. 에지 링 마모로 인한 프로세스 변화들을 감소시키기 위해, 일부 프로세싱 챔버들은 에지 링의 높이를 조정하기 위한 높이 조정기를 포함한다. 많은 이들 시스템들에서, 에지 링의 높이는 사이클들의 수 및/또는 총 플라즈마 프로세싱 노출 기간에 기초하여 자동으로 조정된다.
본 개시에 따른 시스템들 및 방법들은 인 시츄 에지 링의 두께의 측정 및 두께 측정에 응답하여 에지 링의 높이의 조정에 관한 것이다. 일부 예들에서, 초음파 트랜스듀서들이 에지 링의 두께를 측정하도록 사용된다. 다른 예들에서, 로드 셀 (load cell), 스트레인 게이지 또는 다른 디바이스들과 같은 중량 측정 디바이스가 에지 링의 중량을 측정하도록 사용되고 에지 링의 두께는 중량에 기초하여 추정된다. 다른 예들에서, 높이 조정기의 모터로의 전류가 모니터링되고, 중량은 전류에 기초하여 추정되고, 두께는 중량에 기초하여 추정된다. 여전히 다른 예들에서, 에지 링의 두께를 결정하기 위해 스피커 및 마이크로폰이 사용된다. 일단 두께가 결정되면, 제어기는 에지 링의 높이를 조정하거나 로봇으로 하여금 진공을 파괴하지 않고 에지 링을 교체하게 할 수 있다.
이제 도 1a 및 도 2를 참조하면, 에지 링들을 사용할 수도 있는 플라즈마 프로세싱 챔버들의 예들이 도시된다. 이해될 수 있는 바와 같이, 다른 타입들의 플라즈마 프로세싱 챔버들이 사용될 수 있다. 이제 도 1a에서, 본 개시에 따른 기판 프로세싱 시스템 (110) 의 예가 도시된다. 기판 프로세싱 시스템 (110) 은 기판 프로세싱 시스템 (110) 의 다른 컴포넌트들을 둘러싸고 (사용된다면) RF 플라즈마를 담는 프로세싱 챔버 (122) 를 포함한다. 기판 프로세싱 시스템 (110) 은 상부 전극 (124) 및 ESC과 같은 기판 지지부 (126) 를 포함한다. 동작 동안, 기판 (128) 이 기판 지지부 (126) 상에 배치된다.
단지 예를 들면, 상부 전극 (124) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드와 같은 가스 분배 디바이스 (129) 를 포함할 수도 있다. 가스 분배 디바이스 (129) 는 프로세싱 챔버의 상단 표면에 연결된 일 단부를 포함하는 스템 부분을 포함할 수도 있다. 베이스 부분은 일반적으로 원통형이고, 프로세싱 챔버의 상단 표면으로부터 이격되는 위치에서 스템 부분의 반대편 단부로부터 방사상 외측으로 연장한다. 샤워헤드의 베이스 부분의 기판-대면 표면, 또는 대면플레이트는 복수의 홀들을 포함하고, 이를 통해 전구체, 반응물질들, 에칭 가스들, 불활성 가스들, 캐리어 가스들, 다른 프로세스 가스들 또는 퍼지 가스가 흐른다. 대안적으로, 상부 전극 (124) 은 도전 플레이트를 포함할 수도 있고 프로세스 가스들은 또 다른 방식으로 도입될 수도 있다.
기판 지지부 (126) 는 하부 전극으로서 작용하는 베이스플레이트 (130) 를 포함한다. 베이스플레이트 (130) 는 세라믹 멀티-존 가열 플레이트에 대응할 수도 있는, 가열 플레이트 (132) 를 지지한다. 내열 층 (134) 이 가열 플레이트 (132) 와 베이스플레이트 (130) 사이에 배치될 수도 있다. 베이스플레이트 (130) 는 베이스플레이트 (130) 를 통해 냉각제를 흘리기 위한 하나 이상의 채널들 (136) 을 포함할 수도 있다.
RF 생성 시스템 (140) 이 RF 전압을 생성하고 상부 전극 (124) 및 하부 전극 (예를 들어, 기판 지지부 (126) 의 베이스플레이트 (130)) 중 하나로 출력한다. 상부 전극 (124) 및 베이스플레이트 (130) 중 다른 하나는 DC 접지되거나, AC 접지되거나, 또는 플로팅할 수도 있다. 단지 예를 들면, RF 생성 시스템 (140) 은 매칭 및 분배 네트워크 (144) 에 의해 상부 전극 (124) 또는 베이스플레이트 (130) 에 피딩되는 RF 플라즈마 전력을 생성하는 RF 생성기 (142) 를 포함할 수도 있다. 다른 예들에서, 플라즈마는 유도적으로 또는 리모트로 생성될 수도 있다.
가스 전달 시스템 (150) 은 하나 이상의 가스 소스들 (152-1, 152-2, …, 및 152-N) (집합적으로 가스 소스들 (152)) 을 포함하고, 여기서 N은 0보다 큰 정수이다. 가스 소스들 (152) 은 밸브들 (154-1, 154-2, …, 및 154-N) (집합적으로 밸브들 (154)) 및 MFC들 (156-1, 156-2, …, 및 156-N) (집합적으로 MFC들 (156)) 에 의해 매니폴드 (160) 에 연결된다. 2 차 밸브들이 MFC들 (156) 과 매니폴드 (160) 사이에 사용될 수도 있다. 단일 가스 전달 시스템 (150) 이 도시되지만, 2 개 이상의 가스 전달 시스템들이 사용될 수 있다.
온도 제어기 (163) 가 가열 플레이트 (132) 에 배치된 복수의 TCEs (Thermal Control Elements) (164) 에 연결될 수도 있다. 온도 제어기 (163) 는 기판 지지부 (126) 및 기판 (128) 의 온도를 제어하기 위해 복수의 TCEs (164) 을 제어하도록 사용될 수도 있다. 온도 제어기 (163) 는 채널들 (136) 을 통한 냉각제 플로우를 제어하도록 냉각제 어셈블리 (166) 와 연통할 수도 있다. 예를 들어, 냉각제 어셈블리 (166) 는 냉각제 펌프, 저장부 및/또는 하나 이상의 온도 센서들을 포함할 수도 있다. 온도 제어기 (163) 는 기판 지지부 (126) 를 냉각하기 위해 채널들 (136) 을 통해 냉각제를 선택적으로 흘리도록 냉각제 어셈블리 (166) 를 동작시킨다.
밸브 (170) 및 펌프 (172) 가 프로세싱 챔버 (122) 로부터 반응물질들을 배기하도록 사용될 수도 있다. 시스템 제어기 (180) 가 기판 프로세싱 시스템 (110) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 에지 링 (182) 이 플라즈마 프로세싱 동안 기판 (128) 의 방사상으로 외부에 배치될 수도 있다. 에지 링 높이 조정 시스템 (184) 은 이하에 더 기술될 바와 같이 기판 (128) 에 대해 에지 링 (182) 의 상단 표면의 높이를 조정하도록 사용될 수도 있다.
이제 도 1b 및 도 1c를 참조하면, 일부 예들에서 기판 (128) 은 기판 지지부 (126) (또는 ESC) 의 상부 표면 (190) 상에 놓인다. 도 1b에서, 에지 링 (182) 은 중간 에지 링 (186) 및 하단 에지 링 (188) 상에 놓인다. 중간 에지 링 (186) 및 하단 에지 링 (188) 은 플라즈마에 직접적으로 노출되지 않고 일반적으로 제거되지 않는다. 에지 링 (182) 은 에지 링 (182) 이 중간 에지 링 (186) 상에 놓이고 그리고 하단 에지 링 (188) 및 에지 링 (182) 이 마모되지 않을 때 표면 (190) 위의 높이 h를 규정한다. 하나 이상의 개구부들 (192) 이 기판 지지부 (126), 중간 에지 링 (186) 및/또는 하단 에지 링 (188) 중 하나 이상에 규정될 수도 있어서, 이하에 더 기술될 바와 같이 높이 조정기로 하여금 에지 링 (182) 의 높이를 조정하게 한다.
도 1c에서, 에지 링 (182) 은 마모되고 두께는 높이 h' (h'<h) 로 감소된다. 이하에 더 기술된 높이 조정기는 에지 링 (182) 의 상단 표면과 표면 (190) 사이의 높이 관계 h를 복원하도록 에지 링 (182) 을 상승시키도록 사용된다.
도 2에서, 본 개시에 따른 기판 프로세싱 시스템 (210) 의 예가 도시된다. 기판 프로세싱 시스템 (210) 은 코일 구동 회로 (211) 를 포함한다. 펄싱 회로 (214) 가 RF 전력을 펄싱 온 및 펄싱 오프하거나 RF 전력의 진폭 또는 레벨을 가변하도록 사용될 수도 있다. 튜닝 회로 (213) 는 하나 이상의 유도 코일들 (216) 에 직접 연결될 수도 있다. 튜닝 회로 (213) 는 RF 소스 (212) 의 출력을 목표된 주파수 및/또는 목표된 위상으로 튜닝하고, 코일들 (216) 의 임피던스를 매칭시키고 코일들 (216) 사이에 전력을 분할한다. 일부 예들에서, 코일 구동 회로 (211) 는 RF 바이어스 제어와 함께 이하에 더 기술된 구동 회로들 중 하나로 교체된다.
일부 예들에서, 플레넘 (220) 이 고온 및/또는 저온 공기 플로우로 유전체 윈도우 (224) 의 온도를 제어하도록 코일들 (216) 과 유전체 윈도우 (224) 사이에 배치될 수도 있다. 유전체 윈도우 (224) 는 프로세싱 챔버 (228) 의 일 측면을 따라 배치된다. 프로세싱 챔버 (228) 는 기판 지지부 (또는 페데스탈) (232) 를 더 포함한다. 기판 지지부 (232) 는 정전 척 (electrostatic chuck; ESC), 또는 기계적 척 또는 다른 타입의 척을 포함할 수도 있다. 프로세스 가스가 프로세싱 챔버 (228) 로 공급되고, 플라즈마 (240) 가 프로세싱 챔버 (228) 내부에서 생성된다. 플라즈마 (240) 는 기판 (234) 의 노출된 표면을 에칭한다. 구동 회로 (252) (예컨대 이하에 기술된 것 중 하나) 는 동작 동안 기판 지지부 (232) 내의 전극에 RF 바이어스를 제공하도록 사용될 수도 있다.
가스 전달 시스템 (256) 이 프로세싱 챔버 (228) 로 프로세스 가스 혼합물을 공급하도록 사용될 수도 있다. 가스 전달 시스템 (256) 은 프로세스 및 불활성 가스 소스들 (257), 밸브들 및 질량 유량 제어기들과 같은 가스 계량 시스템 (258), 및 매니폴드 (259) 를 포함할 수도 있다. 가스 전달 시스템 (260) 이 밸브 (261) 를 통해 플레넘 (220) 으로 가스 (262) 를 전달하도록 사용될 수도 있다. 가스는 코일들 (216) 및 유전체 윈도우 (224) 를 냉각하도록 사용되는 냉각 가스 (공기) 를 포함할 수도 있다. 히터/냉각기 (264) 가 기판 지지부 (232) 를 미리 결정된 온도로 가열/냉각하도록 사용될 수도 있다. 배기 시스템 (265) 이 퍼지 또는 배기에 의해 프로세싱 챔버 (228) 로부터 반응물질들을 제거하기 위한 밸브 (266) 및 펌프 (267) 를 포함한다.
제어기 (254) 가 에칭 프로세스를 제어하도록 사용될 수도 있다. 제어기 (254) 는 시스템 파라미터들을 모니터링하고, 가스 혼합물의 전달, 플라즈마의 스트라이킹, 유지, 및 소화, 반응물질들의 제거, 냉각 가스의 공급, 등을 제어한다. 부가적으로, 이하에 상세히 기술된 바와 같이, 제어기 (254) 는 코일 구동 회로 (211) 및 구동 회로 (252) 의 다양한 양태들을 제어할 수도 있다. 에지 링 (282) 은 플라즈마 프로세싱 동안 기판 (234) 의 방사상 외부에 위치될 수도 있다. 높이 조정 시스템 (284) 은 이하에 더 기술될 바와 같이, 초음파, 중량, 전압, 전류 및 사운드를 포함하지만, 이로 제한되지 않는 하나 이상의 파라미터들에 기초하여 기판 (234) 에 대한 에지 링 (282) 의 상단 표면의 높이를 조정하도록 사용될 수도 있다. 제어기 (254) 는 높이 조정 시스템 (284) 을 제어하도록 사용될 수도 있다.
이제 도 3a 및 도 3b를 참조하면, 하단 및 중간 에지 링들은 예시를 위해 생략되었다. 높이 모니터링 시스템 (300) 은 기판 지지부 (126) (또는 또 다른 기준 표면) 의 표면 (190) 에 대한 에지 링 (310) 의 높이를 결정한다. 즉, 높이 모니터링 시스템 (300) 은 에지 링 (310) 의 두께를 결정한다. 에지 링 (310) 의 하단 표면의 위치가 처음에 공지되기 때문에, 에지 링 (310) 의 상단 표면의 위치가 결정될 수 있다.
일부 예들에서, 높이 모니터링 시스템 (300) 은 표면 (190) 에 대해 에지 링 (310) 의 높이를 선택적으로 조정하는 높이 조정기들 (320-1, 320-2, …, 및 320-H) (집합적으로 높이 조정기들 (320)) (H는 0보다 큰 정수이다) 을 포함한다. 일부 예들에서, 높이 조정기들 (320) 은 표면 (312) 의 개구부들 (314) 을 통해 연장할 수도 있는 핀들 (322-1, 322-2, …, 및 322-H) (집합적으로 핀들 (322)) 을 포함한다. 일부 예들에서, 표면 (312) 은 쓰루 홀들 (314) 을 갖는 환형일 수 있다. 표면 (312) 을 규정하는 컴포넌트는 구성에 따라 가변할 것이다. 단지 예를 들면, 표면 (312) 은 (도 1b 및 도 1c에 도시된 바와 같은) ESC (126), 중간 에지 링 (186), 및/또는 하단 에지 링 (188) 또는 임의의 다른 표면에 대응할 수 있다. 대안적으로, 핀들 (322) 은 ESC (126), 중간 에지 링 (186), 및/또는 하단 에지 링 (188) 사이에 위치될 수도 있다. 일부 예들에서, 360 °/H만큼 이격된 3 개 이상의 높이 조정기들 (320) 이 사용된다.
측정 전에, 하나 이상의 초음파 트랜스듀서들 (332-1, 332-2, …, 및 332-T) (집합적으로 초음파 트랜스듀서들 (332)) 이 에지 링 (310) 의 하단 표면에 대한 핀들 (334-1, 334-2, …, 및 334-T) (집합적으로 핀들 (334)) 및 높이 조정기들 (336-1, 336-2, …, 및 336-T) (집합적으로 높이 조정기들 (336)) 에 콘택트하여 배치된다.
제어기 (342) 는 에지 링 (310) 의 두께를 측정하고 두께에 기초하여 표면 (190) 에 대한 에지 링 (310) 의 위치를 조정하도록 초음파 트랜스듀서들 (332) 및 높이 조정기들 (320 및 336) 을 제어한다. 이 예에서, 파동은 초음파 트랜스듀서들 (332) 로부터 핀들 (334) 을 통해 에지 링 (310) 으로 그리고 다시 핀들 (334) 을 통해 초음파 트랜스듀서들 (332) 로 이동한다.
사용시, 에지 링 (310) 은 중간 에지 링 (186), 하단 에지 링 (188) 또는 또 다른 표면에 대응할 수도 있는 표면 (312) 의 상부 부분 상에 위치된다. 플라즈마 프로세싱은 프로세싱 챔버에서 수행된다. 미리 결정된 수의 사이클들, 플라즈마 프로세싱 시간 및/또는 미리 결정된 이벤트 후, 높이 조정기들 (336) 은 에지 링 (310) 과 콘택트하여 핀들 (334) 을 위치시키도록 사용된다. 일부 예들에서, 높이 조정기들 (336) 은 높이 조정기들 (320) 의 표면 (312) 또는 핀들 (322) 약간 위로 에지 링 (310) 을 리프팅할 수도 있다. 초음파 트랜스듀서들 (332) 은 투과된 파를 송신하고 반사된 파를 수신한다. 투과된 파와 반사된 파의 타이밍의 차들, 핀 재료, 및 에지 링 재료에 기초하여, 수직 방향의 에지 링의 두께가 결정될 수 있다.
에지 링 (310) 이 중간에 낀 기간 동안 충분히 마모되었다고 결정되면, 높이 조정기들 (320) 은 표면 (190) 에 대해 에지 링 (310) 의 상단 표면의 위치를 조정하도록 사용될 수도 있다.
일부 예들에서, 도 3c에 도시된 바와 같이 높이 조정기들 (320) 및 핀들 (322) 은 생략될 수 있고, 높이 조정기들 (336) 은 플라즈마 프로세싱 동안 에지 링 (310) 의 높이를 조정하도록 그리고 두께를 측정하도록 사용될 수 있다.
이제 도 3d를 참조하면, 초음파 트랜스듀서 (332) 는 에지 링 (310) 의 상단 표면을 향해 이동하는 투과된 파동 (360-1) 을 생성한다. 투과된 파 (360-1) 는 에지 링 (310) 의 상단 표면에 의해 반사되고 반사된 파 (360-2) 는 초음파 트랜스듀서 (332) 로 다시 이동한다. 투과된 파 (360-1) 와 반사된 파 (360-2) 사이의 타이밍 차는 에지 링 재료들의 파동 속도를 사용하여 수직 방향의 에지 링 (310) 의 두께를 추정하도록 사용될 수도 있다.
이해될 수 있는 바와 같이, 초음파 트랜스듀서들 (332) 은 정상 파 트랜스듀서들 및/또는 앵글 빔 트랜스듀서들을 포함할 수 있다. 종파 또는 전단파가 사용될 수 있다.
이제 도 3e 및 도 3f를 참조하면, 초음파 트랜스듀서 (332) 의 예들이 도시된다. 도 3e에서, 초음파 트랜스듀서 (332) 는 압전 생성기 (370) 및 압전 센서 (372) 를 포함하는 것으로 도시된다. 도 3f에서, 초음파 트랜스듀서 (332) 는 압전 생성기 및 압전 센서 (374) 를 포함하는 단일 디바이스를 포함하는 것으로 도시된다.
이제 도 4를 참조하면, 높이 모니터링 시스템을 동작시키기 위한 방법 (400) 이 도시된다. 410에서, (에지 링이 이미 존재하지 않는다면) 에지 링이 프로세싱 챔버 내로 로딩된다. 414에서, 에지 링의 두께는 즉시 또는 미리 결정된 플라즈마 프로세싱 기간, 미리 결정된 수의 플라즈마 프로세싱 사이클들, 및/또는 이벤트가 발생한 후 측정될 수도 있다. 414가 참이면, 방법은 418에서 계속되고 이하에 더 기술될 바와 같이 에지 링 두께를 측정한다.
422에서, 방법은 측정된 두께에 기초하여 높이 조정이 필요한지 여부를 결정한다. 일부 예들에서, 높이 조정은 에지 링이 이전 측정 값 (또는 원래 두께) 에 대해 미리 결정된 양만큼 마모될 때 이루어진다. 일부 예들에서, 두께 측정 값은 기판 지지부의 상단 표면에 대한 에지 링의 상단 표면의 높이를 결정하도록 사용된다. 422가 거짓이면, 방법은 414로 돌아간다. 422가 참이면, 방법은 측정된 두께에 기초하여 에지 링 교체가 필요한지 여부를 결정한다. 에지 링은 두께가 미리 결정된 두께보다 작을 때 교체될 수도 있다.
426이 참이면, 에지 링은 430에서 프로세싱 챔버로부터 수동으로 제거되고 방법은 410에서 계속된다. 일부 예들에서, 로봇은 진공을 파괴하지 않고 에지 링을 교체하도록 사용된다. 그렇지 않으면, 방법은 428에서 측정되거나 추정된 높이에 기초하여 에지 링 높이를 조정한다. 방법은 414에서 계속된다.
이제 도 5 및 도 6을 참조하면, 초음파 트랜스듀서들을 포함하는 높이 모니터링 시스템들의 다른 예들이 도시된다. 기판 지지부 (126) 및 기판 (128) 은 예시의 목적들을 위해 생략된다. 도 5에서, 높이 모니터링 시스템 (500) 은 핀들 (514-1, 514-2, …, 및 514-T) (집합적으로 핀들 (514)) 및 높이 조정기들 (516-1, 516-2, …, 및 516-T) (집합적으로 높이 조정기들 (516))에 의해 연결된 하나 이상의 초음파 트랜스듀서들 (512-1, 512-2, …, 및 512-T) (집합적으로 초음파 트랜스듀서들 (512)) 을 포함한다. 이 예에서, 초음파 트랜스듀서들 (512) 은 에지 링에 가장 가까운 핀들 (514) 의 단부들에 위치된다.
도 5에서, 초음파 트랜스듀서들 (512) 은 에지 링 (310) 으로부터 이격된 것으로 도시된다. 초음파 트랜스듀서들 (512) 은 상측으로 이동되고 개재 재료를 감소시키기 위해 측정 동안 에지 링 (310) 의 하단 표면에 바로 인접하게 그리고 콘택트하여 위치된다. 이 예에서, 파동은 (도 3a에 도시된 바와 같은 에지 링 및 핀들 대신) 에지 링 (310) 을 통해 이동한다. 제어기 (542) 는 높이 조정기들 (516 및 320) 및 초음파 트랜스듀서들 (512) 과 통신한다. 이해될 수 있는 바와 같이, 높이 조정기들 (320) 은 도 3c와 유사한 방식으로 생략될 수도 있다.
도 6에서, 높이 모니터링 시스템 (600) 은 에지 링 (310) 의 하단 표면에 직접적으로 고정되거나 제거 가능하게 부착되거나 콘택트하는 하나 이상의 초음파 트랜스듀서들 (612-1, 612-2, …, 및 612-T) (집합적으로 초음파 트랜스듀서들 (612)) 을 포함한다. 다른 예들에서, 에지 링의 하단부는 캐비티를 포함하고 초음파 트랜스듀서는 캐비티 내에 위치된다. 이 예에서, 두께 측정값은 캐비티의 깊이에 부가될 수도 있다. 제어기 (642) 는 높이 조정기들 (320) 및 초음파 트랜스듀서들 (512) 과 통신한다.
이제 도 7a를 참조하면, 높이 모니터링 시스템 (700) 은 높이 조정기들 (716-1, 716-2, …, 및 716-L) (집합적으로 높이 조정기들 (716)) 및 핀들 (718-1, 718-2, …, 및 718-L) (집합적으로 핀들 (718)) 에 연결된 중량 측정 디바이스들 (712-1, 712-2, …, 및 712-L) (집합적으로 핀들 (712)) 을 포함하고, 여기서 L은 0보다 큰 정수이다. 일부 예들에서, 중량 측정 디바이스들 (712) 은 로드 셀들, 스트레인 게이지들 (strain gauges) 또는 다른 중량 측정 디바이스들을 포함한다. 두께 측정이 요구될 때, 중량 측정 디바이스 (712) 는 에지 링 (310) 의 중량을 측정하기 위해 사용된다. 제어기 (742) 는 중량에 기초하여 에지 링 (310) 의 두께를 결정한다.
이제 도 7b를 참조하면, 높이 모니터링 시스템 (700) 을 동작시키기 위한 방법 (750) 이 도시된다. 이해될 수 있는 바와 같이, 방법 (750) 에 도시된 단계들은 도 4에 도시된 바와 같이 폐루프 방식으로 수행될 수도 있다. 754에서, 방법은 에지 링의 두께가 측정되어야 하는지 여부를 결정한다. 754가 참이면, 에지 링의 중량은 758에서 중량 측정 디바이스들 (712) 에 의해 측정된다. 760에서, 표면에 대한 에지 링 (310) 의 높이는 중량에 기초하여 결정된다. 두께는 단면적으로부터 계산될 수도 있고 중량 또는 룩업 테이블이 사용될 수 있다.
이제 도 8a를 참조하면, 높이 모니터링 시스템 (800) 은 높이 조정기들 (320) 및 핀들 (322) 을 포함한다. 높이 조정기들 (320) 은 모터들을 포함한다. 제어기 (830) 는 높이 조정기들 (320) 내의 모터들에 전력을 공급한다. 전류 센서들 (822-1, 822-2, …, 및 822-N) 은 높이 조정기들 (320) 에 공급된 전류를 모니터링한다. 전압 센서들 (824-1, 824-2, …, 및 824-N) 은 높이 조정기들 (320) 에 공급된 전압을 모니터링한다. 제어기 (830) 는 높이 조정기들 (320) 에 공급된 전류 및/또는 전압에 기초하여 에지 링 (310) 의 중량을 결정한다. 이어서, 제어기 (830) 는 중량에 기초하여 에지 링의 두께를 결정한다.
높이 조정기 (320) 내의 모터는 에지 링 (310) 의 이동 동안 전류, 전압 및/또는 전력을 인출한다. 전류, 전압 및/또는 전력이 측정되고 중량과 상관된다. 즉, 보다 얇은 (또는 보다 가벼운) 에지 링 (310) 과 비교하여 보다 두꺼운 (또는 보다 무거운) 에지 링 (310) 을 이동시키기 위해 보다 많은 전력이 필요하다. 일부 예들에서, 제어기 (830) 는 모터 전류와 에지 링 두께 사이의 상관 관계를 저장하는 공식 또는 룩업 테이블을 사용하도록 프로그래밍될 수도 있다.
일부 예들에서, 측정된 모터 파라미터들 또는 신호들 (진폭/주파수/위상/등) 의 변화들 또는 변동들은 모터들, 베어링들 및/또는 전력 전송 컴포넌트들과 같은 리프트 메커니즘의 건전성에 상관될 수 있다. 측정된 모터 파라미터들 또는 신호들은 원격 서버 (840) 로 전송될 수 있다. 원격 서버 (840) 는 다른 프로세싱 챔버들 (844) 과 연관된 모터 파라미터들 및/또는 모터들 (846) 의 신호들과 함께 데이터베이스 (843) 에 신호들 및/또는 데이터를 저장한다. 머신 러닝 모듈 (842) 은 모터 파라미터들 또는 신호들을 정상 (healthy) 모터들 및 비정상 (unhealthy) 모터들에 상관시킨다. 머신 러닝 알고리즘의 예들은 신경망, 텐서 플로우, 로지스틱 회귀 및 다른 머신 러닝 알고리즘들을 포함한다. 원격 서버 (840) 는 모터들의 건전성을 평가하고 분석 결과들을 제어기 (830) 또는 프로세싱 챔버의 또 다른 컴포넌트로 리턴한다. 제어기 (830) 또는 프로세싱 챔버의 다른 컴포넌트는 통지 및 유지 보수를 생성하거나, 툴 다운 시간 (down time) 및 계획되지 않은 툴 셧 다운을 감소시키기 위해 다른 액션이 개시될 (initiate) 수도 있다.
이제 도 8b를 참조하면, 높이 모니터링 시스템 (800) 을 동작시키기 위한 방법 (850) 이 도시된다. 이해될 수 있는 바와 같이, 방법 (850) 에 도시된 단계들은 도 4에 도시된 바와 같이 폐루프 방식으로 수행될 수도 있다. 854에서, 방법은 에지 링의 두께가 측정되어야 하는지 여부를 결정한다. 854가 참이면, 높이 조정기들 (320) 내의 모터들의 전류 및/또는 전압이 모니터링된다. 860에서, 에지 링 (310) 의 중량은 전류 및/또는 전압 신호들에 기초하여 결정된다. 864에서, 에지 링 (310) 의 두께는 중량에 기초하여 결정된다.
이제 도 8c를 참조하면, 모터 및 높이 조정기의 건전성을 모니터링하기 위한 방법 (880) 이 도시된다. 882에서, 에지 링을 리프팅할 때 전류, 전압 및/또는 전력이 측정된다. 888에서, 모터 및/또는 리프트 메커니즘 컴포넌트들의 건전성은 머신 러닝 알고리즘들을 사용하여 에지 링을 리프팅 할 때 전류, 전압 및/또는 전력의 변동들에 기초하여 분석된다. 892에서 결정된 바와 같이 모터 및/또는 리프트 메커니즘의 건전성이 악화된다면, 894에서 통지가 생성된다. 그렇지 않으면, 방법은 (882) 로 돌아간다. 이해될 수 있는 바와 같이, 머신 러닝 건전성 체크 루틴은 임의의 선행하는 예들과 연관된 모터들 및 리프팅 메커니즘 컴포넌트들에 사용될 수 있다.
이제 도 9a를 참조하면, 높이 모니터링 시스템 (800) 은 높이 조정기들 (320) 을 포함한다. 기판 (128) 및 기판 지지부 (126) 는 예시의 목적들을 위해 생략된다. 제어기 (930) 는 높이 조정기들 (320) 을 제어한다. 하나 이상의 스피커들 (910) 및 마이크로폰들 (912) 이 제공된다. 일부 예들에서, 하나 이상의 스피커들 (910) 및 마이크로폰들 (912) 은 측정 동안 에지 링 (310) 으로부터 미리 결정된 거리에 배치된다. 두께 측정이 필요할 때, 제어기 (930) 는 스피커 (910) 로 하여금 미리 결정된 주파수의 제 1 신호를 출력하게 한다. 일부 예들에서, 미리 결정된 주파수는 1 ㎑ 내지 20 ㎑의 범위이다. 다른 예들에서, 미리 결정된 주파수는 5 ㎑ 내지 30 ㎑의 범위이다. 여전히 다른 예들에서, 미리 결정된 주파수는 10 ㎑ 내지 20 ㎑의 범위이다.
마이크로폰 (912) 은 제 1 반사 신호 및 제 2 반사 신호를 수신한다. 제 1 반사된 신호는 에지 링 (310) 의 하부 표면으로부터 반사된 제 1 신호에 대응한다. 제 2 반사된 신호는 에지 링 (310) 을 통해 이동한 후 에지 링 (310) 의 상부 표면으로부터의 제 1 신호의 반사에 대응한다. 에지 링 (310) 의 두께는 제 2 반사된 신호에 대한 제 1 신호의 타이밍에 기초하여 결정될 수 있다.
이제 도 9b를 참조하면, 에지 링의 두께를 측정하기 위한 방법 (950) 이 도시된다. 954에서, 신호는 스피커를 사용하여 미리 결정된 주파수 범위로 출력된다. 958에서, 제 1 반사 신호 및 제 2 반사 신호가 마이크로폰에 의해 수신된다. 962에서, 신호와 제 2 반사된 신호 사이의 지연이 결정된다. 964에서, 두께는 지연에 기초하여 결정된다.
보다 구체적으로, 두께의 계산은 지연, 마이크로폰 및/또는 스피커와 에지 링 사이의 거리, 에지 링의 재료 및 주변 환경에 종속될 것이다.
전술한 기술은 본질적으로 단지 예시이고, 어떠한 방식으로도 본 개시, 이의 적용 예, 또는 사용들을 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시가 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들의 연구 시 자명해질 것이기 때문에 이렇게 제한되지 않아야 한다. 방법의 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시 예들 각각이 특정한 피처들을 갖는 것으로 상기 기술되었지만, 본 개시의 임의의 실시 예에 대해 기술된 이들 피처들 중 임의의 하나 이상의 피처들은, 조합이 명시적으로 기술되지 않더라도 임의의 다른 실시 예들의 피처들에서 그리고/또는 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시 예들은 상호 배타적이지 않고, 하나 이상의 실시 예들의 다른 실시 예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "의 상단에 (on top of)", "위에 (above)", "아래에 (below)" 및 "배치된 (disposed)" 을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트들이 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하는 것으로 해석되지 않아야 한다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치와 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고 (enable), 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합으로될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (27)

  1. 플라즈마 프로세싱 챔버 내에서 에지 링의 높이 및 두께 중 적어도 하나를 측정하기 위한 측정 시스템에 있어서,
    에지 링 내로 초음파 신호를 출력하고 상기 에지 링으로부터 반사된 신호를 수신하도록 구성된 초음파 트랜스듀서 (ultrasound transducer); 및
    상기 초음파 트랜스듀서로 하여금 상기 초음파 신호를 생성하게 하고 상기 초음파 신호 및 상기 반사된 신호의 타이밍에 기초하여 상기 에지 링의 두께를 결정하게 하도록 구성된 제어기를 포함하는, 측정 시스템.
  2. 제 1 항에 있어서,
    상기 플라즈마 프로세싱 챔버의 표면에 대해 상기 에지 링의 높이를 조정하도록 구성된 제 1 높이 조정기를 더 포함하는, 측정 시스템.
  3. 제 2 항에 있어서,
    상기 제어기는 상기 두께에 기초하여 상기 제 1 높이 조정기를 사용하여 상기 표면에 대해 상기 에지 링의 높이를 조정하는, 측정 시스템.
  4. 제 1 항에 있어서,
    상기 제어기가 상기 초음파 트랜스듀서로 하여금 상기 초음파 신호를 생성하게 하기 전에 상기 에지 링과 콘택트하여 상기 초음파 트랜스듀서를 이동시키도록 구성된 제 2 높이 조정기를 더 포함하는, 측정 시스템.
  5. 제 4 항에 있어서,
    상기 제 2 높이 조정기와 상기 초음파 트랜스듀서 사이에 배치된 핀을 더 포함하는, 측정 시스템.
  6. 제 4 항에 있어서,
    상기 초음파 트랜스듀서와 상기 에지 링 사이에 배치된 핀을 더 포함하는, 측정 시스템.
  7. 제 1 항에 있어서,
    상기 초음파 트랜스듀서는 상기 에지 링과 직접적으로 콘택트하는, 측정 시스템.
  8. 제 1 항에 있어서,
    상기 제어기는 상기 두께에 응답하여 상기 에지 링으로 하여금 선택적으로 교체되게 하도록 구성되는, 측정 시스템.
  9. 제 2 항에 있어서,
    상기 초음파 트랜스듀서는 상기 제 1 높이 조정기와 상기 에지 링 사이에 배치되는, 측정 시스템.
  10. 기판들을 위한 플라즈마 프로세싱 챔버에서 에지 링의 높이 및 두께 중 적어도 하나를 측정하기 위한 측정 시스템에 있어서,
    로드 셀 (load cell) 및 스트레인 게이지로 구성된 그룹으로부터 선택된 중량 측정 디바이스로서, 상기 중량 측정 디바이스는 상기 에지 링의 중량을 측정하도록 구성되는, 상기 중량 측정 디바이스; 및
    상기 중량에 기초하여 상기 에지 링의 두께를 결정하도록 구성된 제어기를 포함하는, 측정 시스템.
  11. 제 10 항에 있어서,
    표면에 대해 상기 에지 링의 높이를 조정하도록 구성된 제 1 높이 조정기를 더 포함하는, 측정 시스템.
  12. 제 11 항에 있어서,
    상기 두께에 기초하여, 상기 제어기는 상기 제 1 높이 조정기를 사용하여 상기 표면에 대해 상기 에지 링의 상기 높이를 조정하는, 측정 시스템.
  13. 제 11 항에 있어서,
    상기 중량 측정 디바이스가 상기 에지 링의 상기 중량을 측정하기 전에 상기 에지 링과 콘택트하여 상기 중량 측정 디바이스를 이동시키도록 구성된 제 2 높이 조정기를 더 포함하는, 측정 시스템.
  14. 제 13 항에 있어서,
    상기 제 2 높이 조정기와 상기 중량 측정 디바이스 사이에 배치된 핀을 더 포함하는, 측정 시스템.
  15. 제 13 항에 있어서,
    상기 중량 측정 디바이스와 상기 에지 링 사이에 배치된 핀을 더 포함하는, 측정 시스템.
  16. 제 10 항에 있어서,
    상기 제어기는 상기 두께에 응답하여 상기 에지 링으로 하여금 선택적으로 교체되게 하도록 구성되는, 측정 시스템.
  17. 제 11 항에 있어서,
    상기 중량 측정 디바이스는 상기 제 1 높이 조정기와 상기 에지 링 사이에 배치되는, 측정 시스템.
  18. 기판들을 위한 플라즈마 프로세싱 챔버에서 에지 링의 높이 및 두께 중 적어도 하나를 측정하기 위한 측정 시스템에 있어서,
    모터를 포함하고 표면에 대해 상기 에지 링의 높이를 조정하도록 구성된 높이 조정기;
    상기 모터의 파라미터를 센싱하도록 구성되고 전류 센서 및 전압 센서로 구성된 그룹으로부터 선택된 적어도 하나의 센서; 및
    상기 적어도 하나의 센서의 출력에 기초하여 상기 에지 링의 중량을 결정하고, 상기 중량에 기초하여 상기 에지 링의 두께를 결정하도록 구성된 제어기를 포함하는, 측정 시스템.
  19. 제 18 항에 있어서,
    상기 높이 조정기를 사용하여, 상기 제어기는 상기 두께에 기초하여 상기 표면에 대한 상기 에지 링의 상기 높이를 조정하는, 측정 시스템.
  20. 제 18 항에 있어서,
    상기 높이 조정기와 상기 에지 링 사이에 배치된 핀을 더 포함하는, 측정 시스템.
  21. 제 18 항에 있어서,
    상기 제어기는 상기 두께에 응답하여 상기 에지 링으로 하여금 선택적으로 교체되게 하도록 구성되는, 측정 시스템.
  22. 제 18 항에 있어서,
    상기 제어기로부터 원격의 서버로서, 상기 제어기는 상기 적어도 하나의 센서의 상기 출력을 상기 서버로 전송하는, 상기 서버; 및
    제어기, 높이 조정기 및 센서를 각각 포함하는 복수의 프로세싱 챔버들로서, 상기 복수의 프로세싱 챔버들 각각의 상기 제어기는 상기 대응하는 센서의 출력을 상기 서버로 전송하도록 구성되는, 상기 복수의 프로세싱 챔버들을 더 포함하고,
    상기 서버는 상기 적어도 하나의 센서의 상기 출력 및 상기 복수의 프로세싱 챔버들 각각의 상기 대응하는 센서의 상기 출력에 기초하여 상기 에지 링의 건전성 상태를 평가하도록 머신 러닝 알고리즘을 사용하는, 측정 시스템.
  23. 기판들을 위한 플라즈마 프로세싱 챔버에서 에지 링의 높이 및 두께 중 적어도 하나를 측정하기 위한 측정 시스템에 있어서,
    상기 에지 링으로부터 제 1 거리에 배치되고 상기 에지 링에서 제 1 출력 신호를 송신하도록 구성된 스피커;
    상기 에지 링으로부터 제 2 거리에 배치되고 상기 제 1 출력 신호에 기초하여 상기 에지 링으로부터 반사된 신호를 측정하도록 구성된 마이크로폰; 및
    상기 반사된 신호에 기초하여 상기 에지 링의 상기 두께를 결정하도록 구성된 제어기를 포함하는, 측정 시스템.
  24. 제 23 항에 있어서,
    표면에 대해 상기 에지 링의 상기 높이를 조정하도록 구성된 높이 조정기를 더 포함하는, 측정 시스템.
  25. 제 24 항에 있어서,
    상기 제어기는 상기 높이 조정기로 하여금 상기 두께에 기초하여 상기 표면에 대해 상기 에지 링의 상기 높이를 조정하게 하도록 구성되는, 측정 시스템.
  26. 제 23 항에 있어서,
    상기 제어기는 상기 두께에 응답하여 상기 에지 링으로 하여금 선택적으로 교체되게 하도록 구성되는, 측정 시스템.
  27. 제 23 항에 있어서,
    상기 반사된 신호는 제 1 반사된 신호 및 제 2 반사된 신호를 포함하고, 그리고 상기 제어기는 상기 제 1 반사된 신호 및 상기 제 2 반사된 신호 중 적어도 하나에 기초하여 상기 두께를 결정하는, 측정 시스템.
KR1020217031989A 2019-03-06 2020-02-28 기판 프로세싱 시스템을 위한 조정가능한 에지 링의 두께를 측정하기 위한 측정 시스템 KR20210126783A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962814459P 2019-03-06 2019-03-06
US62/814,459 2019-03-06
PCT/US2020/020308 WO2020180656A1 (en) 2019-03-06 2020-02-28 Measurement system to measure a thickness of an adjustable edge ring for a substrate processing system

Publications (1)

Publication Number Publication Date
KR20210126783A true KR20210126783A (ko) 2021-10-20

Family

ID=72337147

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217031989A KR20210126783A (ko) 2019-03-06 2020-02-28 기판 프로세싱 시스템을 위한 조정가능한 에지 링의 두께를 측정하기 위한 측정 시스템

Country Status (4)

Country Link
US (1) US20220146258A1 (ko)
KR (1) KR20210126783A (ko)
TW (1) TW202100998A (ko)
WO (1) WO2020180656A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11721569B2 (en) * 2021-06-18 2023-08-08 Applied Materials, Inc. Method and apparatus for determining a position of a ring within a process kit
WO2024005850A1 (en) * 2022-07-01 2024-01-04 Lam Research Corporation Moveable edge rings for plasma processing systems

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7233878B2 (en) * 2004-01-30 2007-06-19 Tokyo Electron Limited Method and system for monitoring component consumption
US10985078B2 (en) * 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
KR20180099776A (ko) * 2016-01-26 2018-09-05 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 링 리프팅 솔루션
US11011353B2 (en) * 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
KR20170118466A (ko) * 2016-04-15 2017-10-25 삼성전자주식회사 포커스 링 조립체 및 이를 이용한 기판 처리 방법
US20180061696A1 (en) * 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
US10553404B2 (en) * 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
KR20200102612A (ko) * 2019-02-21 2020-09-01 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11393663B2 (en) * 2019-02-25 2022-07-19 Tokyo Electron Limited Methods and systems for focus ring thickness determinations and feedback control

Also Published As

Publication number Publication date
US20220146258A1 (en) 2022-05-12
WO2020180656A1 (en) 2020-09-10
TW202100998A (zh) 2021-01-01

Similar Documents

Publication Publication Date Title
JP6976686B2 (ja) エッジリング特性評価を実行するためのシステムおよび方法
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
US11605546B2 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10658222B2 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
KR20180060954A (ko) 메사들 사이에 가변하는 깊이들의 영역들을 갖는 기판 지지부 및 대응하는 온도 종속 제조 방법
TW202105503A (zh) 用於聚焦環厚度判定及回授控制的方法和系統
KR20210126783A (ko) 기판 프로세싱 시스템을 위한 조정가능한 에지 링의 두께를 측정하기 위한 측정 시스템
US10347464B2 (en) Cycle-averaged frequency tuning for low power voltage mode operation
US10725485B2 (en) System and method for calculating substrate support temperature
KR20210034095A (ko) 기판 벌크 저항률 변동들에 응답하여 증착 레이트 또는 에칭 레이트 변화들을 감소시키기 위한 rf 전력 보상
US20240210163A1 (en) In-situ wafer thickness and gap monitoring using through beam laser sensor
US20220285136A1 (en) Edge ring systems for substrate processing systems
US11581206B2 (en) Capacitive sensor for chamber condition monitoring
US12020960B2 (en) Determining and controlling substrate temperature during substrate processing
KR102655379B1 (ko) 기판 프로세싱 시스템들을 위한 온도-튜닝된 기판 지지부
WO2023003768A1 (en) Showerhead temperature based deposition time compensation for thickness trending in pecvd deposition system
TW202314891A (zh) 利用差動電容感測器基板的噴淋頭至台座間隙測量
CN114207770A (zh) 具有多个输出端口的射频功率产生器
CN111684578A (zh) 从衬底处理系统的esc中检测衬底的部分松开