TW202314891A - 利用差動電容感測器基板的噴淋頭至台座間隙測量 - Google Patents

利用差動電容感測器基板的噴淋頭至台座間隙測量 Download PDF

Info

Publication number
TW202314891A
TW202314891A TW111130370A TW111130370A TW202314891A TW 202314891 A TW202314891 A TW 202314891A TW 111130370 A TW111130370 A TW 111130370A TW 111130370 A TW111130370 A TW 111130370A TW 202314891 A TW202314891 A TW 202314891A
Authority
TW
Taiwan
Prior art keywords
sensor
distance
measurement signal
capacitive
gap
Prior art date
Application number
TW111130370A
Other languages
English (en)
Inventor
雅各 L 希斯特
理查 布蘭克
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202314891A publication Critical patent/TW202314891A/zh

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B7/00Measuring arrangements characterised by the use of electric or magnetic techniques
    • G01B7/14Measuring arrangements characterised by the use of electric or magnetic techniques for measuring distance or clearance between spaced objects or spaced apertures
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Measurement Of Length, Angles, Or The Like Using Electric Or Magnetic Means (AREA)

Abstract

一種用於測量基板處理系統的處理腔室中之第一結構與第二結構之間的間隙之感測器盤,該感測器盤包含一上表面;至少一第一電容感測器,其配置在該感測器盤的該上表面上,該至少一第一電容感測器設置為產生第一測量訊號,該第一測量訊號指示該感測器盤的該上表面與該第一結構之間的第一距離;一下表面;以及至少一第二電容感測器,其配置在該感測器盤的該下表面上,該至少一第二電容感測器設置為產生第二測量訊號,該第二測量訊號指示該感測器盤的該下表面與該第二結構之間的第二距離。

Description

利用差動電容感測器基板的噴淋頭至台座間隙測量
本揭露關於用於基板處理系統的測試基板,且更具體地關於包括電容感測器的測試基板。 [共同申請案之交互參照]
本申請案係主張2021年8月16日提交的美國臨時申請案第63/233,516號的優先權。該申請案的整體揭露內容係作為參考文獻而引入本文中。
此處所提供之先前技術說明係為了大體上介紹本揭露之背景。在此先前技術章節所敘述之範圍內之本案列名之發明人的成果、以及在申請時不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本揭露之先前技術。
基板處理系統係使用於在基板(例如半導體晶圓)上執行例如薄膜沉積和蝕刻的處理。例如,可執行沉積以使用化學氣相沉積(CVD)、電漿增強CVD(PECVD)、原子層沉積(ALD)、電漿增強ALD(PEALD) 、和/或其他沉積處理而沉積導電薄膜、介電薄膜、或其他類型之薄膜。在沉積期間,該基板係布置在基板支撐件(例如,台座)上,並且可在一或更多處理步驟期間使用氣體分配裝置(例如,噴淋頭)將一或更多前驅物氣體供應至處理腔室。在PECVD或PEALD處理中,電漿係使用於在沉積期間活化在處理腔室內的化學反應。
一種用於測量基板處理系統的處理腔室中之第一結構與第二結構之間的間隙之感測器盤,該感測器盤包含一上表面;至少一第一電容感測器,其配置在該感測器盤的該上表面上,該至少一第一電容感測器設置為產生第一測量訊號,該第一測量訊號指示該感測器盤的該上表面與該第一結構之間的第一距離;一下表面;以及至少一第二電容感測器,其配置在該感測器盤的該下表面上,該至少一第二電容感測器設置為產生第二測量訊號,該第二測量訊號指示該感測器盤的該下表面與該第二結構之間的第二距離。
在其他特徵中,該至少一第一電容感測器包括配置在該感測器盤的該上表面上之三個電容感測器。該至少一第二電容感測器包括配置在該感測器盤的該下表面上之三個電容感測器。該至少一第一電容感測器配置為與該第一結構形成第一電容器並基於該第一電容器的第一電容產生該第一測量訊號。該至少一第二電容感測器配置為與該第二結構形成第二電容器並基於該第二電容器的第二電容產生該第二測量訊號。該感測器盤更包含一凹陷區域,該凹陷區域界定於該感測器盤的該下表面中。該凹陷區域由該感測器盤的外邊緣延伸至中心區域。
在其他特徵中,一種包含該感測器盤的系統並更包含一控制器,該控制器設置為接收該第一測量訊號與該第二測量訊號及基於該第一測量訊號與該第二測量訊號,計算該第一結構與該第二結構之間的該間隙之寬度。該控制器設置為基於該第一距離、該第二距離、及該感測器盤的厚度,計算該間隙之該寬度。該控制器設置為更基於儲存數據計算該間隙之該寬度,該儲存數據將該至少一第一電容感測器和該第一結構之間形成的第一電容與該第一距離相關聯並將該至少一第二電容感測器和該第二結構之間形成的第二電容與該第二距離相關聯。該第一結構為一噴淋頭且該第二結構為一台座。
一種用於測量基板處理系統的處理腔室中之第一結構與第二結構之間的間隙之系統,該系統包含:一感測器盤,該感測器盤包括配置在該感測器盤的一上表面上之至少一第一電容感測器及配置在該感測器盤的一下表面上之至少一第二電容感測器;及一控制器設置為接收來自該至少一第一電容感測器的第一測量訊號,該第一測量訊號指示該感測器盤的該上表面與該第一結構之間的第一距離,接收來自該至少一第二電容感測器的第二測量訊號,該第二測量訊號指示該感測器盤的該下表面與該第二結構之間的第二距離,及基於該第一測量訊號及該第二測量訊號,計算該第一結構與該第二結構之間的該間隙之寬度。
在其他特徵中,該控制器設置為基於該第一距離、該第二距離、及該感測器盤的厚度,計算該間隙之該寬度。該控制器設置為更基於儲存數據計算該間隙之該寬度,該儲存數據將該至少一第一電容感測器和該第一結構之間形成的第一電容與該第一距離相關聯並將該至少一第二電容感測器和該第二結構之間形成的第二電容與該第二距離相關聯。一凹陷區域界定於該感測器盤的該下表面中,且其中該凹陷區域由該感測器盤的外邊緣延伸至中心區域。該系統更包含一機械分度器,該機械分度器包括一終端效應器,且該凹陷區域設置為接收該終端效應器。
一種用於測量基板處理系統的處理腔室中之第一結構與第二結構之間的間隙之方法,該方法包含:在一終端效應器上配置一感測器盤;將該感測器盤定位在該第一結構與該第二結構之間的該間隙中;使用該感測器盤判斷在該感測器盤的一上表面與該第一結構之間的第一距離及在該感測器盤的一下表面與該第二結構之間的第二距離;及基於該第一距離與該第二距離,計算在該第一結構與該第二結構之間的該間隙之寬度。
在其他特徵中,該感測器盤包括配置在該感測器盤的一上表面上之至少一第一電容感測器及配置在該感測器盤的一下表面上之至少一第二電容感測器。該方法更包含:使用該至少一第一電容感測器產生第一測量訊號,該第一測量訊號指示該感測器盤的該上表面與該第一結構之間的該第一距離並使用該至少一第二電容感測器產生第二測量訊號,該第二測量訊號指示該感測器盤的該下表面與該第二結構之間的該第二距離;及基於該第一測量訊號、該第二測量訊號、及該感測器盤的厚度,計算該第一結構與該第二結構之間的該間隙之該寬度。
在其他特徵中,該方法更包含:基於在該至少一第一電容感測器與該第一結構之間形成的第一電容,產生該第一測量訊號;及基於在該至少一第二電容感測器與該第二結構之間形成的第二電容,產生該第二測量訊號。該感測器盤包括一凹陷區域,該凹陷區域界定於該感測器盤的該下表面中,其中該凹陷區域由該感測器盤的外邊緣延伸至中心區域,且在該終端效應器上配置該感測器盤之步驟包括將該感測器盤之該凹陷區域配置在該終端效應器上。定位該感測器盤之步驟包括將該感測器盤定位在該第一結構與該第二結構之間的一中點。
透過實施方式、申請專利範圍及圖式,本揭露之進一步應用領域將變得顯而易見。實施方式及特定範例僅用於說明之目的,其用意不在於限制本揭露之範圍。
一間隙界定在氣體分配裝置(例如,噴淋頭)的下表面與基板支撐件(例如,台座)的上表面之間。基板處理參數(例如,沉積速率、電漿輪廓等)可基於間隙的特性而變化。可能影響處理參數的間隙之特性包括間隙的寬度(即,噴淋頭和基板支撐件之間的垂直距離)及寬度在水平方向上的變化(例如,由傾斜的噴淋頭或基板支撐件表面所引起的變化)。
可使用諸多方法測量間隙。可基於該測量結果調整噴淋頭與基板以達到所需的間隙寬度和方向。例如,噴淋頭之傾斜(即,水平)和高度以及基板支撐件之高度為可調整的。在若干實施例中,感測器盤或晶圓可配置在基板支撐件上。一或更多電容感測器配置在感測器盤的上表面上(即,在感測器盤的面噴淋頭表面上)。
電容感測器設置為測量感測器盤的上表面與噴淋頭之間的距離。例如,隨著距離改變,由電容感測器偵測到的電容改變。電容感測器產生測量訊號指示電容和相應距離,可接著使用該等訊號判斷間隙的寬度。可根據已知距離(例如,給定材料的已知距離)校準電容感測器。隨著電容感測器與噴淋頭之間的距離增加,測量訊號的準確度降低。例如,測量訊號的準確度可能相對於距離呈指數衰減。
依據本揭露的若干實施例的感測器盤或基板包括位於上(即,面噴淋頭)和下(即,面基板支撐件) 兩者表面上的感測器,例如電容感測器。感測器盤定位在處理腔室的上表面或其他結構(例如,氣體分配裝置,如噴淋頭)與基板支撐件之間(例如,在心軸、機械臂等的終端效應器上)而不接觸噴淋頭或基板支撐件。例如,感測器盤可定位並懸掛在噴淋頭與基板支撐件之間的中間點處。
因此,配置在感測器盤的上表面上之感測器設置為測量該感測器盤和該噴淋頭之間的第一距離,而配置在感測器盤的下表面上之電容感測器設置為測量該感測器盤與該基板支撐件之間的第二距離。第一距離、第二距離、及感測器盤的厚度之總和對應於在噴淋頭和基板支撐件之間的間隙之測量寬度。可基於該間隙之測量寬度調整噴淋頭的傾斜(即水平)、噴淋頭的高度、及基板支撐件的高度之至少一者。
現在對照圖1,顯示根據本揭露的原理之基板處理系統100的範例。雖然前述範例涉及PECVD系統,但亦可使用其他基於電漿的基板處理腔室。基板處理系統100包括處理腔室104,其包圍基板處理系統100的其他元件。基板處理系統100包括上電極108與例如包含下電極116的台座112之基板支撐件。基板(圖未顯示)在處理期間配置在上電極108和下電極116之間的台座112上。儘管下文針對單一處理腔室104和台座112進行描述,但本揭露的原理可在包括複數處理腔室和包括複數處理站及台座的處理腔室之系統中實施,例如四站模組(QSM)。
僅舉例而言,上電極108可包括引入和分配處理氣體的噴淋頭124。或者,上電極108可包括導電板,並且可以另一方式引入處理氣體。在若干範例中,下電極116可對應於嵌入在非導電台座內的導電電極。或者,台座112可包括靜電夾盤,其包括用作下電極116的導電板。
當使用電漿時,射頻(RF)產生系統126將RF電壓產生並輸出至上電極108及/或下電極116。在若干範例中,上電極108與下電極116之一者可DC接地、AC接地、或處於浮動電位。僅舉例而言,RF產生系統126可包括一或更多RF電壓產生器128(例如,電容耦合電漿RF功率產生器、偏壓RF功率產生器、及/或其他RF功率產生器),例如產生RF電壓的RF產生器128,該RF電壓由一或更多匹配與分配網路130饋送至下電極116及/或上電極108。例如,如圖所示,RF產生器128提供RF及/或偏壓至下電極116。下電極116可替代地或附加地由諸如電源132的其他電源接收功率。在另一範例中,可將RF電壓供應至上電極108或者上電極108可連接到接地參考。
例示的氣體輸送系統140包括一或更多氣體源144-1、144-2、…、及144-N(統稱為氣體源144),其中N為大於零的整數。氣體源144供應一或更多氣體(例如,前驅物、惰性氣體等)及其混合物。也可使用汽化的前驅物。氣體源144之至少一者可包含在本揭露的預處理過程中使用的氣體(例如,NH 3、N 2等)。氣體源144藉由閥148-1、148-2、…、及148-N(統稱為閥148)和質量流量控制器152-1、152-2、…、及152-N(統稱為質量流量控制器152)連接至歧管154。歧管154的輸出被饋送到處理腔室104。僅舉例而言,歧管154的輸出被饋送到噴淋頭124。在若干範例中,在質量流量控制器152與歧管154之間可提供可選的臭氧產生器156。在若干範例中,基板處理系統100可包括液體前驅物輸送系統158。液體前驅物輸送系統158可如圖所示併入氣體輸送系統140內,或者可在氣體輸出系統140外部。液體前驅物輸送系統158設置為透過起泡器、直接液體注入、蒸氣抽取等提供在室溫下為液體和/或固體的前驅物。
加熱器160可連接到配置在台座112中的加熱器線圈162以加熱台座112。加熱器160可用於控制台座112和基板的溫度。
閥164和幫浦168可用於從處理腔室104中排出反應物。控制器172可用於控制基板處理系統100的諸多元件。僅舉例而言,控制器172可用於控制處理氣體、載氣和前驅氣體之流動、激發和熄滅電漿、去除反應物、監控腔室參數等。透過配置在整個基板處理系統100的一或更多感測器174,控制器172可接收指示處理腔室104內之處理參數、條件的測量訊號。
根據本揭露的控制器172更被設置為接收來自配置在噴淋頭124和台座112之間的感測器盤178之測量訊號。例如,感測器盤178配置在終端效應器182上,且該終端效應器182將感測器盤178定位在噴淋頭124和台座112之間的間隙中。電容感測器186配置在感測器盤178的相對上和下表面上。電容感測器基於感測器盤178和噴淋頭124之間以及感測器盤178和台座112之間的電容感測距離產生測量訊號,如下文更詳細描述的。儘管針對噴淋頭124與台座112之間的距離進行描述,但本揭露的原理也可應用於測量台座112和處理腔室104的上電極、上表面等之間的距離。
現在參照圖2A、2B、和2C,顯示根據本揭露的感測器盤200之實施例,其定位於噴淋頭204和台座208之間。例如,感測器盤200配置在終端效應器212上,該終端效應器212設置為將感測器盤200定位在一或更多處理站216內。例如,終端效應器212可耦合至機械分度器224的心軸220,該機械分度器224設置為升高和降低終端效應器212並在二或更多處理站216之間旋轉終端效應器212。機械分度器224可對應於設置為在處理腔室或處理模組(例如,多站模組)內的不同處理站之間傳送基板的機械分度器。
感測器228-1及228-2(統稱為感測器228)分別配置在感測器盤200的上表面232和下表面236上。感測器228包含相應的感測器電極。例如,感測器電極由例如銅之類的高導電材料構成。在若干實施例中,感測器電極可包含非導電塗層以防止腐蝕、氧化等。雖然感測器228中的各者顯示為其包括三個感測器電極,但在其他實施例中,感測器228可包括更少或更多的感測器電極。感測器電極之各者的間距和各自的尺寸也可不同。例如,增加感測器228佔據的總面積(例如,增加感測器電極的直徑)可致使對間隙和傾斜的偵測具有更好的靈敏度。
圖2B顯示上表面232的等距視圖,而圖2C顯示下表面236的等距視圖。雖然在本文將感測器228描述為電容感測器,但感測器228可用其他適合類型的相近感測器實施,例如雷射感測器、紅外線感測器等。感測器228基於感測器盤200和噴淋頭204之間以及感測器盤200和台座208之間的電容感測距離產生測量訊號240(例如來自感測器228-1的一或更多第一測量訊號及來自感測器228-2的一或更多第二測量訊號)。
儘管如圖所示,三個感測器228配置在感測器盤200的各側,但在其他實施例中,可在感測器盤200的每一側上提供更少(例如一或二)或更多(例如四或五)個感測器228。例如,隨著感測器228的數量增加,能夠以更高的準確度判斷噴淋頭204和台座208之間的距離、噴淋頭204的傾斜度等。
例如,感測器盤200定位在噴淋頭204和台座208之間的間隙G中。感測器228-1配置成基於感測器盤200的上表面232和噴淋頭204之間的距離(例如,間隙g1的寬度)產生測量訊號240。換言之,感測器228-1面朝上。相反地,感測器228-2配置成基於下表面236和台座208之間的距離(例如,間隙g2的寬度)產生測量訊號240。換言之,感測器228-2面朝下。
因此,間隙G的寬度對應於間隙g1和g2的寬度與感測器盤200的厚度t(包括感測器228-1和228-2的寬度)之總和 (亦即,G= g1 +g2 +t)。儘管如圖2A所示,感測器228-1和228分別從感測器盤200向上和向下突出,但在實施例中,感測器228可嵌入感測器盤200內,使得感測器228的表面與感測器盤200的表面共面(即齊平)。因此,在不同實施例中,厚度t可對應於感測器盤200的上表面232與下表面236之間的距離(即,感測器盤200的基板之厚度)、感測器盤200包括感測器228-1和228-2的厚度等等。
感測器228基於電容產生測量信號240,該電容基於間隙g1和g2的寬度而變化。例如,感測器228之各者可設置為在已知振幅和頻率產生參考訊號(例如,具有正弦波形、方波形等的激勵訊號)以激勵感測器228(例如,感測器228的下板或電極)。因此,在感測器228和噴淋頭204與台座208之相應一者的表面之間形成電容器。由相應感測器228測量所得電容器的電容指示電容感測器與噴淋頭204或台座208的相應表面之間的距離。換言之,電容感測器228-1的電容指示電容感測器228-1和噴淋頭204的對應部分之間的相應距離。相反地,電容感測器228-2的電容指示電容感測器228-2和台座208的對應部分之間的相應距離。
因此,測量訊號240指示感測器228的電容,其進而指示感測器之各者與噴淋頭204或台座208的相應部分之間的距離。例如,測量訊號240可包括相應電容的數位或類比值。在一實施例中,感測器228被設置為測量指示電容的可變電阻或電抗,基於測量的電阻或電抗而判斷電容、及輸出指示電容的數位值(作為測量訊號240中的相應一者)。
在若干實施例中,將測量訊號240提供至通訊介面,例如無線介面244。無線介面244將測量訊號240(亦即,作為包括指示電容的數位值的無線訊號248)傳輸至處理站216外部的裝置,例如控制器252。例如,控制器252對應於圖1的控制器172。在若干實施例中,無線介面244可實時或接近實時地將測量訊號240傳輸至控制器252。在其他實施例中,感測器盤200可包括記憶體,該記憶體設置為儲存對應於測量訊號240的測量數據,當感測器盤200從處理站216移除時,可檢索此些測量數據。在若干實施例中,無線介面244可與該記憶體互動以成批地傳輸測量數據(例如,在四站腔室中,在將測量數據傳輸到控制器252之前,無線介面244可等待直到所有四個站都被測量為止)或週期性地傳輸(亦即在經過一定時間後)。如圖2B所示,感測器盤200可包括一或更多電池256。電池256向感測器228和無線介面244提供電力。成批或週期性的傳輸可降低無線介面244的電力耗損。
因此,感測器盤200被設置以判斷間隙G的寬度,而無須傳遞到台座208 (亦即,放置到其上)。此外,可旋轉感測器盤200通過複數處理站以測量相應間隙,同時保持在終端效應器212上,減少測量間隙所需的時間量、減少終端效應器212和台座208之間與傳遞相關的粒子生成等。
此外,由於感測器盤200保持在終端效應器212上,因此可減小感測器盤200、噴淋頭204、和台座208之間的所需間隙。換言之,由於終端效應器212不將感測器盤200放置在台座208上,因此在測量期間不需要將終端效應器212降低和從處理站216移除。因此,包括感測器228的感測器盤200之厚度可增加(例如,至10或更多mm)以減小感測器228與噴淋頭204和台座208的表面之間的距離。
例如,對於大約(例如在10%內)17.0 mm的間隙G和大約(例如在10%內)11.0 mm的感測器盤200之厚度t,間隙g1和g2之各者可減小到大約(例如在10%內)3.0 mm。因此,對於小於20.0mm的間隙G,感測器盤200的厚度t可為間隙G的寬度之至少60%(例如,在60%至70%之間)。隨著間隙G的寬度增加,感測器盤200的厚度t可增加以保持相對小的間隙g1和g2(例如,小於5.0mm、不大於3mm等)。感測器228的準確度(亦即,電容和距離之間的關係之準確度)與距離成反比並隨著距離減小而呈指數增加。因此,增加厚度t增加了測量訊號240的準確度。
在若干實施例中,下表面236是平坦的(例如,平面的)並且被支撐在終端效應器212上。在其他實施例中,如圖2C所示,下表面236包括設置為接收終端效應器212的凹陷區域或插槽260。換言之,凹陷區域260的形狀設置為容納終端效應器212,使得終端效應器212凹陷在感測器盤200的下表面236內。例如,凹陷區域260從感測器盤200的外邊緣延伸到中心區域。當感測器盤200支撐在終端效應器212上時,終端效應器212的下表面264可與感測器盤200的下表面236為齊平的(即,共面)、或稍微(例如在0和1.5mm之間)在該下表面236之上方或下方。以此種方式,終端效應器212可更容易地將感測器盤200定位在噴淋頭204和台座208之間的中點,使得無論感測器盤200的厚度t為何,間隙g1和g2大致(例如,在彼此的5%之內)相同。
在若干實施例中,台座208(或台座208的上表面)可由諸如陶瓷的非金屬構成。因此,台座208的上表面可不被設置為與感測器228-2形成電容器。在此些實施例中,金屬板、環、或其他結構(例如,在圖2A中顯示為金屬盤268)可任選地配置在台座208上以提供可由感測器228-2偵測的金屬表面。例如,金屬盤268包含與噴淋頭204相同的材料,使得相等的距離對應於基本相等的電容。間隙g2的計算可包括考慮(例如,加上)金屬盤268的已知厚度。在其他實施例中,金屬盤268可配置在台座208上以減小間隙g2並增加電容感測的準確度。
在圖2D顯示的另一實施例中,感測器盤200包括上盤200-1和下盤200-2(統稱為感測器盤200)。感測器228-1配置在上盤200-1的上表面上或上表面內。相反地,感測器228-2配置在下盤200-2的下表面上或下表面中。上盤200-1和下盤200-2連接在一起(例如,使用複數柱體272)以界定間隙276。終端效應器212插入間隙276內以取回、支撐、和傳送感測器盤200。以此種方式,感測器盤200可設置為使間隙g1和g2最小化。例如,可增加上盤200-1和下盤200-2的厚度以減小間隙g1和g2。
儘管如上所述,感測器盤200在上表面和下表面兩者上都包括感測器228,但在另一實施例中,感測器盤200可只在一表面上(例如,在上表面或下表面上)包括感測器。在此實施例中,可先以第一方位(亦即,感測器228面向第一方向,例如向上朝向噴淋頭204) 將感測器盤200配置在終端效應器212上,以測量第一間隙g1。接著可以第二方位配置感測器盤200(亦即,翻轉),使得感測器228面向相反的第二方向(亦即,向下朝向台座208)以測量第二間隙g2。
圖3為根據本揭露,使用感測器盤(例如,感測器盤200)判斷第一結構(例如,諸如噴淋頭204的噴淋頭、處理腔室的上表面等)和第二結構(例如,諸如台座208的台座、處理腔室的下表面等)之間的距離之方法300的實施例。在302,方法300(例如,控制器252)執行校準處理以產生和儲存校準數據,該校準數據將測量電容與感測器228和相應表面之間的距離相關聯。例如,校準處理可在處理站處執行,該處理站包括配置在已知距離的噴淋頭和台座,由與噴淋頭204和台座208等相同的材料所構成。以此種方式,方法300儲存數據,該數據將由感測器228判斷的測量電容與感測器228和噴淋頭與台座的相應表面之間的真實距離相關聯。
在304,感測器盤200被傳送到機械分度器224(例如,在終端效應器212上)。例如,感測器盤200在多站處理模組的裝載站處由傳送機器人移交給終端效應器212。在308,終端效應器212將感測器盤200定位在第一處理站中的噴淋頭和台座之間。在若干實施例中,第一處理站為裝載站。在其他實施例中,機械分度器224旋轉以將感測器盤200定位在與裝載站不同的處理站處。
在312,方法300(例如,響應於控制器252的機械分度器224)將感測器盤200定位在噴淋頭和裝載站之間的預定位置處。僅舉例而言,預定位置是噴淋頭和裝載站之間的中點(亦即,中間位置)。例如,機械分度器224設置為升高和降低終端效應器212以調整感測器盤200的垂直位置。方法300(例如,控制器252)基於感測器228-1和228-2在不同垂直位置中的相對電容來判斷中點。
在一實施例中,機械分度器224透過不同位置(例如,從最低位置到最高位置或反之亦然)調整感測器盤200並測量在不同位置中的感測器228-1和228-2的電容。在最低位置,感測器228-2的電容將為較大的(表示到台座的距離相對較小),而感測器228-1的電容將為較小的(表示到噴淋頭的相對距離相對較大)。相反地,在最高位置,感測器228-2的電容將為較小的(表示到台座的距離相對較大),而感測器228-1的電容將為較大的(表示到噴淋頭的距離相對較小)。
在各個位置中,方法300判斷感測器228-1的電容(例如,二或更多感測器228-1的平均電容)與感測器228-2的電容(例如,二或更多感測器228-2的平均電容)之間的差異。對應於感測器228-1與228-2的電容之間的最小差異之位置對應到噴淋頭與台座之間的中點(例如,假設噴淋頭與台座之表面的材料相同)。換言之,方法300可假設當感測器盤200定位在中點時,由感測器228-1與228-2測量的電容將為實質上相同的,因為感測器盤200的相對表面和噴淋頭與台座的相應表面之間的距離亦為相同的。
在316,方法300測量感測器228-1和228-2之各者的電容(例如,感測器盤200在預定位置,例如中點位置)。例如,如上所述,感測器228產生指示測量電容的測量訊號240,其作為數位值傳輸至控制器252。在320,方法300(例如,控制器252)基於電容計算噴淋頭與台座之相應部分之間的距離(例如,間隙G的寬度)。例如,控制器252基於測量電容和儲存校準數據計算距離,該校準數據將電容與感測器228之各者的距離相關聯。控制器252可儲存計算的距離以用於檢索、顯示等。
儘管如上述,方法300在感測器盤200位於中點位置處判斷距離,但在其他實施例中,可在不確定中點位置的情況下判斷電容和距離,感測器盤200可在中點位置以外的其他位置等。例如,機械分度器224可在處理期間保持相同的標稱或校準位置,並且旋轉感測器盤200通過複數處理站以測量相應噴淋頭和台座之間的距離,而無須調整感測器盤200的垂直位置。
在322,一或更多處理站的噴淋頭及/或台座可任選地基於測量的間隙G而調整。測量的間隙G可能指示噴淋頭是傾斜的、噴淋頭與台座之間的距離大於或小於期望的距離等。在若干實施例中,該等調整可手動執行(例如,透過在維修期間進入處理模組的內部)。在其他實施例中,可透過使用響應於控制器252的相應致動器升高或降低噴淋頭和台座之一或兩者來自動執行調整。可以反覆地執行調整直到測量間隙對應到期望間隙為止。例如,方法300可重複316、320、及322直到達到期望間隙為止。
在324,方法300判斷是否測量另一處理站的間隙G。如果是,則方法300繼續到328。如果否,則方法300繼續到332。在328,方法300(例如,機械分度器224)旋轉終端效應器212以將感測器盤200定位在另一處理站,並且繼續到312。
在332,感測器盤200從機械分度器224取回。例如,感測器盤200返回到裝載站並且使用傳送機器人取回。感測器盤200可儲存在基板處理系統內(例如,在真空傳送模組或設備前端模組內的緩衝站處)、從基板處理系統取回、傳送至另一多站模組等。方法300中的一或更多步驟可被省略或重新配置,同時仍實現判斷噴淋頭(例如,噴淋頭204)與台座(例如,台座208)之間距離的目標。例如,在若干情況下可省略校準步驟(302)。
前述的實施方式在本質上僅為說明性的,且並非意旨對本揭露、其應用、或使用進行限制。本揭露的廣義教示得以各種形式而實施。因此,雖然本揭露包括特定範例,惟本揭露的真實範圍應當不因此而受限,原因在於在對圖式、說明書、及下列申請專利範圍進行研讀後,其他的修正將變得顯而易知。應理解,在不變更本揭露之原理的情況下,一方法中的一或更多的步驟得以不同順序(或同時地)執行。此外,雖然係將各實施例在上方描述成具有特定特徵,但可將對於本揭露之任何實施例所描述的任一或更多這些特徵實施在、及/或組合至任何其他實施例的特徵,即使該組合並未明確地描述。換言之,所描述的實施例並非為互斥的,且一或更多實施例彼此的置換仍在本揭露的範圍內。
複數元件之間(例如,在模組、電路元件、半導體膜層之間:等)的空間與功能性關係使用諸多用語來描述,包括「連接」、「接合」、「耦合」、「相鄰」、「在…旁」、「在…的頂部」、「在…之上」、「在…之下」、以及「配置」。除非明確描述為「直接」,否則在上述揭露內容中描述第一與第二元件之間的關係時,該關係可為在第一和第二元件之間不存在其他中間元件的直接關係,亦可為一或更多中間元件存在(不論空間上或功能上)於第一和第二元件之間的非直接關係。如本文所用,片語「A、B及C其中至少一者」應解釋為表示使用非排他邏輯「或(OR)」之邏輯(「A或B或C」),而不應解釋為表示「至少一A、至少一B、及至少一C」。
在有些實施例中,控制器為系統的一部分,該系統可為上述範例之一部分。此系統可包含半導體處理設備,包括一或更多處理工具、一或更多腔室、一或更多處理平台、和/或特定處理構件(晶圓基座、氣體流動系統等)。可將這些系統與電子元件進行整合以在處理半導體晶圓或基板之前、期間、及之後控制它們的操作。所述電子元件可被稱為「控制器」,其可控制一或更多系統的各種構件或子部件。取決於處理需求和/或系統類型,可將控制器進行編程以控制本文所揭露之任何處理,包括處理氣體的輸送、溫度設定(例如,加熱和/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、定位與操作設定、與特定系統連接或接合的一工具及其他運送工具及/或負載鎖室的晶圓運送進出。
廣義而言,可將控制器定義成具有各種積體電路、邏輯、記憶體、和/或軟體的電子元件,其接收指令、發送指令、控制操作、啟用清潔操作、啟用終點測量等。所述積體電路可包括以韌體形式儲存程序指令的晶片、數位訊號處理器(DSPs)、定義為特殊應用積體電路(ASICs)的晶片、和/或執行程式指令(例如,軟體)的一或更多微處理器或微控制器。程式指令可為以各種獨立設定(或程式檔案)形式而與控制器通訊的指令,而定義出用於在半導體晶圓上、或針對半導體晶圓、或對系統執行特定處理的操作參數。在一些實施例中,操作參數可為製程工程師所定義的配方之一部分,以在將一或更多膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、和/或晶圓的晶粒之製造期間完成一或更多的處理步驟。
在有些實施例中,控制器可為電腦的一部分或耦合至電腦,該電腦係與系統整合、耦合至所述系統、或以網路連接到系統、或是其組合。例如,控制器可位於「雲端」中、或晶圓廠主電腦系統的全部或一部分中,其可允許晶圓處理的遠端存取。電腦可對系統進行遠端存取,以監控製造操作的當前進展、檢視過去製造操作的歷史、由複數製造操作檢視趨勢或性能指標、改變當前處理的參數、設定處理步驟以依循當前處理、或開始新處理。在一些範例中,遠端電腦(例如,伺服器)可通過網路向系統提供處理配方,該網路可包括區域網路或網際網路。遠端電腦可包括使用者介面,而能夠對參數和/或設定進行輸入或編程,所述參數和/或設定則接著從遠端電腦通訊至系統。在一些範例中,控制器接收數據形式的指令,該指令係指明一或更多操作期間待執行的各處理步驟所用之參數。應理解,可將所述參數特定於待執行的處理之類型以及控制器所設置以與之接合或控制的工具之類型。因此,如上所述,控制器可例如藉由包括一或更多離散控制器而進行分佈,其中所述離散控制器係彼此以網路連接且朝向共同的目的而作業,例如此處所述的處理和控制。為此目的所分佈的控制器之示例係位於腔室上的一或更多積體電路,其與遠端設置(例如,位於平台層或作為遠端電腦的一部分)的一或更多積體電路通訊,且結合以控制腔室上之處理。
不具限制地,例示系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-沖洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜角邊緣蝕刻腔室或模組、物理氣相沉積 (PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、以及可能有關於或使用於半導體晶圓之加工及/或製造中的任何其他半導體處理系統。
如前所述,取決於工具待執行的一或更多處理步驟,控制器可通訊至一或多其他工具電路或模組、其他工具構件、群集式工具、其他工具介面、相鄰工具、鄰近工具、遍布於工廠的工具、主電腦、另一控制器、或用於材料傳送中的工具,該等工具將晶圓的容器來回傳送於半導體生產工廠中的工具位置和/或裝載埠。
100:基板處理系統 104:處理腔室 108:上電極 112:台座 116:下電極 124:噴淋頭 126:RF產生系統 128:RF產生器 130:匹配網路 132:電源 144-1、144-2、…、144-N:氣體源 148-1、148-2、…、148-N:閥 152-1、152-2、…、152-N:質量流量控制器 154:歧管 156:臭氧產生器 158:液體前驅物輸送系統 160:加熱器 164:閥 168:幫浦 172:控制器 174:感測器 178:感測器盤 182:終端效應器 186:電容感測器 200:感測器盤 200-1:上盤 200-2:下盤 204:噴淋頭 208:台座 212:終端效應器 216:處理站 220:心軸 224:機械分度器 228、228-1、228-2:感測器 232:上表面 236:下表面 240:訊號 244:無線介面 248:無線訊號 252:控制器 256:電池 260:凹陷區域(插槽) 264:下表面 268:金屬盤 272:柱體 276:間隙 300:流程圖 302-332:步驟
根據實施方式及隨附圖式,將能更完整地理解本揭露,其中:
圖1為依據本揭露之基板處理系統的實施例之功能方塊圖;
圖2A為依據本揭露之感測器盤的實施例;
圖2B為圖2A之感測器盤的上表面之等距視圖;
圖2C為圖2A之感測器盤的下表面之等距視圖;
圖2D為依據本揭露之感測器盤的另一實施例;以及
圖3為依據本揭露之使用感測器盤判斷噴淋頭與台座之間的距離之方法的實施例。
在圖式中,元件符號可能重複使用,以標示類似和/或相同的元件。
200:感測器盤
204:噴淋頭
208:台座
212:終端效應器
216:處理站
220:心軸
224:機械分度器
228-1、228-2:感測器
232:上表面
236:下表面
264:下表面
268:金屬盤

Claims (22)

  1. 一種感測器盤,用於測量基板處理系統的處理腔室中之第一結構與第二結構之間的間隙,該感測器盤包含: 一上表面; 至少一第一電容感測器,其配置在該感測器盤的該上表面上,其中該至少一第一電容感測器設置為產生第一測量訊號,該第一測量訊號指示該感測器盤的該上表面與該第一結構之間的第一距離; 一下表面;以及 至少一第二電容感測器,其配置在該感測器盤的該下表面上,其中該至少一第二電容感測器設置為產生第二測量訊號,該第二測量訊號指示該感測器盤的該下表面與該第二結構之間的第二距離。
  2. 如請求項1之感測器盤,其中該至少一第一電容感測器包括配置在該感測器盤的該上表面上之三個電容感測器。
  3. 如請求項1之感測器盤,其中該至少一第二電容感測器包括配置在該感測器盤的該下表面上之三個電容感測器。
  4. 如請求項1之感測器盤,其中該至少一第一電容感測器配置為(i)與該第一結構形成第一電容器及(ii)基於該第一電容器的第一電容產生該第一測量訊號。
  5. 如請求項4之感測器盤,其中該至少一第二電容感測器配置為(i)與該第二結構形成第二電容器及(ii)基於該第二電容器的第二電容產生該第二測量訊號。
  6. 如請求項1之感測器盤,更包含一凹陷區域,該凹陷區域界定於該感測器盤的該下表面中,其中該凹陷區域由該感測器盤的外邊緣延伸至中心區域。
  7. 一種包含請求項1之感測器盤的系統,且更包含一控制器,該控制器設置為(i)接收該第一測量訊號與該第二測量訊號及(ii)基於該第一測量訊號與該第二測量訊號,計算該第一結構與該第二結構之間的該間隙之寬度。
  8. 如請求項7之系統,其中該控制器設置為基於該第一距離、該第二距離、及該感測器盤的厚度,計算該間隙之該寬度。
  9. 如請求項8之系統,其中該控制器設置為更基於儲存數據計算該間隙之該寬度,該儲存數據(i)將該至少一第一電容感測器和該第一結構之間形成的第一電容與該第一距離相關聯且(ii)將該至少一第二電容感測器和該第二結構之間形成的第二電容與該第二距離相關聯。
  10. 如請求項1之感測器盤,其中該第一結構為一噴淋頭且該第二結構為一台座。
  11. 一種用於測量基板處理系統的處理腔室中之第一結構與第二結構之間的間隙之系統,該系統包含: 一感測器盤,該感測器盤包括配置在該感測器盤的一上表面上之至少一第一電容感測器及配置在該感測器盤的一下表面上之至少一第二電容感測器;及 一控制器,設置為 接收來自該至少一第一電容感測器的第一測量訊號,該第一測量訊號指示該感測器盤的該上表面與該第一結構之間的第一距離, 接收來自該至少一第二電容感測器的第二測量訊號,該第二測量訊號指示該感測器盤的該下表面與該第二結構之間的第二距離,及 基於該第一測量訊號及該第二測量訊號,計算該第一結構與該第二結構之間的該間隙之寬度。
  12. 如請求項11之系統,其中該控制器設置為基於該第一距離、該第二距離、及該感測器盤的厚度,計算該間隙之該寬度。
  13. 如請求項12之系統,其中該控制器設置為更基於儲存數據計算該間隙之該寬度,該儲存數據(i)將該至少一第一電容感測器和該第一結構之間形成的第一電容與該第一距離相關聯且(ii)將該至少一第二電容感測器和該第二結構之間形成的第二電容與該第二距離相關聯。
  14. 如請求項11之系統,其中一凹陷區域界定於該感測器盤的該下表面中,且其中該凹陷區域由該感測器盤的外邊緣延伸至中心區域。
  15. 如請求項14之系統,更包含一機械分度器,該機械分度器包括一終端效應器,其中該凹陷區域設置為接收該終端效應器。
  16. 一種用於測量基板處理系統的處理腔室中之第一結構與第二結構之間的間隙之方法,該方法包含: 在一終端效應器上配置一感測器盤; 將該感測器盤定位在該第一結構與該第二結構之間的該間隙中; 使用該感測器盤判斷(i)在該感測器盤的一上表面與該第一結構之間的第一距離及(ii)在該感測器盤的一下表面與該第二結構之間的第二距離;及 基於該第一距離與該第二距離,計算在該第一結構與該第二結構之間的該間隙之寬度。
  17. 如請求項16之方法,其中該感測器盤包括配置在該感測器盤的一上表面上之至少一第一電容感測器及配置在該感測器盤的一下表面上之至少一第二電容感測器。
  18. 如請求項17的方法,更包含: 使用該至少一第一電容感測器產生第一測量訊號,該第一測量訊號指示該感測器盤的該上表面與該第一結構之間的該第一距離; 使用該至少一第二電容感測器產生第二測量訊號,該第二測量訊號指示該感測器盤的該下表面與該第二結構之間的該第二距離;及 基於該第一測量訊號、該第二測量訊號、及該感測器盤的厚度,計算該第一結構與該第二結構之間的該間隙之該寬度。
  19. 如請求項18之方法,更包含: 基於在該至少一第一電容感測器與該第一結構之間形成的第一電容,產生該第一測量訊號;及 基於在該至少一第二電容感測器與該第二結構之間形成的第二電容,產生該第二測量訊號。
  20. 如請求項16之方法,其中該感測器盤包括一凹陷區域,該凹陷區域界定於該感測器盤的該下表面中,其中該凹陷區域由該感測器盤的外邊緣延伸至中心區域,且其中在該終端效應器上配置該感測器盤之步驟包括將該感測器盤之該凹陷區域配置在該終端效應器上。
  21. 如請求項16之方法,其中定位該感測器盤之步驟包括將該感測器盤定位在該第一結構與該第二結構之間的一中點。
  22. 如請求項16之方法,其中該第一結構為一噴淋頭且該第二結構為一台座。
TW111130370A 2021-08-16 2022-08-12 利用差動電容感測器基板的噴淋頭至台座間隙測量 TW202314891A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163233516P 2021-08-16 2021-08-16
US63/233,516 2021-08-16

Publications (1)

Publication Number Publication Date
TW202314891A true TW202314891A (zh) 2023-04-01

Family

ID=85239751

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111130370A TW202314891A (zh) 2021-08-16 2022-08-12 利用差動電容感測器基板的噴淋頭至台座間隙測量

Country Status (4)

Country Link
KR (1) KR20240045318A (zh)
CN (1) CN117813476A (zh)
TW (1) TW202314891A (zh)
WO (1) WO2023022877A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6852988B2 (en) * 2000-11-28 2005-02-08 Sumitomo Heavy Industries, Ltd. Gap adjustment apparatus and gap adjustment method for adjusting gap between two objects
US20080246493A1 (en) * 2007-04-05 2008-10-09 Gardner Delrae H Semiconductor Processing System With Integrated Showerhead Distance Measuring Device
CN205748257U (zh) * 2016-05-16 2016-11-30 成都信息工程大学 一种便携式两金属体间隙测量仪
JP6718622B2 (ja) * 2017-05-26 2020-07-08 株式会社京岡 隙間センサおよび隙間測定方法
KR102098693B1 (ko) * 2018-01-12 2020-04-08 주식회사 지티에스엠 웨이퍼의 챔버에 대한 갭핑을 감지하는 웨이퍼형 갭핑 감지 센서

Also Published As

Publication number Publication date
CN117813476A (zh) 2024-04-02
KR20240045318A (ko) 2024-04-05
WO2023022877A1 (en) 2023-02-23

Similar Documents

Publication Publication Date Title
TWI774652B (zh) 用以進行邊緣環特徵化之系統及方法
TWI840327B (zh) 射頻匹配電路控制系統及阻抗匹配的方法
TWI745347B (zh) 用於蝕刻均勻性控制之可變深度邊緣環
US10763142B2 (en) System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
JP7335243B2 (ja) Ald膜特性の補正および可調節性のためのマルチゾーン台座
TW202105503A (zh) 用於聚焦環厚度判定及回授控制的方法和系統
JP7323525B2 (ja) エッジリング摩耗補償のためのシステムおよび方法
US20160370795A1 (en) Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
TWI750303B (zh) 使用晶圓之前饋臨界尺寸數據以預測其他臨界尺寸的虛擬量測系統及方法
TW201841091A (zh) 使用熱控制元件之靜電夾頭溫度估計所用的虛擬量測方法
TWI840525B (zh) 用以估計溫度的靜電卡盤加熱器電阻量測
TWI804641B (zh) 改善雙重圖案化製程的方位角臨界尺寸不均勻性
TWI816856B (zh) 基板處理系統與用於操作基板處理系統的方法
TW202314891A (zh) 利用差動電容感測器基板的噴淋頭至台座間隙測量
CN115398616A (zh) 用于衬底处理系统的高精度边缘环对中
JP2024534785A (ja) 静電容量式センサ基板によるシャワーヘッドから台座までのギャップ測定
CN115335976A (zh) 使用穿透光束激光传感器的原位晶片厚度和间隙监测
US20220243323A1 (en) Use of rotation to correct for azimuthal non-uniformities in semiconductor substrate processing
JP2024527821A (ja) Pecvd堆積システムでの厚さ傾向に対するシャワーヘッド温度ベースの堆積時間補償
KR20230158053A (ko) 정전 척들을 위한 3 극성 (tripolar) 전극 배열
TW202137822A (zh) 基板處理系統之高精度邊緣環定心
KR20220127926A (ko) 자동화된 회전 사전 정렬을 사용한 에지 링 이송