TWI771346B - 用於基板處理腔室的製程套件以及處理腔室 - Google Patents

用於基板處理腔室的製程套件以及處理腔室 Download PDF

Info

Publication number
TWI771346B
TWI771346B TW106142041A TW106142041A TWI771346B TW I771346 B TWI771346 B TW I771346B TW 106142041 A TW106142041 A TW 106142041A TW 106142041 A TW106142041 A TW 106142041A TW I771346 B TWI771346 B TW I771346B
Authority
TW
Taiwan
Prior art keywords
ring member
edge ring
edge
ring
adjustable tuning
Prior art date
Application number
TW106142041A
Other languages
English (en)
Other versions
TW201841203A (zh
Inventor
奧黎維兒 魯爾
雷歐尼德 朵夫
蘇尼爾 斯里尼瓦桑
拉吉德 汀德沙
詹姆士 羅傑斯
丹尼斯M 庫薩
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201841203A publication Critical patent/TW201841203A/zh
Application granted granted Critical
Publication of TWI771346B publication Critical patent/TWI771346B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Inorganic Insulating Materials (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文中描述的實施例大體涉及一種基板處理設備。在一個實施例中,本文中公開了一種用於基板處理腔室的製程套件。製程套件包括:環,環具有第一環部件和第二環部件;可調整調諧環;以及致動機構。第一環部件與第二環部件對接,使得第二環部件相對於第一環部件是可移動的,從而在這兩者之間形成間隙。可調整調諧環定位在環下方並且接觸第二環部件的底表面。可調整調諧環的頂表面接觸第二環部件。致動機構與可調整調諧環的底表面對接。致動機構被配置為致動可調整調諧環,使得第一環部件與第二環部件之間的間隙變化。

Description

用於基板處理腔室的製程套件以及處理腔室
本文中描述的實施例大體涉及一種基板處理設備,並且更特定地涉及一種用於基板處理設備的改進的製程套件。
隨著半導體技術節點進步使元件幾何結構大小減小,基板邊緣臨界尺寸一致性要求變得更加嚴格並且影響裸片良率。商用電漿反應器包括用於控制跨基板的製程一致性(例如,諸如溫度、氣流、RF電力,等等)的多個可調諧旋鈕。通常,在蝕刻製程中,矽基板在被靜電夾緊到靜電卡盤的同時被蝕刻。
在處理期間,被擱置在基板支撐件上的基板可能經歷在基板上沉積材料並且將材料的部分從基板去除、或蝕刻掉(通常以連續製程或交替製程進行)的製程。具有跨基板表面的均勻的沉積和蝕刻速率通常是有益的。然而,跨基板表面常常存在製程的不一致性,並且這種製程的不一致性可能在基板的周邊或邊緣處是顯著的。這些在周邊處的不一致性可歸因於電場終止影響,並且有時稱為邊緣效應。在沉積或蝕刻期間,有時提供含有至少沉積環的製程套件以有利地影響在基板周邊或邊緣處的一致性。
因此,一直需要一種用於基板處理設備的改進的製程套件。
本文中描述的實施例大體涉及一種基板處理設備。在一個實施例中,本文中公開了一種用於基板處理腔室的製程套件。製程套件包括:環;可調整調諧環;以及致動機構。環具有第一環部件和第二環部件。第一環部件與第二環部件對接,使得第二環部件相對於第一環部件是可移動的,從而在這兩者之間形成間隙。可調整調諧環被定位在環下方並且接觸第二環部件的底表面。可調整調諧環具有頂表面和底表面。可調整調諧環的頂表面接觸第二環部件。致動機構與可調整調諧環的底表面對接。致動機構被配置為致動可調整調諧環,使得第一環部件與第二環部件之間的間隙變化。
在另一實施例中,本文中公開了一種處理腔室。處理腔室包括基板支撐構件和製程套件。基板支撐構件被配置為支撐基板。製程套件由基板支撐構件支撐。製程套件包括:環;可調整調諧環;以及致動機構。環具有第一環部件和第二環部件。第一環部件與第二環部件對接,使得第二環部件相對於第一環部件是可移動的,從而在這兩者之間形成間隙。可調整調諧環被定位在環下方並且接觸第二環部件的底表面。可調整調諧環具有頂表面和底表面。可調整調諧環的頂表面接觸第二環部件。致動機構與可調整調諧環的底表面對接。致動機構被配置為致動可調整調諧環,使得第一環部件與第二環部件之間的間隙變化。
在另一實施例中,本文中公開了一種處理基板的方法。將基板定位在設置於基板處理腔室中的基板支撐構件上。在基板上方創建電漿。透過致動與邊緣環的部件對接的可調整調諧環來調整該部件的高度,以便改變在基板的邊緣處的離子的方向。
圖1是根據一個實施例的具有可調整調諧環150的處理腔室100的截面圖。如圖所示,處理腔室100是適於蝕刻基板(諸如基板101)的蝕刻腔室。可適於從本公開內容受益的處理腔室的示例是可從位於加利福尼亞州聖克拉拉的應用材料公司(Applied Materials, Inc., Santa Clara, California)商購的Sym3®處理腔室、C3®處理腔室和Mesa 處理腔室。構想的是,其它處理腔室(包括沉積腔室和來自其它製造商的腔室)可適於從本公開內容受益。
處理腔室100可以用於各種電漿製程。在一個實施例中,處理腔室100可以用於利用一種或多種蝕刻劑執行乾法蝕刻。例如,處理腔室可以用於點燃來自前驅物Cx Fy (其中x和y可以是不同允許組合)、O2 、NF3 或以上項的組合的電漿。
處理腔室100包括腔室主體102、蓋元件104和支撐元件106。蓋元件104定位在腔室主體102的上部端部處。支撐元件106出現在由腔室主體102限定的內部容積108中。腔室主體102包括形成在其側壁中的狹縫閥開口110。狹縫閥開口110選擇性地打開和關閉以允許基板搬運機器人(未圖示)進入內部容積108。
腔室主體102可進一步包括襯裡112,襯裡112包圍支撐組件106。襯裡112可移除以進行維修和清潔。襯裡112可由諸如鋁的金屬、陶瓷材料或任何其它製程相容材料製成。在一個或多個實施例中,襯裡112包括一個或多個孔隙114和形成在其中的抽吸通道116,抽吸通道與真空埠118流體連通。孔隙114提供用於使氣體進入抽吸通道116的流路。抽吸通道116提供用於使腔室100內的氣體通向真空埠118的出口。
真空系統120耦接到真空埠118。真空系統120可以包括真空泵122和節流閥124。節流閥124調節透過腔室100的氣體的流量。真空泵122耦接到設置在內部容積108中的真空埠118。
蓋元件104包括至少兩個堆疊部件,該至少兩個堆疊部件被配置為兩者之間形成電漿容積或空腔。在一個或多個實施例中,蓋元件104包括第一電極126(「上部電極」),第一電極垂直地設置在第二電極128(「下部電極」)上方。上部電極126和下部電極128兩者之間約束電漿空腔130。第一電極126耦接到電源132,諸如RF電源。第二電極128連接到接地,從而在兩個電極126、128之間形成電容。上部電極126與氣體入口134流體連通。一個或多個氣體入口134的第一端部通向電漿空腔130。
蓋元件104還可包括將第一電極126與第二電極128電隔離的隔離環136。隔離環136可由氧化鋁或任何其它絕緣處理相容材料製成。
蓋元件還可包括氣體分配板138和阻擋板140。可將第二電極128、氣體分配板138和阻擋板140堆疊並且設置在耦接到腔室主體102的蓋邊緣142上。
在一個或多個實施例中,第二電極128可以包括多個氣體通路144,這些氣體通路形成在電漿空腔130下方,以便使來自電漿空腔130的氣體從中流過。氣體分配板138包括多個孔隙146,這些孔隙被配置為分配氣流從中穿過。阻擋板140可以可選地設置在第二電極128與氣體分配板138之間。阻擋板140包括多個孔隙148,用於提供從第二電極128到氣體分配板138的多個氣體通路。
支撐元件106可以包括支撐構件180。支撐構件180被配置為支撐基板101以便進行處理。支撐構件180可透過軸184耦接到升降機構182,軸184延伸穿過腔室主體102的底表面。升降機構182可由波紋管186來柔性密封到腔室主體102,波紋管防止軸184四周真空洩漏。升降機構182允許支撐構件180在腔室主體102內在下部傳送部分與多個升高製程位置之間垂直移動。另外,一個或多個升舉銷188可以穿過支撐構件180而設置。一個或多個升舉銷188被配置為延伸穿過支撐構件180,使得基板101可以從支撐構件180的表面升高。一個或多個升舉銷188可透過升降環190而活動。
圖2A是根據一個實施例的處理腔室100的一部分的局部截面圖,其示出了設置在支撐構件180上的製程套件200。支撐構件180包括靜電卡盤202、冷卻板(或陰極)204和基部206。冷卻板204設置在基部206上。冷卻板204可以包括多個冷卻通道(未圖示)以使冷卻劑穿過其中而迴圈。冷卻板204可透過黏合劑或任何合適機構與靜電卡盤202嚙合。一個或多個電源208可耦接到冷卻板204。靜電卡盤202可以包括一個或多個加熱器(未圖示)。一個或多個加熱器可以是可獨立控制的。一個或多個加熱器使得靜電卡盤202能夠從基板101的底表面將基板101加熱到期望溫度。
製程套件200可被支撐在支撐構件180上。製程套件200包括邊緣環210,邊緣環210具有環形主體212。環形主體212分成兩個邊緣環部件214、216。兩個邊緣環部件214、216彼此對接,使得部件216相對於部件214是可移動的。第一邊緣環部件214包括頂表面218、底表面220、內緣222和外緣224。頂表面218基本上平行於底表面220。內緣222基本上平行於外緣224,並且基本上垂直於底表面220。在一些實施例中,第一邊緣環部件214還包括了限定在其中的階梯狀的表面226。在所示出的實施例中,階梯狀的表面226形成在外緣224中,使得階梯狀的表面226基本上平行於底表面220。階梯狀的表面226限定用於接收第二邊緣環部件216的凹部。一般而言,第一邊緣環部件214的高度受到靜電卡盤202的高度限制。例如,第一邊緣環部件214的內緣222不延伸到靜電卡盤202的高度上方。因此,第一邊緣環部件214保護靜電卡盤202的一側。在一些實施例中,當定位在靜電卡盤202上時,基板101部分地延伸到第一邊緣環部件214上方。
第二邊緣環部件216包括頂表面228、底表面230、內緣232和外緣234。頂表面228基本上平行於底表面230。內緣232基本上平行於外緣234,並且基本上垂直於底表面230。在一個實施例中,第二邊緣環部件216經由底表面230與第一邊緣環部件214對接。例如,第二邊緣環部件216的底表面230與第一邊緣環部件214的階梯狀的表面226對接。在另一實施例中,第二邊緣環部件216還可包括限定在其中的階梯狀的表面236。在所示出的實施例中,階梯狀的表面236形成在內緣232中,使得階梯狀的表面236與第一邊緣環部件214的階梯狀的表面226對接。當與第一邊緣環部件214對接時,第二邊緣環部件216的內緣232與基板101間隔開來。例如,第二邊緣環部件216的內緣232可以與基板101間隔開在約0.02 mm與約0.1 mm之間的距離。
在一個實施例中,當對接時,第一邊緣環部件214和第二邊緣環部件216形成連續底表面238和連續頂表面240。在另一實施例中,當對接時,第一邊緣環部件214和第二邊緣環部件216不會形成連續底表面238或連續頂表面240。相反,在一些實施例中,第一邊緣環部件214的頂表面218可以高於第二邊緣環部件216的頂表面228。在其它實施例中,第二邊緣環部件216的底表面230可安置在第一邊緣環部件214的底表面220下方。因此,在一些實施例中,第一邊緣環部件214和第二邊緣環部件216不會形成連續的頂表面或底表面。
製程套件還包括具有頂表面254和底表面256的可調整調諧環150。可調整調諧環150可由諸如鋁之類的導電材料形成。可調整調諧環150設置在邊緣環210下方。例如,可調整調諧環150設置在第二邊緣環部件216下方。可調整調諧環150接觸邊緣環210的底表面238。例如,可調整調諧環150接觸第二邊緣環部件216的底表面230。在一個實施例中,可調整調諧環150沿著靜電卡盤202和冷卻板204的長度向下延伸,使得可調整調諧環150的高度基本上等於靜電卡盤202和冷卻板204的組合高度。因此,可調整調諧環150能夠將來自冷卻板204的電力耦合到邊緣環210。
可調整調諧環150可以環繞冷卻板204,從而形成側向間隔開的間隙258。在一個實例中,側向間隔開的間隙258大於0吋而小於或等於0.03吋。可調整調諧環150與升舉銷260對接。例如,升舉銷260可與可調整調諧環150可操作地耦接。升舉銷260由升降機構182驅動。在一些實施例中,升舉銷260可由獨立於升降機構182的升降機構(未圖示)驅動。升降機構182允許可調整調諧環150在腔室100內垂直移動。由於調諧環150的垂直移動,升降機構182升高第二邊緣環部件216。第二邊緣環部件216可升高到第一邊緣環部件214上方,從而在第一邊緣環部件的階梯狀的表面與第二邊緣環部件的階梯狀的表面之間形成間隙(圖4B中的299)。
在一個實施例中,可調整調諧環150可以包括形成在可調整調諧環150的頂表面254上的塗層263。例如,塗層263可以是氧化釔塗層或凝膠狀塗層。塗層263用於限制電漿與可調整調諧環150之間的化學反應,並且因此限制顆粒形成和環損壞。在另一實施例中,一個或多個介電質墊(例如,特氟龍墊)306被定位在邊緣環210與靜電卡盤之間,邊緣環210安置在靜電卡盤上。
在另一實施例(諸如圖2B中示出的實施例)中,可調整調諧環150可以手動移動,從而減弱對升舉銷260的需要。調諧環150可以包括空腔262和形成在其中的進出孔口264。進出孔口264從可調整調諧環150的頂部形成,並且向下延伸到空腔262中。進出孔口264具有第一直徑266,第一直徑266小於空腔262的第二直徑268。空腔262形成在進出孔口264下方。空腔262向下形成到調諧環150的底部。空腔262被配置為容納螺釘270。螺釘270可以經由六角扳手(未圖示)轉動,例如,從而經由進出孔口264延伸到空腔262中,使得螺釘270可以升高/降低調諧環150。
結合圖2A和圖2B而論述的,製程套件200還可包括石英環272。石英環272包括環狀主體274,環狀主體274具有頂表面276、底表面278、內緣280和外緣282。頂表面276基本上平行於底表面278。內緣280基本上平行於外緣282,並且基本上垂直於底表面278。內緣280鄰近可調整調諧環150和邊緣環210而定位。
圖3是根據一個實施例的圖1的處理腔室的一部分的簡化截面圖,其描繪了兩個電容。電力可以從陰極204沿著穿過兩個電容302、304的兩條路徑耦合到邊緣環210。耦合的電力量取決於相對於在環210與電漿之間的電容305的沿著這兩條路徑的電容。根據電漿條件,電容305可以變化。例如,電容305可以從5 pF變化達到150 pF。在另一實例中,在可調整調諧環150上下移動時,電容304可以因在兩個邊緣環部件214、216之間形成平行板電容器而在約10 pF與約500 pF之間變化。當可調整調諧環150上下移動時,電容302亦會變化,因為可調整調諧環150與陰極204之間存在重疊區域。可調整調諧環150和陰極204的定位形成平行板電容器。在可調整調諧環150上下移動時,可調整調諧環150與陰極204之間的重疊區域變化,這導致了電容302變化。然而,電容302變化是有限的,因為垂直移動的幅度相對於可調整調諧環150與陰極204重疊的長度是小的。例如,垂直移動的幅度可以為約0 mm至約2 mm,而可調整調諧環150的重疊陰極的長度為約3cm。因此,電容302保持高於某閾值量。例如,電容302可以保持高於1000 pF。因此,在陰極204與邊緣環210之間的電容(其為電容302和304的總和)總是至少比電容305高一個量級。因此,邊緣環210的電位𝑉 DC 保持近似恆定。例如,電位變化可能不超過5%。維持施加到邊緣環210的電壓𝑉 DC 恆定允許控制圍繞基板101和邊緣環210的電漿殼層。它的效應在下文中結合圖4更詳細地論述。
圖4示出了根據一個實施例的處理腔室100的一部分,其示出了本公開內容的另一優點。電壓𝑉 DC 可以用於控制在基板101的邊緣406處的電漿殼層404輪廓,以便補償在基板邊緣406處的臨界尺寸一致性。電漿殼層404是由空間電荷形成的強電場的薄區域,它將電漿主體結合到其材料邊界。在數學上,殼層厚度d 由Child-Langmuir方程表示:
Figure 02_image001
其中𝑖 是離子電流密度,ε 是真空的介電常數,𝑒 是基本電荷,𝑉𝑝 是電漿電位,而𝑉 DC 是DC電壓。
在蝕刻反應器情況下,在電漿與正被蝕刻的基板101、腔室主體102以及處理腔室100的與電漿接觸的其它部分之間形成電漿殼層404。電漿中產生的離子在電漿殼層中加速並且垂直於電漿殼層而移動。控制𝑉 DC (即,控制施加到邊緣環210的電壓)影響殼層404的厚度d 。殼層404的殼層厚度d 可相對於邊緣環210進行量測。例如,圖4A和圖4B中描繪了厚度d 。在所示出的實施例中,致動可調整調諧環150使第二邊緣環部件216升高。由於𝑉 DC 保持恆定,因此在邊緣環210上方的殼層厚度保持恆定。因此,致動可調整調諧環150使殼層404垂直升高而不影響殼層厚度。因此,移動可調整調諧環150影響在基板101的邊緣406處的殼層404的形狀,這進而控制電漿離子的方向。
圖4B示出了圖4A的處理腔室100的部分,其中第二邊緣環部件216處於升高位置。如圖所示,並且如圖4A所論述的,升高可調整調諧環150使第二邊緣環部件216升高,這進而使殼層404升高。由於電位𝑉 DC 因近似固定電容302而保持近似恆定,因此殼層404的厚度𝑑始終保持恆定。
返回參考圖1,對可調整調諧環的控制可由控制器191控制。控制器191包括可程式設計的中央處理單元(CPU)192,CPU 192可與記憶體194以及大型存放區裝置、輸入控制單元和顯示單元(未圖示)一起操作,諸如電源、時鐘、快取記憶體、輸入/輸出(I/O)電路和襯裡,耦接到處理系統的各種部件以便促成對基板處理的控制。
為了促成對上述腔室100的控制,CPU 192可以是可在工業環境中使用的任何形式的通用電腦處理器中的一種,諸如可程式設計邏輯控制器(PLC),用於控制各種腔室和子處理器。記憶體194耦接到CPU 192,並且記憶體194是非暫態的,而且可以是容易獲得的記憶體中的一種或多種,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟機、硬碟或任何其它形式數位存儲裝置(本端的或遠端的)。支援電路196耦接到CPU 192,以便以常規的方式支援處理器。帶電荷的物質生成、加熱和其它製程一般存儲在記憶體194中,通常是作為軟體常式。軟體常式還可以由位置遠離由CPU 192控制的處理腔室100的第二CPU(未圖示)存儲和/或執行。
記憶體194呈含有指令的電腦可讀存儲介質的形式,所述指令在由CPU 192執行時促成腔室100的操作。記憶體194中的指令呈程式產品的形式,諸如實現本公開內容的方法的程式。程式碼可符合許多不同程式設計語言中的任一種。在一個實例中,可將本公開內容實現為存儲在用於與電腦系統一起使用的電腦可讀存儲介質上的程式產品。程式產品的程式限定實施例的功能(包括本文中描述的方法)。說明性電腦可讀存儲介質包括但不限於:(i) 其上永久存儲資訊的不可寫入存儲介質(例如,電腦內的唯讀記憶體裝置,諸如可由CD-ROM驅動器讀出的CD-ROM盤、快閃記憶體、ROM晶圓或任何類型固態非易失性半導體記憶體);以及(ii) 其上存儲可更改的資訊的可寫入的存儲介質(例如,磁碟機內的軟碟或硬碟驅動器或任何類型固態隨機存取半導體記憶體)。在執行指示本文中描述的方法的功能的電腦可讀指令時,此類電腦可讀存儲介質是本公開內容的實施例。
儘管前述內容針對特定實施例,但是亦可在不脫離本發明的基本範圍的情況下構想其它和進一步實施例,並且本發明的範圍是由隨附的申請專利範圍決定。
100‧‧‧腔室101‧‧‧基板102‧‧‧腔室主體104‧‧‧蓋元件106‧‧‧支撐組件108‧‧‧內部容積110‧‧‧狹縫閥開口112‧‧‧襯裡114‧‧‧孔隙116‧‧‧抽吸通道118‧‧‧真空埠120‧‧‧真空系統122‧‧‧真空泵124‧‧‧節流閥126‧‧‧第一電極128‧‧‧下部電極130‧‧‧電漿空腔132‧‧‧電源134‧‧‧氣體入口136‧‧‧隔離環138‧‧‧氣體分配板140‧‧‧阻擋板142‧‧‧蓋邊緣144‧‧‧氣體通路146‧‧‧孔隙148‧‧‧孔隙150‧‧‧調諧環180‧‧‧支撐構件182‧‧‧升降機構183‧‧‧升降機構184‧‧‧軸186‧‧‧波紋管188‧‧‧升舉銷190‧‧‧升降環191‧‧‧控制器192‧‧‧CPU194‧‧‧記憶體196‧‧‧支援電路200‧‧‧製程套件202‧‧‧靜電卡盤204‧‧‧陰極206‧‧‧基部208‧‧‧電源210‧‧‧邊緣環212‧‧‧環狀主體214‧‧‧第一部件216‧‧‧第二部件218‧‧‧頂表面220‧‧‧底表面222‧‧‧內緣224‧‧‧外緣226‧‧‧階梯狀的表面228‧‧‧頂表面230‧‧‧底表面232‧‧‧內緣234‧‧‧外緣236‧‧‧階梯狀的表面238‧‧‧連續底表面240‧‧‧連續頂表面254‧‧‧頂表面256‧‧‧底表面258‧‧‧側向間隔開的間隙260‧‧‧升舉銷262‧‧‧空腔263‧‧‧塗層264‧‧‧進出孔口266‧‧‧第一直徑268‧‧‧第二直徑270‧‧‧螺釘272‧‧‧石英環274‧‧‧環形主體276‧‧‧頂表面278‧‧‧底表面280‧‧‧內緣282‧‧‧外緣302‧‧‧固定電容304‧‧‧電容404‧‧‧電漿殼層406‧‧‧邊緣
因此,為了詳細理解本公開內容的上述特徵結構所用方式,上文所簡要概述的本公開內容的更特定的描述可以參考實施例進行,一些實施例示出在附圖中。然而,應當注意,附圖僅示出了本公開內容的典型實施例,並且因此不應視為限制本公開內容的範圍,因為本公開內容可允許其它等效實施例。
圖1是根據一個實施例的處理腔室的截面圖。
圖2A是根據一個實施例的圖1的處理腔室的放大局部截面圖。
圖2B是根據一個實施例的圖1的處理腔室的放大局部截面圖。
圖3是根據一個實施例的圖1的處理腔室的一部分的簡化截面圖,其描繪了兩個電容路徑。
圖4A是根據一個實施例的圖1的處理腔室的一部分的簡化截面圖,其示出了本公開內容的另一優點。
圖4B是根據一個實施例的圖1的處理腔室的一部分的簡化截面圖,其示出了本公開內容的另一優點。
為了清楚起見,已儘可能使用相同參考數位指定各圖所共有的相同要素。另外,一個實施例中的要素可有利地適於用於本文中描述的其它實施例中。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
101‧‧‧基板
150‧‧‧調諧環
180‧‧‧支撐構件
202‧‧‧靜電卡盤
204‧‧‧冷卻板(或陰極)
206‧‧‧基部
208‧‧‧電源
209‧‧‧頂表面
210‧‧‧邊緣環
212‧‧‧環形主體
214‧‧‧第一邊緣環部件
216‧‧‧第二邊緣環部件
218‧‧‧頂表面
220‧‧‧底表面
222‧‧‧內緣
224‧‧‧外緣
226‧‧‧階梯狀的表面
228‧‧‧頂表面
230‧‧‧底表面
232‧‧‧內緣
234‧‧‧外緣
236‧‧‧階梯狀的表面
238‧‧‧連續的底表面
240‧‧‧連續的頂表面
254‧‧‧頂表面
256‧‧‧底表面
258‧‧‧側向間隔開的間隙
260‧‧‧升舉銷
263‧‧‧塗層
272‧‧‧石英環
274‧‧‧環形主體
276‧‧‧頂表面
278‧‧‧底表面
280‧‧‧內緣
282‧‧‧外緣

Claims (20)

  1. 一種用於一基板處理腔室的製程套件,該製程套件包括:一環,該環具有一第一邊緣環部件和一第二邊緣環部件,該第一邊緣環部件與該第二邊緣環部件對接,使得該第二邊緣環部件相對於該第一邊緣環部件是可移動的,從而在這兩者之間形成一間隙;一可調整調諧環,該可調整調諧環被定位在該環下方並且接觸該第二邊緣環部件的一底表面,該可調整調諧環具有一環形主體,該環形主體具有一頂表面和一底表面,一空腔形成在該環形主體的該底表面中,以及一進出孔口形成在該環形主體中,該進出孔口從該環形主體的該頂表面延伸到該空腔中,該環形主體的該頂表面接觸該第二邊緣環部件;以及一致動機構,該致動機構與該可調整調諧環的該環形主體的該底表面對接,該致動機構被配置為致動該可調整調諧環,使得該第一邊緣環部件與該第二邊緣環部件之間的該間隙變化。
  2. 如請求項1所述的製程套件,其中該可調整調諧環由一導電材料形成。
  3. 如請求項1所述的製程套件,其中該第一邊緣環部件包括: 形成在其中的一階梯狀的表面。
  4. 如請求項3所述的製程套件,其中該第二邊緣環部件包括:形成在其中的一階梯狀的表面,其中該第二邊緣環部件的階梯狀的表面與該第一邊緣環部件的階梯狀的表面對接。
  5. 如請求項1所述的製程套件,其中該致動機構包括:一升舉銷,該升舉銷具有一第一端部和一第二端部,該升舉銷的該第一端部接觸該可調整調諧環的該環形主體的該底表面,該升舉銷的該第二端部與一升降機構連通。
  6. 如請求項1所述的製程套件,其中該致動機構是被至少部分地設置在空腔中的一螺釘,該螺釘被配置為旋轉穿過進出孔口,以便致動該可調整調諧環。
  7. 如請求項1所述的製程套件,其中該空腔具有一第一直徑,並且該進出孔口具有一第二直徑,該第一直徑大於該第二直徑。
  8. 一種用於一基板處理腔室的製程套件,該製程套件包括:一環,該環具有一第一邊緣環部件和一第二邊緣環 部件,該第一邊緣環部件與該第二邊緣環部件對接,使得該第二邊緣環部件相對於該第一邊緣環部件是可移動的,從而在這兩者之間形成一間隙;一可調整調諧環,該可調整調諧環被定位在該環下方並且接觸該第二邊緣環部件的一底表面,該可調整調諧環具有一頂表面和一底表面,該可調整調諧環的該頂表面接觸該第二邊緣環部件;以及一致動機構,該致動機構與該可調整調諧環的該底表面對接,該致動機構被配置為致動該可調整調諧環,使得該第一邊緣環部件與該第二邊緣環部件之間的該間隙變化,以及該致動機構被配置為將形成在電漿與該環之間的一電漿殼層上下推動,同時維持該電漿殼層厚度近似恆定。
  9. 如請求項8所述的製程套件,其中該第一邊緣環部件包括形成在其中的一階梯狀的表面,該第二邊緣環部件包括形成在其中的一階梯狀的表面,以及該第二邊緣環部件的階梯狀的表面與該第一邊緣環部件的階梯狀的表面對接。
  10. 如請求項8所述的製程套件,其中該致動機構包括:一升舉銷,該升舉銷具有一第一端部和一第二端部,該升舉銷的該第一端部接觸該可調整調諧環的該底表面,該升 舉銷的該第二端部與一升降機構連通。
  11. 一種處理腔室,包括:一基板支撐構件,該基板支撐構件被配置為支撐一基板;以及一製程套件,該製程套件由該基板支撐構件支撐,該製程套件包括:一環,該環具有一第一邊緣環部件和一第二邊緣環部件,該第一邊緣環部件與該第二邊緣環部件對接,使得該第二邊緣環部件相對於該第一邊緣環部件是可移動的,從而在這兩者之間形成一間隙;一可調整調諧環,該可調整調諧環被定位在該環下方並且接觸該第二邊緣環部件的一底表面,該可調整調諧環具有一環形主體,該環形主體具有一頂表面和一底表面,一空腔形成在該環形主體的該底表面中,以及一進出孔口形成在該環形主體中,該進出孔口從該環形主體的該頂表面延伸到該空腔中,該環形主體的該頂表面接觸該第二邊緣環部件;以及一致動機構,該致動機構與該可調整調諧環的該環形主體的該底表面對接,該致動機構被配置為致動該可調整調諧環,使得該第一邊緣環部件與該第二邊緣環部件之間的該間隙變化。
  12. 如請求項11所述的處理腔室,其中該可調整調諧環由一導電材料形成。
  13. 如請求項11所述的處理腔室,其中該第一邊緣環部件包括:形成在其中的一階梯狀的表面。
  14. 如請求項13所述的處理腔室,其中該第二邊緣環部件包括:形成在其中的一階梯狀的表面,其中該第二邊緣環部件的階梯狀的表面與該第一邊緣環部件的階梯狀的表面對接。
  15. 如請求項11所述的處理腔室,其中該致動機構包括:一升舉銷,該升舉銷具有一第一端部和一第二端部,該升舉銷的該第一端部接觸該可調整調諧環的該環形主體的該底表面,該升舉銷的該第二端部與一升降機構連通。
  16. 如請求項11所述的處理腔室,其中該致動機構是被至少部分地設置在空腔中的一螺釘,該螺釘被配置為旋轉穿過進出孔口,以便致動該可調整調諧環。
  17. 如請求項11所述的處理腔室,其中該空腔具有一第一直徑,並且該進出孔口具有一第二直徑, 該第一直徑大於該第二直徑。
  18. 一種處理腔室,包括:一基板支撐構件,該基板支撐構件被配置為支撐一基板;以及一製程套件,該製程套件由該基板支撐構件支撐,該製程套件包括:一環,該環具有一第一邊緣環部件和一第二邊緣環部件,該第一邊緣環部件與該第二邊緣環部件對接,使得該第二邊緣環部件相對於該第一邊緣環部件是可移動的,從而在這兩者之間形成一間隙;一可調整調諧環,該可調整調諧環被定位在該環下方並且接觸該第二邊緣環部件的一底表面,該可調整調諧環具有一頂表面和一底表面,該可調整調諧環的該頂表面接觸該第二邊緣環部件;以及一致動機構,該致動機構與該可調整調諧環的該底表面對接,該致動機構被配置為致動該可調整調諧環,使得該第一邊緣環部件與該第二邊緣環部件之間的該間隙變化,以及該致動機構被配置為將形成在電漿與該環之間的一電漿殼層上下推動,同時維持該電漿殼層厚度近似恆定。
  19. 如請求項11所述的處理腔室,其中該基板支撐構件包括: 一基部;一冷卻板,該冷卻板由該基部支撐;以及一靜電卡盤,該靜電卡盤被定位在該冷卻板的一頂表面上。
  20. 如請求項18所述的處理腔室,其中該第一邊緣環部件包括形成在其中的一階梯狀的表面,該第二邊緣環部件包括形成在其中的一階梯狀的表面,以及該第二邊緣環部件的階梯狀的表面與該第一邊緣環部件的階梯狀的表面對接。
TW106142041A 2017-02-01 2017-12-01 用於基板處理腔室的製程套件以及處理腔室 TWI771346B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/421,726 2017-02-01
US15/421,726 US10553404B2 (en) 2017-02-01 2017-02-01 Adjustable extended electrode for edge uniformity control

Publications (2)

Publication Number Publication Date
TW201841203A TW201841203A (zh) 2018-11-16
TWI771346B true TWI771346B (zh) 2022-07-21

Family

ID=62980201

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111123580A TWI835177B (zh) 2017-02-01 2017-12-01 用於基板處理腔室的製程套件以及處理腔室
TW106142041A TWI771346B (zh) 2017-02-01 2017-12-01 用於基板處理腔室的製程套件以及處理腔室

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111123580A TWI835177B (zh) 2017-02-01 2017-12-01 用於基板處理腔室的製程套件以及處理腔室

Country Status (5)

Country Link
US (2) US10553404B2 (zh)
JP (1) JP7206028B2 (zh)
KR (3) KR102498895B1 (zh)
CN (2) CN108376657A (zh)
TW (2) TWI835177B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI835177B (zh) * 2017-02-01 2024-03-11 美商應用材料股份有限公司 用於基板處理腔室的製程套件以及處理腔室

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
JP6888007B2 (ja) * 2016-01-26 2021-06-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ウェハエッジリングの持ち上げに関する解決
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US10276364B2 (en) 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR20210111872A (ko) * 2018-08-13 2021-09-13 램 리써치 코포레이션 에지 링 포지셔닝 및 센터링 피처들을 포함하는 플라즈마 시스 튜닝을 위한 교체가능한 에지 링 어셈블리 및/또는 접을 수 있는 에지 링 어셈블리
JP7115942B2 (ja) * 2018-09-06 2022-08-09 東京エレクトロン株式会社 載置台、基板処理装置、エッジリング及びエッジリングの搬送方法
JP7076351B2 (ja) * 2018-10-03 2022-05-27 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の厚さ測定方法
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11551965B2 (en) * 2018-12-07 2023-01-10 Applied Materials, Inc. Apparatus to reduce polymers deposition
JP7406965B2 (ja) * 2019-01-09 2023-12-28 東京エレクトロン株式会社 プラズマ処理装置
US20200234928A1 (en) * 2019-01-17 2020-07-23 Applied Materials, Inc. Semiconductor plasma processing equipment with wafer edge plasma sheath tuning ability
US20220146258A1 (en) * 2019-03-06 2022-05-12 Lam Research Corporation Measurement system to measure a thickness of an adjustable edge ring for a substrate processing system
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
CN112151343B (zh) * 2019-06-28 2023-03-24 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体处理装置及其方法
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
TWM602283U (zh) * 2019-08-05 2020-10-01 美商蘭姆研究公司 基板處理系統用之具有升降銷溝槽的邊緣環
JP7227875B2 (ja) * 2019-08-22 2023-02-22 株式会社荏原製作所 基板ホルダおよびめっき装置
CN112713075B (zh) * 2019-10-25 2024-03-12 中微半导体设备(上海)股份有限公司 等离子体隔离环、等离子体处理装置与基片处理方法
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
JP2023514548A (ja) * 2020-02-04 2023-04-06 ラム リサーチ コーポレーション プラズマ処理システムのためのrf信号フィルタ構成
US11668553B2 (en) 2020-02-14 2023-06-06 Applied Materials Inc. Apparatus and method for controlling edge ring variation
JP7466686B2 (ja) * 2020-03-23 2024-04-12 ラム リサーチ コーポレーション 基板処理システムにおける中間リング腐食補償
US12027397B2 (en) 2020-03-23 2024-07-02 Applied Materials, Inc Enclosure system shelf including alignment features
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
US11380575B2 (en) * 2020-07-27 2022-07-05 Applied Materials, Inc. Film thickness uniformity improvement using edge ring and bias electrode geometry
KR20220021514A (ko) 2020-08-14 2022-02-22 삼성전자주식회사 상부 전극 및 이를 포함하는 기판 처리 장치
JP7492928B2 (ja) * 2021-02-10 2024-05-30 東京エレクトロン株式会社 基板支持器、プラズマ処理システム及びプラズマエッチング方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110157760A1 (en) * 2009-11-20 2011-06-30 Applied Materials, Inc. Electrostatic chuck with reduced arcing
TW201426854A (zh) * 2012-07-19 2014-07-01 Lam Res Corp 具有可調整的電漿排除區域環之邊緣排除控制
US20150332951A1 (en) * 2014-05-15 2015-11-19 Infineon Technologies Ag Wafer Releasing
US20160211165A1 (en) * 2015-01-16 2016-07-21 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
TW201639074A (zh) * 2015-01-16 2016-11-01 蘭姆研究公司 半導體晶圓處理期間之邊緣程序控制用的可移動邊緣耦合環

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5267607A (en) 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
EP0742579A2 (en) * 1995-05-11 1996-11-13 Applied Materials, Inc. A method and apparatus for concentrating plasma on a substrate surface during processing
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5851140A (en) 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
JPH10289881A (ja) * 1997-04-15 1998-10-27 Kokusai Electric Co Ltd プラズマcvd装置
ATE290252T1 (de) 1997-12-23 2005-03-15 Unaxis Balzers Ag Haltevorrichtung
US6773562B1 (en) 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
JP2000049144A (ja) 1998-07-28 2000-02-18 Hitachi Chem Co Ltd プラズマ処理装置用電極板
JP3234576B2 (ja) 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6709547B1 (en) 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
KR100578129B1 (ko) 2003-09-19 2006-05-10 삼성전자주식회사 플라즈마 식각 장치
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7338578B2 (en) 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
US20050189068A1 (en) 2004-02-27 2005-09-01 Kawasaki Microelectronics, Inc. Plasma processing apparatus and method of plasma processing
US20050263070A1 (en) 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
JP4006004B2 (ja) 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
KR101153118B1 (ko) 2005-10-12 2012-06-07 파나소닉 주식회사 플라즈마 처리장치 및 플라즈마 처리방법
CN101847574B (zh) 2006-01-31 2012-11-07 东京毅力科创株式会社 基板处理装置和暴露于等离子体的部件
JP5116983B2 (ja) * 2006-03-30 2013-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7572737B1 (en) 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
KR20080023569A (ko) * 2006-09-11 2008-03-14 주식회사 하이닉스반도체 식각프로파일 변형을 방지하는 플라즈마식각장치
US20080066868A1 (en) 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
JP2008078208A (ja) 2006-09-19 2008-04-03 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
KR20090106617A (ko) 2007-01-19 2009-10-09 어플라이드 머티어리얼스, 인코포레이티드 플라스마 함침 챔버
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
JP5317424B2 (ja) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US20080289766A1 (en) 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
US8563619B2 (en) * 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
KR100963297B1 (ko) 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US8317969B2 (en) * 2008-03-25 2012-11-27 Tokyo Electron Limited Plasma processing apparatus
US20090260982A1 (en) 2008-04-16 2009-10-22 Applied Materials, Inc. Wafer processing deposition shielding components
US8441640B2 (en) 2008-05-02 2013-05-14 Applied Materials, Inc. Non-contact substrate support position sensing system and corresponding adjustments
JP5097632B2 (ja) 2008-07-11 2012-12-12 株式会社日立ハイテクノロジーズ プラズマエッチング処理装置
WO2010004997A1 (ja) * 2008-07-11 2010-01-14 東京エレクトロン株式会社 プラズマ処理装置
US20100101729A1 (en) 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
JP5406067B2 (ja) 2009-02-16 2014-02-05 キヤノンアネルバ株式会社 トレイ及び真空処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
JP5650935B2 (ja) 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
KR101723253B1 (ko) 2009-08-31 2017-04-04 램 리써치 코포레이션 국부 플라즈마 한정 및 압력 제어 장치 및 방법
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
JP5654297B2 (ja) 2010-09-14 2015-01-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
JP5690596B2 (ja) * 2011-01-07 2015-03-25 東京エレクトロン株式会社 フォーカスリング及び該フォーカスリングを備える基板処理装置
JP5719599B2 (ja) 2011-01-07 2015-05-20 東京エレクトロン株式会社 基板処理装置
KR101896491B1 (ko) 2011-03-29 2018-09-07 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 장치 및 플라즈마 에칭 방법
JP2012222235A (ja) * 2011-04-12 2012-11-12 Hitachi High-Technologies Corp プラズマ処理装置
WO2012166264A2 (en) 2011-05-31 2012-12-06 Applied Materials, Inc. Dynamic ion radical sieve and ion radical aperture for an inductively coupled plasma (icp) reactor
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
KR101267459B1 (ko) 2011-09-08 2013-05-31 한국과학기술연구원 플라즈마 이온주입 장치 및 방법
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US10825708B2 (en) 2011-12-15 2020-11-03 Applied Materials, Inc. Process kit components for use with an extended and independent RF powered cathode substrate for extreme edge tunability
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
JP2016529733A (ja) 2013-08-30 2016-09-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板支持システム
JP2015050156A (ja) 2013-09-04 2015-03-16 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
JP6345030B2 (ja) 2014-08-11 2018-06-20 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
CN105789010B (zh) 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
JP6456177B2 (ja) 2015-02-12 2019-01-23 株式会社ディスコ ウェーハ処理システム
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
CN108140606B (zh) 2015-10-21 2022-05-24 住友大阪水泥股份有限公司 静电卡盘装置
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10985078B2 (en) 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10921251B2 (en) 2016-08-22 2021-02-16 Applied Materials, Inc. Chamber component part wear indicator and a system for detecting part wear
US20180061696A1 (en) 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
JP2018054500A (ja) 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
JP6656200B2 (ja) 2017-04-12 2020-03-04 東京エレクトロン株式会社 位置検出システム及び処理装置
KR102535916B1 (ko) 2017-04-26 2023-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
KR102612989B1 (ko) 2017-12-01 2023-12-11 어플라이드 머티어리얼스, 인코포레이티드 고 에칭 선택성 비정질 탄소 막
JP6995008B2 (ja) 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110157760A1 (en) * 2009-11-20 2011-06-30 Applied Materials, Inc. Electrostatic chuck with reduced arcing
TW201426854A (zh) * 2012-07-19 2014-07-01 Lam Res Corp 具有可調整的電漿排除區域環之邊緣排除控制
US20150332951A1 (en) * 2014-05-15 2015-11-19 Infineon Technologies Ag Wafer Releasing
US20160211165A1 (en) * 2015-01-16 2016-07-21 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
TW201639074A (zh) * 2015-01-16 2016-11-01 蘭姆研究公司 半導體晶圓處理期間之邊緣程序控制用的可移動邊緣耦合環

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI835177B (zh) * 2017-02-01 2024-03-11 美商應用材料股份有限公司 用於基板處理腔室的製程套件以及處理腔室

Also Published As

Publication number Publication date
TW201841203A (zh) 2018-11-16
JP7206028B2 (ja) 2023-01-17
TW202242950A (zh) 2022-11-01
JP2018125519A (ja) 2018-08-09
KR20180089852A (ko) 2018-08-09
CN207966931U (zh) 2018-10-12
KR102498895B1 (ko) 2023-02-09
US10553404B2 (en) 2020-02-04
CN108376657A (zh) 2018-08-07
KR20180002414U (ko) 2018-08-09
KR200496524Y1 (ko) 2023-02-16
KR20230038667A (ko) 2023-03-21
US20200118798A1 (en) 2020-04-16
TWI835177B (zh) 2024-03-11
US10991556B2 (en) 2021-04-27
US20180218933A1 (en) 2018-08-02

Similar Documents

Publication Publication Date Title
TWI771346B (zh) 用於基板處理腔室的製程套件以及處理腔室
TWI730202B (zh) 用於邊緣一致性控制的可調整延伸電極
US11728143B2 (en) Process kit with adjustable tuning ring for edge uniformity control
TW202105502A (zh) 具有晶圓邊緣電漿殼層調諧能力的半導體電漿處理設備
KR20200010743A (ko) 기판 지지 장치 및 이를 포함하는 플라즈마 처리 장치