KR102498895B1 - 에지 균일성 제어를 위한 조정가능한 연장되는 전극 - Google Patents

에지 균일성 제어를 위한 조정가능한 연장되는 전극 Download PDF

Info

Publication number
KR102498895B1
KR102498895B1 KR1020170180083A KR20170180083A KR102498895B1 KR 102498895 B1 KR102498895 B1 KR 102498895B1 KR 1020170180083 A KR1020170180083 A KR 1020170180083A KR 20170180083 A KR20170180083 A KR 20170180083A KR 102498895 B1 KR102498895 B1 KR 102498895B1
Authority
KR
South Korea
Prior art keywords
ring
ring component
component
adjustable tuning
substrate
Prior art date
Application number
KR1020170180083A
Other languages
English (en)
Other versions
KR20180089852A (ko
Inventor
올리비에 루어
레오니드 도르프
서닐 스리니바산
라진다 딘드사
제임스 로저스
데니스 엠. 쿠사우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180089852A publication Critical patent/KR20180089852A/ko
Priority to KR1020230016241A priority Critical patent/KR20230038667A/ko
Application granted granted Critical
Publication of KR102498895B1 publication Critical patent/KR102498895B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Inorganic Insulating Materials (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본원에서 설명되는 실시예들은 일반적으로, 기판 프로세싱 장치에 관한 것이다. 일 실시예에서, 기판 프로세싱 챔버를 위한 프로세스 키트가 본원에서 개시된다. 프로세스 키트는, 제1 링 컴포넌트 및 제2 링 컴포넌트를 갖는 링, 조정가능한 튜닝 링, 및 작동 메커니즘을 포함한다. 제1 링 컴포넌트는, 제2 링 컴포넌트가 제1 링 컴포넌트와 제2 링 컴포넌트 사이에 갭을 형성하면서 제1 링 컴포넌트에 대하여 이동가능하도록, 제2 링 컴포넌트와 인터페이싱된다. 조정가능한 튜닝 링은 링 아래에 위치되고, 제2 링 컴포넌트의 바닥 표면과 접촉한다. 조정가능한 튜닝 링의 상단 표면은 제2 링 컴포넌트와 접촉한다. 작동 메커니즘은 조정가능한 튜닝 링의 바닥 표면과 인터페이싱된다. 작동 메커니즘은, 제1 링 컴포넌트와 제2 링 컴포넌트 사이의 갭이 변화되도록, 조정가능한 튜닝 링을 작동시키도록 구성된다.

Description

에지 균일성 제어를 위한 조정가능한 연장되는 전극{ADJUSTABLE EXTENDED ELECTRODE FOR EDGE UNIFORMITY CONTROL}
본원에서 설명되는 실시예들은 일반적으로, 기판 프로세싱 장치에 관한 것으로, 더 구체적으로, 기판 프로세싱 장치를 위한 개선된 프로세스 키트(process kit)에 관한 것이다.
반도체 기술 노드들이 디바이스 기하형상들의 사이즈가 감소되면서 진보됨에 따라, 기판 에지 임계 치수 균일성 요건들은 더 엄격하게 되고 다이 수율들에 영향을 미친다. 상업용 플라즈마 반응기들은, 예컨대 온도, 가스 유동, RF 전력 등과 같은, 기판에 걸친 프로세스 균일성을 제어하기 위한 다수의 튜닝가능한 노브(tunable knob)들을 포함한다. 전형적으로, 에칭 프로세스들에서, 실리콘 기판들은 정전 척에 정전적으로 클램핑되면서 에칭된다.
프로세싱 동안에, 기판 지지부 상에 놓인 기판은, 종종 연속적으로 또는 교번하는 프로세스들에서, 기판 상에 재료를 증착하고 기판으로부터 재료의 부분들을 제거 또는 에칭하는 프로세스를 받을 수 있다. 전형적으로, 기판의 표면에 걸쳐 균일한 증착 및 에칭 레이트들을 갖는 것은 유익하다. 그러나, 종종, 프로세스 불-균일성들이 기판의 표면에 걸쳐 존재하고, 기판의 둘레 또는 에지에서 현저할 수 있다. 둘레에서의 이들 불-균일성들은 전기장 종단(electric field termination) 효과들에 기인할 수 있고, 종종 에지 효과(edge effect)들이라고 지칭된다. 증착 또는 에칭 동안에, 종종, 적어도 증착 링을 포함하는 프로세스 키트가 기판 둘레 또는 에지에서의 균일성에 유리하게 영향을 미치도록 제공된다.
따라서, 기판 프로세싱 장치를 위한 개선된 프로세스 키트에 대한 필요성이 계속 존재한다.
본원에서 설명되는 실시예들은 일반적으로, 기판 프로세싱 장치에 관한 것이다. 일 실시예에서, 기판 프로세싱 챔버를 위한 프로세스 키트가 본원에서 개시된다. 프로세스 키트는 링, 조정가능한 튜닝 링, 및 작동 메커니즘을 포함한다. 링은 제1 링 컴포넌트 및 제2 링 컴포넌트를 갖는다. 제1 링 컴포넌트는, 제1 링 컴포넌트와 제2 링 컴포넌트 사이에 갭을 형성하면서 제2 링 컴포넌트가 제1 링 컴포넌트에 대하여 이동가능하도록, 제2 링 컴포넌트와 인터페이싱된다. 조정가능한 튜닝 링은 링 아래에 위치되고, 제2 링 컴포넌트의 바닥 표면과 접촉한다. 조정가능한 튜닝 링은 상단 표면 및 바닥 표면을 갖는다. 조정가능한 튜닝 링의 상단 표면은 제2 링 컴포넌트와 접촉한다. 작동 메커니즘은 조정가능한 튜닝 링의 바닥 표면과 인터페이싱된다. 작동 메커니즘은, 제1 링 컴포넌트와 제2 링 컴포넌트 사이의 갭이 변화되도록, 조정가능한 튜닝 링을 작동시키도록 구성된다.
다른 실시예에서, 프로세싱 챔버가 본원에서 개시된다. 프로세싱 챔버는 기판 지지 부재 및 프로세스 키트를 포함한다. 기판 지지 부재는 기판을 지지하도록 구성된다. 프로세스 키트는 기판 지지 부재에 의해 지지된다. 프로세스 키트는 링, 조정가능한 튜닝 링, 및 작동 메커니즘을 포함한다. 링은 제1 링 컴포넌트 및 제2 링 컴포넌트를 갖는다. 제1 링 컴포넌트는, 제1 링 컴포넌트와 제2 링 컴포넌트 사이에 갭을 형성하면서 제2 링 컴포넌트가 제1 링 컴포넌트에 대하여 이동가능하도록, 제2 링 컴포넌트와 인터페이싱된다. 조정가능한 튜닝 링은 링 아래에 위치되고, 제2 링 컴포넌트의 바닥 표면과 접촉한다. 조정가능한 튜닝 링은 상단 표면 및 바닥 표면을 갖는다. 조정가능한 튜닝 링의 상단 표면은 제2 링 컴포넌트와 접촉한다. 작동 메커니즘은 조정가능한 튜닝 링의 바닥 표면과 인터페이싱된다. 작동 메커니즘은, 제1 링 컴포넌트와 제2 링 컴포넌트 사이의 갭이 변화되도록, 조정가능한 튜닝 링을 작동시키도록 구성된다.
다른 실시예에서, 기판을 프로세싱하는 방법이 본원에서 개시된다. 기판은 기판 프로세싱 챔버에 배치된 기판 지지 부재 상에 위치된다. 플라즈마가 기판 위에 생성된다. 에지 링의 컴포넌트의 높이는, 기판의 에지에서의 이온들의 방향을 변화시키기 위해, 컴포넌트와 인터페이싱된 조정가능한 튜닝 링을 작동시킴으로써 조정된다.
본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 본 개시내용의 전형적인 실시예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 일 실시예에 따른 프로세싱 챔버의 단면도이다.
도 2a는 일 실시예에 따른, 도 1의 프로세싱 챔버의 확대된 부분적인 단면도이다.
도 2b는 일 실시예에 따른, 도 1의 프로세싱 챔버의 확대된 부분적인 단면도이다.
도 3은 일 실시예에 따른, 2개의 캐패시턴스 경로들을 도시하는, 도 1의 프로세싱 챔버의 부분의 간략화된 단면도이다.
도 4a는 본 개시내용의 다른 이점을 예시하는, 일 실시예에 따른, 도 1의 프로세싱 챔버의 부분의 간략화된 단면도이다.
도 4b는 본 개시내용의 다른 이점을 예시하는, 일 실시예에 따른, 도 1의 프로세싱 챔버의 부분의 간략화된 단면도이다.
명료성을 위해, 도면들 사이에 공통적인 동일한 엘리먼트들을 지정하기 위해 적용가능한 경우에 동일한 참조 번호들이 사용되었다. 부가적으로, 일 실시예의 엘리먼트들이 본원에서 설명되는 다른 실시예들에서 활용하도록 유리하게 적응될 수 있다.
도 1은 일 실시예에 따른, 조정가능한 튜닝 링(150)을 갖는 프로세싱 챔버(100)의 단면도이다. 도시된 바와 같이, 프로세싱 챔버(100)는 기판(101)과 같은 기판을 에칭하는데 적합한 에칭 챔버이다. 본 개시내용으로부터 이익을 얻도록 적응될 수 있는 프로세싱 챔버들의 예들은 Sym3® 프로세싱 챔버, C3® 프로세싱 챔버, 및 MesaTM 프로세싱 챔버이고, 이들은 캘리포니아, 산타클라라에 위치된 어플라이드 머티어리얼스, 인코포레이티드로부터 상업적으로 입수가능하다. 증착 챔버들 및 다른 제조자들로부터의 프로세싱 챔버들을 포함하는 다른 프로세싱 챔버가 본 개시내용으로부터 이익을 얻도록 적응될 수 있다는 것이 고려된다.
프로세싱 챔버(100)는 다양한 플라즈마 프로세스들을 위해 사용될 수 있다. 일 실시예에서, 프로세싱 챔버(100)는 하나 또는 그 초과의 에칭제들을 이용하여 건식 에칭을 수행하기 위해 사용될 수 있다. 예컨대, 프로세싱 챔버는 전구체 CxFy(여기에서, x 및 y는 상이한 허용되는 조합들일 수 있음), O2, NF3, 또는 이들의 조합들로부터의 플라즈마의 점화를 위해 사용될 수 있다.
프로세싱 챔버(100)는 챔버 바디(body)(102), 덮개 조립체(104), 및 지지 조립체(106)를 포함한다. 덮개 조립체(104)는 챔버 바디(102)의 상부 단부에 위치된다. 지지 조립체(106)는 챔버 바디(102)에 의해 정의된 내부 볼륨(108)에 있는 것으로 제시된다. 챔버 바디(102)는 챔버 바디(102)의 측벽에 형성된 슬릿 밸브 개구(110)를 포함한다. 슬릿 밸브 개구(110)는 기판 핸들링 로봇(미도시)에 의한 내부 볼륨(108)으로의 접근을 허용하도록 선택적으로 개방 및 폐쇄된다.
챔버 바디(102)는 지지 조립체(106)를 둘러싸는 라이너(112)를 더 포함할 수 있다. 라이너(112)는 서비싱 및 세정을 위해 제거가능하다. 라이너(112)는 금속, 이를테면 알루미늄, 세라믹 재료, 또는 임의의 다른 프로세스 양립가능한 재료로 제조될 수 있다. 하나 또는 그 초과의 실시예들에서, 라이너(112)는 라이너(112)에 형성된 펌핑 채널(116) 및 하나 또는 그 초과의 구멍들(114)을 포함하는데, 펌핑 채널(116)은 진공 포트(118)와 유체 연통한다. 구멍들(114)은 가스들을 위한 펌핑 채널(116) 내로의 유동 경로를 제공한다. 펌핑 채널(116)은 챔버(100) 내의 가스들을 위한 진공 포트(118)로의 출구를 제공한다.
진공 시스템(120)이 진공 포트(118)에 커플링된다. 진공 시스템(120)은 진공 펌프(122) 및 스로틀 밸브(124)를 포함할 수 있다. 스로틀 밸브(124)는 챔버(100)를 통하는 가스들의 유동을 조절한다. 진공 펌프(122)는 내부 볼륨(108)에 배치된 진공 포트(118)에 커플링된다.
덮개 조립체(104)는 적어도 2개의 적층된 컴포넌트들을 포함하는데, 적어도 2개의 적층된 컴포넌트들은 이들 사이에 플라즈마 볼륨 또는 공동을 형성하도록 구성된다. 하나 또는 그 초과의 실시예들에서, 덮개 조립체(104)는 제2 전극(128)("하부 전극") 위에 수직으로 배치된 제1 전극(126)("상부 전극")을 포함한다. 상부 전극(126)과 하부 전극(128)은 이들 사이에 플라즈마 공동(130)을 한정한다. 제1 전극(126)은 RF 전력 공급부와 같은 전력 소스(132)에 커플링된다. 제2 전극(128)이 접지에 연결되어, 2개의 전극들(126, 128) 사이에 캐패시턴스가 형성된다. 상부 전극(126)은 가스 유입구(134)와 유체 연통한다. 하나 또는 그 초과의 가스 유입구들(134)의 제1 단부는 플라즈마 공동(130)으로 개방된다.
덮개 조립체(104)는 또한, 제2 전극(128)으로부터 제1 전극(126)을 전기적으로 격리시키는 아이솔레이터 링(136)을 포함할 수 있다. 아이솔레이터 링(136)은 알루미늄 산화물 또는 임의의 다른 절연성 프로세싱 양립가능한 재료로 제조될 수 있다.
덮개 조립체는 또한, 가스 분배 플레이트(138) 및 블로커 플레이트(140)를 포함할 수 있다. 제2 전극(128), 가스 분배 플레이트(138), 및 블로커 플레이트(140)는 챔버 바디(102)에 커플링된 덮개 림(142) 상에 적층 및 배치될 수 있다.
하나 또는 그 초과의 실시예들에서, 제2 전극(128)은 복수의 가스 통로들(144)을 포함할 수 있는데, 복수의 가스 통로들(144)은 플라즈마 공동(130)으로부터의 가스가 그 복수의 가스 통로들(144)을 통해 유동하게 허용하도록 플라즈마 공동(130) 아래에 형성된다. 가스 분배 플레이트(138)는 복수의 구멍들(146)을 포함하는데, 복수의 구멍들(146)은 그 복수의 구멍들(146)을 통해 가스들의 유동을 분배하도록 구성된다. 블로커 플레이트(140)는 선택적으로, 제2 전극(128)과 가스 분배 플레이트(138) 사이에 배치될 수 있다. 블로커 플레이트(140)는 제2 전극(128)으로부터 가스 분배 플레이트(138)로의 복수의 가스 통로들을 제공하기 위해 복수의 구멍들(148)을 포함한다.
지지 조립체(106)는 지지 부재(180)를 포함할 수 있다. 지지 부재(180)는 프로세싱을 위해 기판(101)을 지지하도록 구성된다. 지지 부재(180)는 챔버 바디(102)의 바닥 표면을 통해 연장되는 샤프트(184)를 통해 리프트 메커니즘(182)에 커플링될 수 있다. 리프트 메커니즘(182)은 샤프트(184) 주위로부터의 진공 누설을 방지하는 벨로즈(186)에 의해 챔버 바디(102)에 유연하게 밀봉될 수 있다. 리프트 메커니즘(182)은 지지 부재(180)가 챔버 바디(102) 내에서 하부 이송 부분과 다수의 상승된 프로세스 위치들 사이에 수직으로 이동되게 허용한다. 부가적으로, 하나 또는 그 초과의 리프트 핀들(188)이 지지 부재(180)를 통해 배치될 수 있다. 하나 또는 그 초과의 리프트 핀들(188)은 기판(101)이 지지 부재(180)의 표면으로부터 상승될 수 있도록 지지 부재(180)를 통해 연장되도록 구성된다. 하나 또는 그 초과의 리프트 핀들(188)은 리프트 링(190)에 의해 활성화될 수 있다.
도 2a는 일 실시예에 따른, 프로세싱 챔버(100)에서 지지 부재(180) 상에 배치된 프로세스 키트(200)를 예시하는, 프로세싱 챔버(100)의 부분의 부분적인 단면도이다. 지지 부재(180)는 정전 척(202), 냉각 플레이트(또는 캐소드)(204), 및 베이스(206)를 포함한다. 냉각 플레이트(204)는 베이스(206) 상에 배치된다. 냉각 플레이트(204)는 복수의 냉각 채널들(미도시)을 포함할 수 있는데, 복수의 냉각 채널들은 그 복수의 냉각 채널들을 통해 냉각제를 순환시키기 위한 것이다. 냉각 플레이트(204)는 접착제 또는 임의의 적합한 메커니즘에 의해 정전 척(202)과 맞물릴 수 있다. 하나 또는 그 초과의 전력 공급부들(208)이 냉각 플레이트(204)에 커플링될 수 있다. 정전 척(202)은 하나 또는 그 초과의 가열기들(미도시)을 포함할 수 있다. 하나 또는 그 초과의 가열기들은 독립적으로 제어가능할 수 있다. 하나 또는 그 초과의 가열기들은 정전 척(202)이 기판(101)의 바닥 표면으로부터 원하는 온도로 기판을 가열할 수 있게 한다.
프로세스 키트(200)는 지지 부재(180) 상에 지지될 수 있다. 프로세스 키트(200)는 환상 바디(212)를 갖는 에지 링(210)을 포함한다. 환상 바디(212)는 2개의 에지 링 컴포넌트들(214, 216)로 분할된다. 2개의 에지 링 컴포넌트들(214, 216)은 컴포넌트(216)가 컴포넌트(214)에 대하여 이동가능할 수 있도록 서로 인터페이싱된다. 제1 에지 링 컴포넌트(214)는 상단 표면(218), 바닥 표면(220), 내측 에지(222), 및 외측 에지(224)를 포함한다. 상단 표면(218)은 바닥 표면(220)과 실질적으로 평행하다. 내측 에지(222)는 외측 에지(224)와 실질적으로 평행하고, 바닥 표면(220)에 실질적으로 수직이다. 몇몇 실시예들에서, 제1 에지 링 컴포넌트(214)는 제1 에지 링 컴포넌트(214)에 정의된 계단형 표면(226)을 더 포함한다. 도시된 실시예에서, 계단형 표면(226)은 계단형 표면(226)이 바닥 표면(220)과 실질적으로 평행하도록 외측 에지(224)에 형성된다. 계단형 표면(226)은 제2 에지 링 컴포넌트(216)를 수용하기 위한 오목부를 정의한다. 일반적으로, 제1 에지 링 컴포넌트(214)의 높이는 정전 척(202)의 높이에 의해 제한된다. 예컨대, 제1 에지 링 컴포넌트(214)의 내측 에지(222)는 정전 척(202)의 높이 위로 연장되지 않는다. 따라서, 제1 에지 링 컴포넌트(214)는 정전 척(202)의 측을 보호한다. 몇몇 실시예들에서, 기판(101)은, 정전 척(202) 상에 위치되는 경우에, 제1 에지 링 컴포넌트(214) 위로 부분적으로 연장된다.
제2 에지 링 컴포넌트(216)는 상단 표면(228), 바닥 표면(230), 내측 에지(232), 및 외측 에지(234)를 포함한다. 상단 표면(228)은 바닥 표면(230)과 실질적으로 평행하다. 내측 에지(232)는 외측 에지(234)와 실질적으로 평행하고, 바닥 표면(230)에 실질적으로 수직이다. 일 실시예에서, 제2 에지 링 컴포넌트(216)는 바닥 표면(230)을 통해 제1 에지 링 컴포넌트(214)와 인터페이싱된다. 예컨대, 제2 에지 링 컴포넌트(216)의 바닥 표면(230)은 제1 에지 링 컴포넌트(214)에서의 계단형 표면(226)과 인터페이싱한다. 다른 실시예에서, 제2 에지 링 컴포넌트(216)는 제2 에지 링 컴포넌트(216)에 정의된 계단형 표면(236)을 더 포함할 수 있다. 도시된 실시예에서, 계단형 표면(236)은 계단형 표면(236)이 제1 에지 링 컴포넌트(214)의 계단형 표면(226)과 인터페이싱하도록 내측 에지(232)에 형성된다. 제1 에지 링 컴포넌트(214)와 인터페이싱되는 경우에, 제2 에지 링 컴포넌트(216)의 내측 에지(232)는 기판(101)으로부터 이격된다. 예컨대, 제2 에지 링 컴포넌트(216)의 내측 에지(232)는 기판(101)으로부터 약 0.02 mm 내지 약 0.1 mm만큼 이격될 수 있다.
일 실시예에서, 인터페이싱되는 경우에, 제1 에지 링 컴포넌트(214) 및 제2 에지 링 컴포넌트(216)는 연속적인 바닥 표면(238) 및 연속적인 상단 표면(240)을 형성한다. 다른 실시예에서, 인터페이싱되는 경우에, 제1 에지 링 컴포넌트(214) 및 제2 에지 링 컴포넌트(216)는 연속적인 바닥 표면(238) 또는 연속적인 상단 표면(240)을 형성하지 않는다. 오히려, 몇몇 실시예들에서, 제1 에지 링 컴포넌트(214)의 상단 표면(218)이 제2 에지 링 컴포넌트(216)의 상단 표면(228)보다 더 높을 수 있다. 다른 실시예들에서, 제2 에지 링 컴포넌트(216)의 바닥 표면(230)이 제1 에지 링 컴포넌트(214)의 바닥 표면(220) 아래에 놓일 수 있다. 그에 따라, 몇몇 실시예들에서, 제1 에지 링 컴포넌트(214) 및 제2 에지 링 컴포넌트(216)는 연속적인 상단 또는 바닥 표면을 형성하지 않는다.
프로세스 키트는 상단 표면(254) 및 바닥 표면(256)을 갖는 조정가능한 튜닝 링(150)을 더 포함한다. 조정가능한 튜닝 링(150)은 알루미늄과 같은 전도성 재료로 형성될 수 있다. 조정가능한 튜닝 링(150)은 에지 링(210) 아래에 배치된다. 예컨대, 조정가능한 튜닝 링(150)은 제2 에지 링 컴포넌트(216) 아래에 배치된다. 조정가능한 튜닝 링(150)은 에지 링(210)의 바닥 표면(238)과 접촉한다. 예컨대, 조정가능한 튜닝 링(150)은 제2 에지 링 컴포넌트(216)의 바닥 표면(230)과 접촉한다. 일 실시예에서, 조정가능한 튜닝 링(150)은, 조정가능한 튜닝 링(150)이 정전 척(202)과 냉각 플레이트(204)의 조합된 높이와 실질적으로 동일한 높이를 갖도록, 정전 척(202)과 냉각 플레이트(204)의 길이만큼 아래로 연장된다. 그에 따라, 조정가능한 튜닝 링(150)은 냉각 플레이트(204)로부터 에지 링(210)으로 전력을 커플링시킬 수 있다.
조정가능한 튜닝 링(150)은 냉각 플레이트(204)를 에워쌀 수 있고, 그에 따라, 측방향으로 이격된 갭(258)이 형성될 수 있다. 일 예에서, 측방향으로 이격된 갭(258)은 0 인치를 초과하고, 0.03 인치와 동일하거나 또는 그 미만이다. 조정가능한 튜닝 링(150)은 리프트 핀(260)과 인터페이싱한다. 예컨대, 리프트 핀(260)은 조정가능한 튜닝 링(150)과 동작가능하게 커플링될 수 있다. 리프트 핀(260)은 리프트 메커니즘(182)에 의해 구동된다. 몇몇 실시예들에서, 리프트 핀(260)은 리프트 메커니즘(182)과 독립적인 리프트 메커니즘(미도시)에 의해 구동될 수 있다. 리프트 메커니즘(182)은 조정가능한 튜닝 링(150)이 챔버(100) 내에서 수직으로 이동되게 허용한다. 튜닝 링(150)의 수직 이동의 결과로서, 리프트 메커니즘(182)은 제2 에지 링 컴포넌트(216)를 상승시킨다. 제2 에지 링 컴포넌트(216)는 제1 에지 링 컴포넌트(214) 위로 상승될 수 있고, 그에 따라, 제1 에지 링 컴포넌트의 계단형 표면과 제2 에지 링 컴포넌트의 계단형 표면 사이에 갭(도 4b의 299)이 형성될 수 있다.
일 실시예에서, 조정가능한 튜닝 링(150)은 조정가능한 튜닝 링(150)의 상단 표면(254) 상에 형성된 코팅(263)을 포함할 수 있다. 예컨대, 코팅(263)은 이트리아 산화물 코팅 또는 겔-형 코팅일 수 있다. 코팅(263)은 플라즈마와 조정가능한 튜닝 링(150) 사이의 화학 반응을 제한하기 위해 사용되고, 그에 따라, 입자 생성 및 링 손상을 제한한다. 다른 실시예에서, 하나 또는 그 초과의 유전체 패드들(예컨대, 테플론(Teflon) 패드들)(306)이 에지 링(210)과, 에지 링(210)이 상부에 놓인 정전 척 사이에 위치된다.
도 2b에서 도시된 것과 같은 다른 실시예에서, 조정가능한 튜닝 링(150)은 수동적으로 이동될 수 있고, 그에 따라, 리프트 핀(260)에 대한 필요성이 제거될 수 있다. 튜닝 링(150)은 튜닝 링(150)에 형성된 접근 오리피스(access orifice)(264) 및 공동(262)을 포함할 수 있다. 접근 오리피스(264)는 조정가능한 튜닝 링(150)의 상단으로부터 형성되어 공동(262) 내로 아래로 연장된다. 접근 오리피스(264)는 공동(262)의 제2 직경(268)보다 더 작은 제1 직경(266)을 갖는다. 공동(262)은 접근 오리피스(264) 아래에 형성된다. 공동(262)은 튜닝 링(150)의 바닥에 이르기 까지 형성된다. 공동(262)은 스크루(270)를 하우징하도록 구성된다. 스크루(270)는, 예컨대, 접근 오리피스(264)를 통해 공동(262) 내로 연장되는 육각 키(hex key)(미도시)를 통해 터닝될 수 있고, 그에 따라, 스크루(270)가 튜닝 링(150)을 상승/하강시킬 수 있게 된다.
도 2a 및 도 2b를 함께 논의하면, 프로세스 키트(200)는 석영 링(272)을 더 포함할 수 있다. 석영 링(272)은, 상단 표면(276), 바닥 표면(278), 내측 에지(280), 및 외측 에지(282)를 갖는 환상 형상 바디(274)를 포함한다. 상단 표면(276)은 바닥 표면(278)과 실질적으로 평행하다. 내측 에지(280)는 외측 에지(282)와 실질적으로 평행하고, 바닥 표면(278)에 실질적으로 수직이다. 내측 에지(280)는 조정가능한 튜닝 링(150) 및 에지 링(210) 근처에 위치된다.
도 3은 일 실시예에 따른, 2개의 캐패시턴스들을 도시하는, 도 1의 프로세싱 챔버의 부분의 간략화된 단면도이다. 전력이 캐소드(204)로부터 2개의 경로들을 따라 2개의 캐패시턴스들(302, 304)을 통해 에지 링(210)으로 커플링될 수 있다. 커플링되는 전력의 양은 링(210)과 플라즈마 사이의 캐패시턴스(305)에 비한 이들 2개의 경로들을 따르는 캐패시턴스에 따라 좌우된다. 플라즈마 조건들에 따라, 캐패시턴스(305)가 변화될 수 있다. 예컨대, 캐패시턴스(305)는 5 pF으로부터 150 pF까지 변화될 수 있다. 다른 예에서, 캐패시턴스(304)는, 조정가능한 튜닝 링(150)이 위로 그리고 아래로 이동됨에 따른, 2개의 에지 링 컴포넌트들(214, 216) 사이의 병렬 평면 캐패시터의 형성으로 인해, 약 10 pF 내지 약 500 pF에서 변화될 수 있다. 캐패시턴스(302)가 또한, 조정가능한 튜닝 링(150)이 위로 그리고 아래로 이동하는 경우에 변화될 수 있는데, 이는 조정가능한 튜닝 링(150)과 캐소드(204) 사이에 중첩의 영역이 존재하기 때문이다. 조정가능한 튜닝 링(150)과 캐소드(204)의 포지셔닝은 병렬 플레이트 캐패시터를 형성한다. 조정가능한 튜닝 링(150)이 위로 그리고 아래로 이동함에 따라, 조정가능한 튜닝 링(150)과 캐소드(204) 사이의 중첩의 영역이 변화되고, 이는 캐패시턴스(302)가 변화되게 한다. 그럼에도 불구하고, 캐패시턴스(302) 변화는 제한되는데, 이는 수직 이동의 크기(amplitude)가 캐소드(204)와 중첩되는 조정가능한 튜닝 링(150)의 길이에 비하여 작기 때문이다. 예컨대, 수직 이동의 크기는 약 0 mm 내지 약 2 mm일 수 있는 한편, 캐소드와 중첩되는 조정가능한 튜닝 링(150)의 길이는 약 3 cm이다. 결과로서, 캐패시턴스(302)는 임의의(some) 임계량을 초과하는 상태로 유지된다. 예컨대, 캐패시턴스(302)는 1000 pF을 초과하는 상태로 유지될 수 있다. 따라서, 캐패시턴스들(302 및 304)의 합인, 캐소드(204)와 에지 링(210) 사이의 캐패시턴스는 항상, 캐패시턴스(305)보다 적어도 10배만큼 더 높다. 그에 따라, 에지 링(210)의 전위(VDC)는 거의 일정하게 유지된다. 예컨대, 전위 변화는 5 %를 초과하지 않을 수 있다. 에지 링(210)에 인가되는 전압(VDC)을 일정하게 유지하는 것은 기판(101) 및 에지 링(210) 주위의 플라즈마 시스의 제어를 허용한다. 그러한 플라즈마 시스의 제어의 효과는 도 4와 함께 아래에서 더 상세히 논의된다.
도 4는 본 개시내용의 다른 이점을 예시하는, 일 실시예에 따른 프로세싱 챔버(100)의 부분을 예시한다. 전압(VDC)은 기판 에지(406)에서의 임계 치수 균일성을 보상하기 위하여 기판(101)의 에지(406)에서의 플라즈마 시스(404) 프로파일을 제어하기 위해 사용될 수 있다. 플라즈마 시스(404)는, 플라즈마의 바디를 플라즈마의 재료 경계와 연결하는, 공간 전하에 의해 형성된 강한 전기장들의 얇은 구역이다. 수학적으로, 시스 두께(d)는 칠드-랑뮈에 방정식(Child-Langmuir equation)에 의해 표현된다.
Figure 112017130053059-pat00001
여기에서, i는 이온 전류 밀도이고, ε은 진공의 유전율이고, e는 기본 전하량이고, Vp는 플라즈마 전위이고, VDC는 DC 전압이다.
에칭 반응기의 경우에, 에칭되고 있는 기판(101), 챔버 바디(102), 및 플라즈마와 접촉하는, 프로세싱 챔버(100)의 모든 각각의 다른 부분과 플라즈마 사이에 플라즈마 시스(404)가 형성된다. 플라즈마에서 생성되는 이온들은 플라즈마 시스에서 가속되고, 플라즈마 시스에 수직으로 이동한다. VDC를 제어하는 것, 즉 에지 링(210)에 인가되는 전압을 제어하는 것은 시스(404)의 두께(d)에 영향을 미친다. 시스(404)의 시스 두께(d)는 에지 링(210)에 대하여 측정될 수 있다. 예컨대, 두께(d)는 도 4a 및 도 4b에서 도시된다. 도시된 실시예에서, 조정가능한 튜닝 링(150)을 작동시키는 것은 제2 에지 링 컴포넌트(216)를 상승시킨다. VDC가 일정하게 유지되기 때문에, 에지 링(210) 위의 시스 두께는 일정하게 유지된다. 그에 따라, 조정가능한 튜닝 링(150)을 수직으로 작동시키는 것은 시스 두께에 영향을 미치지 않으면서 시스(404)를 상승시킨다. 따라서, 조정가능한 튜닝 링(150)을 이동시키는 것은 기판(101)의 에지(406)에서의 시스(404)의 형상에 영향을 미치고, 이는 결국, 플라즈마 이온들의 방향을 제어한다.
도 4b는 제2 에지 링 컴포넌트(216)가 상승된 위치에 있는, 도 4a의 프로세싱 챔버(100)의 부분을 예시한다. 도 4a에서 예시되고 논의된 바와 같이, 조정가능한 튜닝 링(150)을 상승시키는 것은 제2 에지 링 컴포넌트(216)를 상승시키고, 이는 결국, 시스(404)를 상승시킨다. 거의 고정된 캐패시턴스(302)의 결과로서 전위(VDC)가 거의 일정하게 유지되기 때문에, 시스(404)의 두께(d)가 전반에 걸쳐 일정하게 유지된다.
다시 도 1을 참조하면, 조정가능한 튜닝 링의 제어는 제어기(191)에 의해 제어될 수 있다. 제어기(191)는, 메모리(194) 및 대용량 저장 디바이스와 동작가능한 프로그래머블 중앙 프로세싱 유닛(CPU)(192), 입력 제어 유닛, 및 디스플레이 유닛(미도시), 이를테면, 기판 프로세싱의 제어를 용이하게 하기 위해 프로세싱 시스템의 다양한 컴포넌트들에 커플링된, 전력 공급부들, 클록들, 캐시, 입력/출력(I/O) 회로들, 및 라이너를 포함한다.
위에서 설명된 챔버(100)의 제어를 용이하게 하기 위하여, CPU(192)는, 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나, 이를테면 프로그래머블 로직 제어기(PLC)일 수 있다. 메모리(194)는 CPU(192)에 커플링되고, 메모리(194)는 비-일시적이고, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크 드라이브, 하드 디스크, 또는 근거리 또는 원거리의 임의의 다른 형태의 디지털 저장소와 같은 쉽게 이용가능한 메모리 중 하나 또는 그 초과일 수 있다. 지원 회로들(196)은 통상적인 방식으로 프로세서를 지원하기 위해 CPU(192)에 커플링된다. 일반적으로, 대전된 종 생성, 가열, 및 다른 프로세스들이 전형적으로 소프트웨어 루틴으로서 메모리(194)에 저장된다. 소프트웨어 루틴은 또한, CPU(192)에 의해 제어되고 있는 프로세싱 챔버(100)로부터 원격으로 위치된 제2 CPU(미도시)에 의해 저장 및/또는 실행될 수 있다.
메모리(194)는, CPU(192)에 의해 실행되는 경우에 챔버(100)의 동작을 용이하게 하는 명령들을 포함하는 컴퓨터-판독가능 저장 매체의 형태이다. 메모리(194)에서의 명령들은 본 개시내용의 방법을 구현하는 프로그램과 같은 프로그램 제품의 형태이다. 프로그램 코드는 다수의 상이한 프로그래밍 언어들 중 임의의 하나를 준수할 수 있다. 일 예에서, 본 개시내용은 컴퓨터 시스템과 함께 사용하기 위한 컴퓨터-판독가능 저장 매체들 상에 저장된 프로그램 제품으로서 구현될 수 있다. 프로그램 제품의 프로그램(들)은 (본원에서 설명되는 방법들을 포함하는) 실시예들의 기능들을 정의한다. 예시적인 컴퓨터-판독가능 저장 매체들은, (i) 정보가 영구적으로 저장되는 비-기록가능 저장 매체들(예컨대, CD-ROM 드라이브에 의해 판독가능한 CD-ROM 디스크들, 플래시 메모리, ROM 칩들, 또는 임의의 타입의 고체-상태 비-휘발성 반도체 메모리와 같은, 컴퓨터 내의 판독-전용 메모리 디바이스들); 및 (ii) 변경가능한 정보가 저장되는 기록가능 저장 매체들(예컨대, 디스켓 드라이브 내의 플로피 디스크들, 또는 하드-디스크 드라이브, 또는 임의의 타입의 고체-상태 랜덤-액세스 반도체 메모리)을 포함한다(그러나 이에 제한되지는 않는다). 그러한 컴퓨터-판독가능 저장 매체들은, 본원에서 설명되는 방법들의 기능들을 지시하는 컴퓨터-판독가능 명령들을 보유하는 경우에, 본 개시내용의 실시예들이다.
전술한 바가 특정한 실시예들에 관한 것이지만, 다른 및 추가적인 실시예들이 본 발명의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판 프로세싱 챔버를 위한 프로세스 키트로서,
    제1 링 컴포넌트 및 제2 링 컴포넌트를 갖는 링 ― 상기 제1 링 컴포넌트는, 상기 제1 링 컴포넌트와 상기 제2 링 컴포넌트 사이에 갭을 형성하면서 상기 제2 링 컴포넌트가 상기 제1 링 컴포넌트에 대하여 이동가능하도록, 상기 제2 링 컴포넌트와 인터페이싱됨(interfaced) ―;
    상기 링 아래에 위치되고, 상기 제2 링 컴포넌트의 바닥 표면과 접촉하는 조정가능한 튜닝 링 ― 상기 조정가능한 튜닝 링은, 상단 표면 및 바닥 표면을 갖는 환상 바디, 상기 환상 바디의 바닥 표면 내에 형성되는 공동, 및 상기 환상 바디 내에 형성되는 접근 오리피스(access orifice)를 갖고, 상기 접근 오리피스는 상기 환상 바디의 상단 표면으로부터 상기 공동 내로 연장하고, 상기 환상 바디의 상단 표면은 상기 제2 링 컴포넌트와 접촉함 ―; 및
    상기 조정가능한 튜닝 링의 상기 환상 바디의 바닥 표면과 인터페이싱된 작동 메커니즘
    을 포함하며,
    상기 작동 메커니즘은, 상기 제1 링 컴포넌트와 상기 제2 링 컴포넌트 사이의 갭이 변화되도록, 상기 조정가능한 튜닝 링을 작동시키도록 구성되는,
    프로세스 키트.
  2. 제 1 항에 있어서,
    상기 조정가능한 튜닝 링은 전도성 재료로 형성되는,
    프로세스 키트.
  3. 제 1 항에 있어서,
    상기 제1 링 컴포넌트는,
    상기 제1 링 컴포넌트에 형성된 계단형 표면(stepped surface)을 포함하는,
    프로세스 키트.
  4. 제 3 항에 있어서,
    상기 제2 링 컴포넌트는,
    상기 제2 링 컴포넌트에 형성된 계단형 표면을 포함하며,
    상기 제2 링 컴포넌트의 계단형 표면은 상기 제1 링 컴포넌트의 계단형 표면과 인터페이싱하는,
    프로세스 키트.
  5. 프로세싱 챔버로서,
    기판을 지지하도록 구성된 기판 지지 부재; 및
    상기 기판 지지 부재에 의해 지지된 프로세스 키트
    를 포함하며,
    상기 프로세스 키트는,
    제1 링 컴포넌트 및 제2 링 컴포넌트를 갖는 링 ― 상기 제1 링 컴포넌트는, 상기 제1 링 컴포넌트와 상기 제2 링 컴포넌트 사이에 갭을 형성하면서 상기 제2 링 컴포넌트가 상기 제1 링 컴포넌트에 대하여 이동가능하도록, 상기 제2 링 컴포넌트와 인터페이싱됨 ―;
    상기 링 아래에 위치되고, 상기 제2 링 컴포넌트의 바닥 표면과 접촉하는 조정가능한 튜닝 링 ― 상기 조정가능한 튜닝 링은 상단 표면 및 바닥 표면을 갖는 환상 바디, 상기 환상 바디의 바닥 표면 내에 형성되는 공동, 및 상기 환상 바디 내에 형성되는 접근 오리피스를 갖고, 상기 접근 오리피스는 상기 환상 바디의 상단 표면으로부터 상기 공동 내로 연장하고, 상기 환상 바디의 상단 표면은 상기 제2 링 컴포넌트와 접촉함 ―; 및
    상기 조정가능한 튜닝 링의 상기 환상 바디의 바닥 표면과 인터페이싱된 작동 메커니즘
    을 포함하며,
    상기 작동 메커니즘은, 상기 제1 링 컴포넌트와 상기 제2 링 컴포넌트 사이의 갭이 변화되도록, 상기 조정가능한 튜닝 링을 작동시키도록 구성되는,
    프로세싱 챔버.
  6. 제 5 항에 있어서,
    상기 조정가능한 튜닝 링은 전도성 재료로 형성되는,
    프로세싱 챔버.
  7. 제 5 항에 있어서,
    상기 제1 링 컴포넌트는,
    상기 제1 링 컴포넌트에 형성된 계단형 표면을 포함하는,
    프로세싱 챔버.
  8. 제 7 항에 있어서,
    상기 제2 링 컴포넌트는,
    상기 제2 링 컴포넌트에 형성된 계단형 표면을 포함하며,
    상기 제2 링 컴포넌트의 계단형 표면은 상기 제1 링 컴포넌트의 계단형 표면과 인터페이싱하는,
    프로세싱 챔버.
  9. 삭제
  10. 삭제
  11. 제 5 항에 있어서,
    상기 작동 메커니즘은 공동에 적어도 부분적으로 배치된 스크루이며,
    상기 스크루는 상기 조정가능한 튜닝 링을 작동시키기 위해 접근 오리피스를 통해 회전되도록 구성되는,
    프로세싱 챔버.
  12. 제 5 항에 있어서,
    상기 공동은 제1 직경을 갖고, 상기 접근 오리피스는 제2 직경을 가지며, 상기 제1 직경은 상기 제2 직경보다 더 큰,
    프로세싱 챔버.
  13. 기판 프로세싱 챔버를 위한 프로세스 키트로서,
    제1 링 컴포넌트 및 제2 링 컴포넌트를 갖는 링 ― 상기 제1 링 컴포넌트는, 상기 제1 링 컴포넌트와 상기 제2 링 컴포넌트 사이에 갭을 형성하면서 상기 제2 링 컴포넌트가 상기 제1 링 컴포넌트에 대하여 이동가능하도록, 상기 제2 링 컴포넌트와 인터페이싱됨 ―;
    상기 링 아래에 위치되고, 상기 제2 링 컴포넌트의 바닥 표면과 접촉하는 조정가능한 튜닝 링 ― 상기 조정가능한 튜닝 링은, 상단 표면 및 바닥 표면을 갖고, 상기 조정가능한 튜닝 링의 상단 표면은 상기 제2 링 컴포넌트와 접촉함 ―; 및
    상기 조정가능한 튜닝 링의 바닥 표면과 인터페이싱된 작동 메커니즘
    을 포함하며,
    상기 작동 메커니즘은, 상기 제1 링 컴포넌트와 상기 제2 링 컴포넌트 사이의 갭이 변화되도록, 상기 조정가능한 튜닝 링을 작동시키도록 구성되고,
    상기 작동 메커니즘은, 플라즈마와 에지 링 사이에 형성된 플라즈마 시스(plasma sheath)를, 상기 플라즈마 시스의 두께가 거의 일정하게 유지되게 하면서, 위로 그리고 아래로 푸시(push)하도록 구성되는,
    프로세스 키트.
  14. 제 5 항에 있어서,
    상기 기판 지지 부재는,
    베이스;
    상기 베이스에 의해 지지된 냉각 플레이트; 및
    상기 냉각 플레이트의 상단 표면 상에 위치된 정전 척
    을 포함하는,
    프로세싱 챔버.
  15. 기판을 프로세싱하는 방법으로서,
    기판 프로세싱 챔버에 배치된 기판 지지 부재 상에 상기 기판을 위치시키는 단계;
    상기 기판 위에 플라즈마를 형성하는 단계; 및
    상기 기판의 에지에서의 이온들의 방향을 변화시키기 위해, 에지 링의 제2 링 컴포넌트의 높이를, 상기 제2 링 컴포넌트와 인터페이싱된 조정가능한 튜닝 링을 작동시킴으로써, 조정하는 단계
    를 포함하고,
    상기 에지 링은 제1 링 컴포넌트 및 상기 제2 링 컴포넌트를 갖고, 상기 제1 링 컴포넌트는, 상기 제1 링 컴포넌트와 상기 제2 링 컴포넌트 사이에 갭을 형성하면서 상기 제2 링 컴포넌트가 상기 제1 링 컴포넌트에 대하여 이동가능하도록, 상기 제2 링 컴포넌트와 인터페이싱되고,
    상기 조정가능한 튜닝 링은 상기 에지 링 아래에 위치되고, 상기 제2 링 컴포넌트의 바닥 표면과 접촉하고, 상기 조정가능한 튜닝 링은 상단 표면 및 바닥 표면을 갖는 환상 바디, 상기 환상 바디의 바닥 표면 내에 형성되는 공동, 및 상기 환상 바디 내에 형성되는 접근 오리피스를 갖고, 상기 접근 오리피스는 상기 환상 바디의 상단 표면으로부터 상기 공동 내로 연장하고, 상기 환상 바디의 상단 표면은 상기 제2 링 컴포넌트와 접촉하는,
    기판을 프로세싱하는 방법.
KR1020170180083A 2017-02-01 2017-12-26 에지 균일성 제어를 위한 조정가능한 연장되는 전극 KR102498895B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230016241A KR20230038667A (ko) 2017-02-01 2023-02-07 에지 균일성 제어를 위한 조정가능한 연장되는 전극

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/421,726 US10553404B2 (en) 2017-02-01 2017-02-01 Adjustable extended electrode for edge uniformity control
US15/421,726 2017-02-01

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230016241A Division KR20230038667A (ko) 2017-02-01 2023-02-07 에지 균일성 제어를 위한 조정가능한 연장되는 전극

Publications (2)

Publication Number Publication Date
KR20180089852A KR20180089852A (ko) 2018-08-09
KR102498895B1 true KR102498895B1 (ko) 2023-02-09

Family

ID=62980201

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020170180083A KR102498895B1 (ko) 2017-02-01 2017-12-26 에지 균일성 제어를 위한 조정가능한 연장되는 전극
KR2020170006717U KR200496524Y1 (ko) 2017-02-01 2017-12-26 에지 균일성 제어를 위한 조정가능한 연장되는 전극
KR1020230016241A KR20230038667A (ko) 2017-02-01 2023-02-07 에지 균일성 제어를 위한 조정가능한 연장되는 전극

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR2020170006717U KR200496524Y1 (ko) 2017-02-01 2017-12-26 에지 균일성 제어를 위한 조정가능한 연장되는 전극
KR1020230016241A KR20230038667A (ko) 2017-02-01 2023-02-07 에지 균일성 제어를 위한 조정가능한 연장되는 전극

Country Status (5)

Country Link
US (2) US10553404B2 (ko)
JP (1) JP7206028B2 (ko)
KR (3) KR102498895B1 (ko)
CN (2) CN108376657A (ko)
TW (1) TWI771346B (ko)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6888007B2 (ja) * 2016-01-26 2021-06-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ウェハエッジリングの持ち上げに関する解決
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) * 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10276364B2 (en) 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
JP6859426B2 (ja) * 2018-08-13 2021-04-14 ラム リサーチ コーポレーションLam Research Corporation エッジリングの位置決めおよびセンタリング機構を組み込んだプラズマシース調整のための交換可能および/または折りたたみ式エッジリングアセンブリ
JP7115942B2 (ja) * 2018-09-06 2022-08-09 東京エレクトロン株式会社 載置台、基板処理装置、エッジリング及びエッジリングの搬送方法
JP7076351B2 (ja) * 2018-10-03 2022-05-27 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の厚さ測定方法
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11551965B2 (en) * 2018-12-07 2023-01-10 Applied Materials, Inc. Apparatus to reduce polymers deposition
JP7406965B2 (ja) * 2019-01-09 2023-12-28 東京エレクトロン株式会社 プラズマ処理装置
US20200234928A1 (en) * 2019-01-17 2020-07-23 Applied Materials, Inc. Semiconductor plasma processing equipment with wafer edge plasma sheath tuning ability
WO2020180656A1 (en) * 2019-03-06 2020-09-10 Lam Research Corporation Measurement system to measure a thickness of an adjustable edge ring for a substrate processing system
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
CN112151343B (zh) * 2019-06-28 2023-03-24 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体处理装置及其方法
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
TWM602283U (zh) * 2019-08-05 2020-10-01 美商蘭姆研究公司 基板處理系統用之具有升降銷溝槽的邊緣環
JP7227875B2 (ja) * 2019-08-22 2023-02-22 株式会社荏原製作所 基板ホルダおよびめっき装置
CN112713075B (zh) * 2019-10-25 2024-03-12 中微半导体设备(上海)股份有限公司 等离子体隔离环、等离子体处理装置与基片处理方法
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
US11668553B2 (en) 2020-02-14 2023-06-06 Applied Materials Inc. Apparatus and method for controlling edge ring variation
JP7466686B2 (ja) * 2020-03-23 2024-04-12 ラム リサーチ コーポレーション 基板処理システムにおける中間リング腐食補償
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
US11380575B2 (en) * 2020-07-27 2022-07-05 Applied Materials, Inc. Film thickness uniformity improvement using edge ring and bias electrode geometry
KR20220021514A (ko) 2020-08-14 2022-02-22 삼성전자주식회사 상부 전극 및 이를 포함하는 기판 처리 장치

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244274A (ja) 2007-03-28 2008-10-09 Tokyo Electron Ltd プラズマ処理装置
JP2012222235A (ja) 2011-04-12 2012-11-12 Hitachi High-Technologies Corp プラズマ処理装置
US20140034243A1 (en) 2007-06-28 2014-02-06 Rajinder Dhindsa Apparatus for plasma processing system with tunable capacitance

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5267607A (en) 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
EP0742579A2 (en) * 1995-05-11 1996-11-13 Applied Materials, Inc. A method and apparatus for concentrating plasma on a substrate surface during processing
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5851140A (en) 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
JPH10289881A (ja) * 1997-04-15 1998-10-27 Kokusai Electric Co Ltd プラズマcvd装置
EP1042787B1 (de) 1997-12-23 2005-03-02 Unaxis Balzers Aktiengesellschaft Haltevorrichtung
US6773562B1 (en) 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
JP2000049144A (ja) 1998-07-28 2000-02-18 Hitachi Chem Co Ltd プラズマ処理装置用電極板
JP3234576B2 (ja) 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6709547B1 (en) 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP2001230239A (ja) * 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3388228B2 (ja) * 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
KR100578129B1 (ko) 2003-09-19 2006-05-10 삼성전자주식회사 플라즈마 식각 장치
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7338578B2 (en) 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
US20050189068A1 (en) 2004-02-27 2005-09-01 Kawasaki Microelectronics, Inc. Plasma processing apparatus and method of plasma processing
US20050263070A1 (en) 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
JP4006004B2 (ja) 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
US7736528B2 (en) 2005-10-12 2010-06-15 Panasonic Corporation Plasma processing apparatus and plasma processing method
CN101847574B (zh) 2006-01-31 2012-11-07 东京毅力科创株式会社 基板处理装置和暴露于等离子体的部件
JP5116983B2 (ja) * 2006-03-30 2013-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7572737B1 (en) 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
KR20080023569A (ko) * 2006-09-11 2008-03-14 주식회사 하이닉스반도체 식각프로파일 변형을 방지하는 플라즈마식각장치
US20080066868A1 (en) 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
JP2008078208A (ja) 2006-09-19 2008-04-03 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
CN101583736A (zh) 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US20080289766A1 (en) 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
KR100963297B1 (ko) 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US8317969B2 (en) * 2008-03-25 2012-11-27 Tokyo Electron Limited Plasma processing apparatus
KR20150136142A (ko) 2008-04-16 2015-12-04 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 프로세싱 증착 차폐 컴포넌트들
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
KR101245430B1 (ko) * 2008-07-11 2013-03-19 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
JP5097632B2 (ja) * 2008-07-11 2012-12-12 株式会社日立ハイテクノロジーズ プラズマエッチング処理装置
US20100101729A1 (en) 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
JP5406067B2 (ja) 2009-02-16 2014-02-05 キヤノンアネルバ株式会社 トレイ及び真空処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
JP5650935B2 (ja) 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
SG178287A1 (en) 2009-08-31 2012-03-29 Lam Res Corp A local plasma confinement and pressure control arrangement and methods thereof
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
JP5654297B2 (ja) 2010-09-14 2015-01-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
JP5690596B2 (ja) 2011-01-07 2015-03-25 東京エレクトロン株式会社 フォーカスリング及び該フォーカスリングを備える基板処理装置
JP5719599B2 (ja) * 2011-01-07 2015-05-20 東京エレクトロン株式会社 基板処理装置
US20140017900A1 (en) 2011-03-29 2014-01-16 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
KR101926571B1 (ko) 2011-05-31 2018-12-10 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
KR101267459B1 (ko) 2011-09-08 2013-05-31 한국과학기술연구원 플라즈마 이온주입 장치 및 방법
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9184030B2 (en) * 2012-07-19 2015-11-10 Lam Research Corporation Edge exclusion control with adjustable plasma exclusion zone ring
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
WO2015031023A1 (en) 2013-08-30 2015-03-05 Applied Materials, Inc. Substrate support system
JP2015050156A (ja) 2013-09-04 2015-03-16 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US9410249B2 (en) 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
JP6345030B2 (ja) 2014-08-11 2018-06-20 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
CN105789010B (zh) 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
JP6456177B2 (ja) 2015-02-12 2019-01-23 株式会社ディスコ ウェーハ処理システム
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
WO2017069238A1 (ja) 2015-10-21 2017-04-27 住友大阪セメント株式会社 静電チャック装置
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10985078B2 (en) 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10921251B2 (en) 2016-08-22 2021-02-16 Applied Materials, Inc. Chamber component part wear indicator and a system for detecting part wear
US20180061696A1 (en) 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
JP2018054500A (ja) 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
JP6656200B2 (ja) 2017-04-12 2020-03-04 東京エレクトロン株式会社 位置検出システム及び処理装置
KR102535916B1 (ko) 2017-04-26 2023-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
KR102612989B1 (ko) 2017-12-01 2023-12-11 어플라이드 머티어리얼스, 인코포레이티드 고 에칭 선택성 비정질 탄소 막
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
JP6995008B2 (ja) 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244274A (ja) 2007-03-28 2008-10-09 Tokyo Electron Ltd プラズマ処理装置
US20140034243A1 (en) 2007-06-28 2014-02-06 Rajinder Dhindsa Apparatus for plasma processing system with tunable capacitance
JP2012222235A (ja) 2011-04-12 2012-11-12 Hitachi High-Technologies Corp プラズマ処理装置

Also Published As

Publication number Publication date
US20180218933A1 (en) 2018-08-02
US10553404B2 (en) 2020-02-04
JP2018125519A (ja) 2018-08-09
US20200118798A1 (en) 2020-04-16
JP7206028B2 (ja) 2023-01-17
CN108376657A (zh) 2018-08-07
US10991556B2 (en) 2021-04-27
KR20180089852A (ko) 2018-08-09
KR20230038667A (ko) 2023-03-21
KR20180002414U (ko) 2018-08-09
TW202242950A (zh) 2022-11-01
KR200496524Y1 (ko) 2023-02-16
CN207966931U (zh) 2018-10-12
TW201841203A (zh) 2018-11-16
TWI771346B (zh) 2022-07-21

Similar Documents

Publication Publication Date Title
KR102498895B1 (ko) 에지 균일성 제어를 위한 조정가능한 연장되는 전극
KR102501697B1 (ko) 에지 균일성 제어를 위한 조정가능한 연장되는 전극
US11728143B2 (en) Process kit with adjustable tuning ring for edge uniformity control
KR20200010743A (ko) 기판 지지 장치 및 이를 포함하는 플라즈마 처리 장치
TWI835177B (zh) 用於基板處理腔室的製程套件以及處理腔室

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant