KR102501697B1 - 에지 균일성 제어를 위한 조정가능한 연장되는 전극 - Google Patents

에지 균일성 제어를 위한 조정가능한 연장되는 전극 Download PDF

Info

Publication number
KR102501697B1
KR102501697B1 KR1020170173500A KR20170173500A KR102501697B1 KR 102501697 B1 KR102501697 B1 KR 102501697B1 KR 1020170173500 A KR1020170173500 A KR 1020170173500A KR 20170173500 A KR20170173500 A KR 20170173500A KR 102501697 B1 KR102501697 B1 KR 102501697B1
Authority
KR
South Korea
Prior art keywords
ring
adjustable
adjustable tuning
substrate
tuning ring
Prior art date
Application number
KR1020170173500A
Other languages
English (en)
Other versions
KR20180070493A (ko
Inventor
올리비에 루어
레오니드 도르프
라진더 딘드사
서닐 스리니바산
데니스 엠. 쿠사우
제임스 로저스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180070493A publication Critical patent/KR20180070493A/ko
Application granted granted Critical
Publication of KR102501697B1 publication Critical patent/KR102501697B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Automation & Control Theory (AREA)

Abstract

본원에서 설명되는 실시예들은 일반적으로, 기판 프로세싱 장치에 관한 것이다. 일 실시예에서, 기판 프로세싱 챔버를 위한 프로세스 키트가 본원에서 개시된다. 프로세스 키트는, 상단 표면 및 바닥 표면을 갖는 제1 링, 상단 표면 및 바닥 표면을 갖는 조정가능한 튜닝 링, 및 작동 메커니즘을 포함한다. 바닥 표면은 기판 지지 부재에 의해 지지된다. 바닥 표면은 기판 지지 부재에 의해 지지된 기판 아래로 적어도 부분적으로 연장된다. 조정가능한 튜닝 링은 제1 링 아래에 위치된다. 조정가능한 튜닝 링의 상단 표면과 제1 링은 조정가능한 갭을 정의한다. 작동 메커니즘은 조정가능한 튜닝 링의 바닥 표면과 인터페이싱된다. 작동 메커니즘은 제1 링의 바닥 표면과 조정가능한 튜닝 링의 상단 표면 사이에 정의된 조정가능한 갭을 변경하도록 구성된다.

Description

에지 균일성 제어를 위한 조정가능한 연장되는 전극{ADJUSTABLE EXTENDED ELECTRODE FOR EDGE UNIFORMITY CONTROL}
본원에서 설명되는 실시예들은 일반적으로, 기판 프로세싱 장치에 관한 것으로, 더 구체적으로, 기판 프로세싱 장치를 위한 개선된 프로세스 키트(process kit)에 관한 것이다.
반도체 기술 노드들이 디바이스 기하형상들의 사이즈가 감소되면서 진보됨에 따라, 기판 에지 임계 치수 균일성 요건들은 더 엄격하게 되고 다이 수율들에 영향을 미친다. 상업용 플라즈마 반응기들은, 예컨대 온도, 가스 유동, RF 전력 등과 같은, 기판에 걸친 프로세스 균일성을 제어하기 위한 다수의 튜닝가능한 노브(tunable knob)들을 포함한다. 전형적으로, 에칭 프로세스들에서, 실리콘 기판들은 정전 척에 정전적으로 클램핑되면서 에칭된다.
프로세싱 동안에, 기판 지지부 상에 놓인 기판은, 종종 연속적으로 또는 교번하는 프로세스들에서, 기판 상에 재료를 증착하고 기판으로부터 재료의 부분들을 제거 또는 에칭하는 프로세스를 받을 수 있다. 전형적으로, 기판의 표면에 걸쳐 균일한 증착 및 에칭 레이트들을 갖는 것은 유익하다. 그러나, 종종, 프로세스 불-균일성들이 기판의 표면에 걸쳐 존재하고, 기판의 둘레 또는 에지에서 현저할 수 있다. 둘레에서의 이들 불-균일성들은 전기장 종단(electric field termination) 효과들에 기인할 수 있고, 종종 에지 효과(edge effect)들이라고 지칭된다. 증착 또는 에칭 동안에, 종종, 적어도 증착 링을 포함하는 프로세스 키트가 기판 둘레 또는 에지에서의 균일성에 유리하게 영향을 미치도록 제공된다.
따라서, 기판 프로세싱 장치를 위한 개선된 프로세스 키트에 대한 필요성이 계속 존재한다.
본원에서 설명되는 실시예들은 일반적으로, 기판 프로세싱 장치에 관한 것이다. 일 실시예에서, 기판 프로세싱 챔버를 위한 프로세스 키트가 본원에서 개시된다. 프로세스 키트는, 제1 링, 조정가능한 튜닝 링, 및 작동 메커니즘을 포함한다. 제1 링은 상단 표면 및 바닥 표면을 갖는다. 바닥 표면은 기판 지지 부재에 의해 지지된다. 바닥 표면은 기판 지지 부재에 의해 지지되는 기판 아래로 적어도 부분적으로 연장된다. 조정가능한 튜닝 링은 제1 링 아래에 위치된다. 조정가능한 튜닝 링은 상단 표면 및 바닥 표면을 갖는다. 조정가능한 튜닝 링의 상단 표면과 제1 링은 조정가능한 갭을 정의한다. 작동 메커니즘은 조정가능한 튜닝 링의 바닥 표면과 인터페이싱된다(interfaced). 작동 메커니즘은 제1 링의 바닥 표면과 조정가능한 튜닝 링의 상단 표면 사이에 정의된 조정가능한 갭을 변경하도록 구성된다.
다른 실시예에서, 프로세싱 챔버가 본원에서 개시된다. 프로세싱 챔버는 기판 지지 부재 및 프로세스 키트를 포함한다. 기판 지지 부재는 기판을 지지하도록 구성된다. 프로세스 키트는 기판 지지 부재에 의해 지지된다. 프로세스 키트는 제1 링, 조정가능한 튜닝 링, 및 작동 메커니즘을 포함한다. 제1 링은 상단 표면 및 바닥 표면을 갖는다. 바닥 표면은 기판 지지 부재에 의해 지지된다. 바닥 표면은 기판 지지 부재에 의해 지지되는 기판 아래로 적어도 부분적으로 연장된다. 조정가능한 튜닝 링은 제1 링 아래에 위치된다. 조정가능한 튜닝 링은 상단 표면 및 바닥 표면을 갖는다. 조정가능한 튜닝 링의 상단 표면과 제1 링은 조정가능한 갭을 정의한다. 작동 메커니즘은 조정가능한 튜닝 링의 바닥 표면과 인터페이싱된다. 작동 메커니즘은 제1 링의 바닥 표면과 조정가능한 튜닝 링의 상단 표면 사이에 정의된 조정가능한 갭을 변경하도록 구성된다.
다른 실시예에서, 기판을 프로세싱하는 방법이 본원에서 개시된다. 기판은 기판 프로세싱 챔버에 배치된 기판 지지 부재 상에 위치된다. 플라즈마가 기판 위에 생성된다. 기판의 에지에서의 플라즈마 이온들의 방향을 변화시키기 위해, 조정가능한 튜닝 링을 작동시킴으로써, 조정가능한 튜닝 링과 에지 링 사이의 간격이 조정된다.
본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 본 개시내용의 전형적인 실시예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 일 실시예에 따른 프로세싱 챔버의 단면도이다.
도 2a는 일 실시예에 따른, 도 1의 프로세싱 챔버의 확대된 부분적인 단면도이다.
도 2b는 일 실시예에 따른, 도 1의 프로세싱 챔버의 확대된 부분적인 단면도이다.
도 3은 일 실시예에 따른, 2개의 캐패시턴스 경로들을 도시하는, 도 1의 프로세싱 챔버의 부분의 간략화된 단면도이다.
도 4는 본 개시내용의 다른 이점을 예시하는, 일 실시예에 따른, 도 1의 프로세싱 챔버의 부분의 간략화된 단면도이다.
명료성을 위해, 도면들 사이에 공통적인 동일한 엘리먼트들을 지정하기 위해 적용가능한 경우에 동일한 참조 번호들이 사용되었다. 부가적으로, 일 실시예의 엘리먼트들이 본원에서 설명되는 다른 실시예들에서 활용하도록 유리하게 적응될 수 있다.
도 1은 일 실시예에 따른, 조정가능한 튜닝 링(150)을 갖는 프로세싱 챔버(100)의 단면도이다. 도시된 바와 같이, 프로세싱 챔버(100)는 기판(101)과 같은 기판을 에칭하는데 적합한 에칭 챔버이다. 본 개시내용으로부터 이익을 얻도록 적응될 수 있는 프로세싱 챔버들의 예들은 Sym3® 프로세싱 챔버, C3® 프로세싱 챔버, 및 MesaTM 프로세싱 챔버이고, 이들은 캘리포니아, 산타클라라에 위치된 어플라이드 머티어리얼스, 인코포레이티드로부터 상업적으로 입수가능하다. 증착 챔버들 및 다른 제조자들로부터의 프로세싱 챔버들을 포함하는 다른 프로세싱 챔버가 본 개시내용으로부터 이익을 얻도록 적응될 수 있다는 것이 고려된다.
프로세싱 챔버(100)는 다양한 플라즈마 프로세스들을 위해 사용될 수 있다. 일 실시예에서, 프로세싱 챔버(100)는 하나 또는 그 초과의 에칭제들을 이용하여 건식 에칭을 수행하기 위해 사용될 수 있다. 예컨대, 프로세싱 챔버는 전구체 CxFy(여기에서, x 및 y는 상이한 허용되는 조합들일 수 있음), O2, NF3, 또는 이들의 조합들로부터의 플라즈마의 점화를 위해 사용될 수 있다.
프로세싱 챔버(100)는 챔버 바디(body)(102), 덮개 조립체(104), 및 지지 조립체(106)를 포함한다. 덮개 조립체(104)는 챔버 바디(102)의 상부 단부에 위치된다. 지지 조립체(106)는 챔버 바디(102)에 의해 정의된 내부 볼륨(108)에 있는 것으로 제시된다. 챔버 바디(102)는 챔버 바디(102)의 측벽에 형성된 슬릿 밸브 개구(110)를 포함한다. 슬릿 밸브 개구(110)는 기판 핸들링 로봇(미도시)에 의한 내부 볼륨(108)으로의 접근을 허용하도록 선택적으로 개방 및 폐쇄된다.
챔버 바디(102)는 지지 조립체(106)를 둘러싸는 라이너(112)를 더 포함할 수 있다. 라이너(112)는 서비싱 및 세정을 위해 제거가능하다. 라이너(112)는 금속, 이를테면 알루미늄, 세라믹 재료, 또는 임의의 다른 프로세스 양립가능한 재료로 제조될 수 있다. 하나 또는 그 초과의 실시예들에서, 라이너(112)는 라이너(112)에 형성된 펌핑 채널(116) 및 하나 또는 그 초과의 구멍들(114)을 포함하는데, 펌핑 채널(116)은 진공 포트(118)와 유체 연통한다. 구멍들(114)은 가스들을 위한 펌핑 채널(116) 내로의 유동 경로를 제공한다. 펌핑 채널(116)은 챔버(100) 내의 가스들을 위한 진공 포트(118)로의 출구를 제공한다.
진공 시스템(120)이 진공 포트(118)에 커플링된다. 진공 시스템(120)은 진공 펌프(122) 및 스로틀 밸브(124)를 포함할 수 있다. 스로틀 밸브(124)는 챔버(100)를 통하는 가스들의 유동을 조절한다. 진공 펌프(122)는 내부 볼륨(108)에 배치된 진공 포트(118)에 커플링된다.
덮개 조립체(104)는 적어도 2개의 적층된 컴포넌트들을 포함하는데, 적어도 2개의 적층된 컴포넌트들은 이들 사이에 플라즈마 볼륨 또는 공동을 형성하도록 구성된다. 하나 또는 그 초과의 실시예들에서, 덮개 조립체(104)는 제2 전극(128)("하부 전극") 위에 수직으로 배치된 제1 전극(126)("상부 전극")을 포함한다. 상부 전극(126)과 하부 전극(128)은 이들 사이에 플라즈마 공동(130)을 한정한다. 제1 전극(126)은 RF 전력 공급부와 같은 전력 소스(132)에 커플링된다. 제2 전극(128)이 접지에 연결되어, 2개의 전극들(126, 128) 사이에 캐패시턴스가 형성된다. 상부 전극(126)은 가스 유입구(134)와 유체 연통한다. 하나 또는 그 초과의 가스 유입구들(134)의 제1 단부는 플라즈마 공동(130)으로 개방된다.
덮개 조립체(104)는 또한, 제2 전극(128)으로부터 제1 전극(126)을 전기적으로 격리시키는 아이솔레이터 링(136)을 포함할 수 있다. 아이솔레이터 링(136)은 알루미늄 산화물 또는 임의의 다른 절연성 프로세싱 양립가능한 재료로 제조될 수 있다.
덮개 조립체는 또한, 가스 분배 플레이트(138) 및 블로커 플레이트(140)를 포함할 수 있다. 제2 전극(128), 가스 분배 플레이트(138), 및 블로커 플레이트(140)는 챔버 바디(102)에 커플링된 덮개 림(142) 상에 적층 및 배치될 수 있다.
하나 또는 그 초과의 실시예들에서, 제2 전극(128)은 복수의 가스 통로들(144)을 포함할 수 있는데, 복수의 가스 통로들(144)은 플라즈마 공동(130)으로부터의 가스가 그 복수의 가스 통로들(144)을 통해 유동하게 허용하도록 플라즈마 공동(130) 아래에 형성된다. 가스 분배 플레이트(138)는 복수의 구멍들(146)을 포함하는데, 복수의 구멍들(146)은 그 복수의 구멍들(146)을 통해 가스들의 유동을 분배하도록 구성된다. 블로커 플레이트(140)는 선택적으로, 제2 전극(128)과 가스 분배 플레이트(138) 사이에 배치될 수 있다. 블로커 플레이트(140)는 제2 전극(128)으로부터 가스 분배 플레이트(138)로의 복수의 가스 통로들을 제공하기 위해 복수의 구멍들(148)을 포함한다.
지지 조립체(106)는 지지 부재(180)를 포함할 수 있다. 지지 부재(180)는 프로세싱을 위해 기판(101)을 지지하도록 구성된다. 지지 부재(180)는 챔버 바디(102)의 바닥 표면을 통해 연장되는 샤프트(184)를 통해 리프트 메커니즘(182)에 커플링될 수 있다. 리프트 메커니즘(182)은 샤프트(184) 주위로부터의 진공 누설을 방지하는 벨로즈(186)에 의해 챔버 바디(102)에 유연하게 밀봉될 수 있다. 리프트 메커니즘(182)은 지지 부재(180)가 챔버 바디(102) 내에서 하부 이송 부분과 다수의 상승된 프로세스 위치들 사이에 수직으로 이동되게 허용한다. 부가적으로, 하나 또는 그 초과의 리프트 핀들(188)이 지지 부재(180)를 통해 배치될 수 있다. 하나 또는 그 초과의 리프트 핀들(188)은 기판(101)이 지지 부재(180)의 표면으로부터 상승될 수 있도록 지지 부재(180)를 통해 연장되도록 구성된다. 하나 또는 그 초과의 리프트 핀들(188)은 리프트 링(190)에 의해 활성화될 수 있다.
도 2a는 일 실시예에 따른, 프로세싱 챔버(100)에서 지지 부재(180) 상에 배치된 프로세스 키트(200)를 예시하는, 프로세싱 챔버(100)의 부분의 부분적인 단면도이다. 지지 부재(180)는 정전 척(202), 냉각 플레이트(또는 캐소드)(204), 및 베이스(206)를 포함한다. 냉각 플레이트(204)는 베이스(206) 상에 배치된다. 냉각 플레이트(204)는 복수의 냉각 채널들(미도시)을 포함할 수 있는데, 복수의 냉각 채널들은 그 복수의 냉각 채널들을 통해 냉각제를 순환시키기 위한 것이다. 냉각 플레이트(204)는 접착제 또는 임의의 적합한 메커니즘에 의해 정전 척(202)과 맞물릴 수 있다. 하나 또는 그 초과의 전력 공급부들(208)이 냉각 플레이트(204)에 커플링될 수 있다. 정전 척(202)은 하나 또는 그 초과의 가열기들(미도시)을 포함할 수 있다. 하나 또는 그 초과의 가열기들은 독립적으로 제어가능할 수 있다. 하나 또는 그 초과의 가열기들은 정전 척(202)이 기판(101)의 바닥 표면으로부터 원하는 온도로 기판을 가열할 수 있게 한다.
프로세스 키트(200)는 지지 부재(180) 상에 지지될 수 있다. 프로세스 키트(200)는 환상 바디(230)를 갖는 에지 링(210)을 포함한다. 바디(230)는 상단 표면(209), 바닥 표면(211), 및 내측 에지(232), 및 외측 에지(234)를 포함한다. 상단 표면(209)은 바닥 표면(211)과 실질적으로 평행하다. 내측 에지(232)는 외측 에지(234)와 실질적으로 평행하고, 바닥 표면(211)에 실질적으로 수직이다. 바디(230)는 바디(230)에 정의된 계단형 표면(236)을 더 포함한다. 계단형 표면(236)은 계단형 표면(236)이 바닥 표면(211)과 실질적으로 평행하도록 내측 에지(232)에 형성된다. 계단형 표면(236)은 기판(예컨대, 기판(101))을 수용하기 위한 오목부를 정의한다. 에지 링(210)은 지지 부재(180)의 외측 둘레를 덮고 증착으로부터 지지 부재(180)를 보호하도록 적응된다.
프로세스 키트(200)는 커버 링(212) 및 석영 링(214)을 더 포함할 수 있다. 커버 링(212)은 상단 표면(240), 바닥 표면(242), 내측 에지(244), 및 외측 에지(246)를 갖는 환상 바디(238)를 포함한다. 상단 표면(240)은 바닥 표면(242)과 실질적으로 평행하다. 내측 에지(244)는 외측 에지(246)와 실질적으로 평행하고, 바닥 표면(242)에 실질적으로 수직이다. 도 2a에서 도시된 실시예에서, 바디(238)의 바닥 표면(242)에 노치(248)가 형성된다. 석영 링(214)은 지지 부재(180) 근처에 배치된다. 석영 링(214)은 상단 표면(252), 바닥 표면(254), 내측 에지(256), 및 외측 에지(258)를 갖는 환상 바디(251)를 포함한다. 석영 링(214)은 프로세싱 챔버(100)에서 커버 링(212)을 지지하도록 구성된다. 예컨대, 도시된 실시예에서, 석영 링(214)은 커버 링(212)의 바닥 표면(242)으로부터 커버 링(212)을 지지한다. 몇몇 실시예들에서, 석영 링(214)은 돌출 부재(263)를 포함할 수 있다. 돌출 부재(263)는 석영 링의 상단 표면(252)으로부터 돌출된다. 돌출 부재(263)는 커버 링(212)의 바닥 표면(242)에 형성된 노치(248)와 메이팅(mate)하도록 구성된다. 커버 링(212)은 에지 링(210)의 외측 둘레(216)를 따라 위치된다. 에지 링(210)은 입자들이 에지 링(210) 아래로 미끄러지는 것을 막도록 구성된다.
프로세스 키트(200)는 상단 표면(215) 및 바닥 표면(217)을 갖는 조정가능한 튜닝 링(150)을 더 포함한다. 조정가능한 튜닝 링(150)은 알루미늄과 같은 전도성 재료로 형성될 수 있다. 조정가능한 튜닝 링(150)은 에지 링(210) 아래에서 갭(250)을 형성하면서 석영 링(214)과 지지 부재(180) 사이에 배치된다. 예컨대, 일 실시예에서, 조정가능한 튜닝 링(150)은 정전 척(202)을 지나 냉각 플레이트(204)와 나란히 아래로 연장된다. 일 실시예에서, 조정가능한 튜닝 링(150)은 냉각 플레이트(204)의 바닥까지 연속하여 연장되는 높이를 갖는다. 그에 따라, 조정가능한 튜닝 링(150)은 냉각 플레이트(204)로부터의 전력을 에지 링(210)에 커플링시킬 수 있다. 조정가능한 튜닝 링(150)은 냉각 플레이트(204)를 에워쌀 수 있고, 그에 따라, 측방향으로 이격된 갭(255)이 형성될 수 있다. 일 예에서, 측방향으로 이격된 갭은 0 인치를 초과하고, 0.03 인치와 동일하거나 또는 그 미만이다. 조정가능한 튜닝 링(150)은 리프트 핀(218)과 인터페이싱한다. 예컨대, 리프트 핀(218)은 조정가능한 튜닝 링(150)과 동작가능하게 커플링될 수 있다. 리프트 핀(218)은 리프트 메커니즘(183)에 의해 구동된다. 몇몇 실시예들에서, 리프트 핀(218)은 리프트 메커니즘(183)과 독립적인 리프트 메커니즘(미도시)에 의해 구동될 수 있다. 리프트 메커니즘(183)은 조정가능한 튜닝 링(150)이 챔버 (100) 내에서 수직으로 이동되게 허용한다. 일 실시예에서, 조정가능한 튜닝 링은 0 mm 초과의 값 내지 4 mm와 동일하거나 또는 그 미만인 값만큼 수직으로, 예컨대, 2 mm 내지 4 mm만큼 이동될 수 있다. 수직으로 튜닝 링(150)을 이동시키는 것은 에지 링과의 RF 전력 커플링을 변화시킨다. 일 실시예에서, 조정가능한 튜닝 링(150)은 조정가능한 튜닝 링(150)의 상단 표면(215) 상에 형성된 코팅(281)을 포함할 수 있다. 예컨대, 코팅(281)은 이트리아 산화물 코팅 또는 겔-형 코팅일 수 있다. 코팅(281)은 플라즈마와 조정가능한 튜닝 링(150) 사이의 화학 반응을 제한하기 위해 사용되고, 그에 따라, 입자 생성 및 링 손상을 제한한다. 다른 실시예에서, 하나 또는 그 초과의 유전체 패드들(예컨대, 테플론(Teflon) 패드들)(289)이 에지 링(210)과, 에지 링(210)이 상부에 놓인 정전 척 사이에 위치된다. 하나 또는 그 초과의 유전체 패드들(289)은, 캐소드로부터 링(210)에 커플링되는 전력이 최소화되도록 캐패시턴스(302)를 감소시키기 위해, 에지 링(210)과 정전 척 사이에 갭을 생성한다.
도 2b에서 도시된 것과 같은 다른 실시예에서, 조정가능한 튜닝 링(150)은 수동적으로 이동될 수 있고, 그에 따라, 리프트 핀(218)에 대한 필요성이 제거될 수 있다. 튜닝 링(150)은 튜닝 링(150)에 형성된 접근 오리피스(access orifice) 및 공동(260)을 포함할 수 있다. 접근 오리피스(262)는 조정가능한 튜닝 링(150)의 상단으로부터 형성되어 공동(260) 내로 아래로 연장된다. 접근 오리피스(262)는 공동(260)의 제2 직경(265)보다 더 작은 제1 직경(264)을 갖는다. 공동(260)은 접근 오리피스(262) 아래에 형성된다. 공동(260)은 튜닝 링(150)의 바닥에 이르기 까지 형성된다. 공동(260)은 스크루(266)를 하우징하도록 구성된다. 스크루(266)는, 예컨대, 접근 오리피스(262)를 통해 공동(260) 내로 연장되는 육각 키(hex key)(미도시)를 통해 터닝될 수 있고, 그에 따라, 스크루(266)가 튜닝 링(150)을 상승/하강시킬 수 있게 된다.
도 3은 일 실시예에 따른, 2개의 캐패시턴스들을 도시하는, 도 1의 프로세싱 챔버의 부분의 간략화된 단면도이다. 전력이 캐소드(204)로부터 2개의 경로들을 따라 2개의 캐패시턴스들(302, 304)을 통해 에지 링으로 커플링될 수 있다. 커플링되는 전력의 양은 이들 2개의 경로들을 따라는 캐패시턴스에 따라 좌우된다. 캐패시턴스(302)는 고정된다. 캐패시턴스(304)는 변화될 수 있다. 예컨대, 캐패시턴스(304)는, 조정가능한 튜닝 링(150)을 에지 링(210) 아래에서 수직 방향으로 이동시켜서, 조정가능한 튜닝 링(150)과 에지 링(210) 사이에 형성된 갭(250)을 변형시킴으로써 튜닝될 수 있다. 조정가능한 튜닝 링(150)과 에지 링(210) 사이의 갭(250)을 제어하는 것은 조정가능한 튜닝 링(150)과 에지 링(210) 사이의 캐패시턴스를 제어한다. 수학적인 캐패시턴스는
Figure 112017125970444-pat00001
으로서 표현될 수 있고, 여기에서, ε은 2개의 전극들 사이의 재료의 유전 상수(갭(250)에 대한 경우에 공기에 대해 1임)를 표현하고, ε0는 자유 공간의 유전 상수를 표현하고, 면적은 조정가능한 튜닝 링(150)의 면적을 표현하고, 갭은 갭(250)을 표현한다. 도시된 바와 같이, 갭이 감소됨에 따라,
Figure 112017125970444-pat00002
에 대한 값이 증가되고, 이는 전체 캐패시턴스(C)가 증가되게 한다. 갭이 증가됨에 따라, 즉 조정가능한 튜닝 슬리브가 에지 링(210)으로부터 더 멀리 이동됨에 따라,
Figure 112017125970444-pat00003
에 대한 값이 감소되고, 이는 전체 캐패시턴스(C)를 감소시킨다. 그에 따라, 갭 값을 제어하는 것은 에지 링(210)과 캐소드(204) 사이의 캐패시턴스를 변경한다. 캐패시턴스의 변화는 에지 링(210)과 캐소드(204) 사이에 커플링되는 전력을 변화시키고, 그에 따라, 에지 링(210)에 인가되는 전압을 변화시킨다. 예컨대, 갭(250)의 감소로부터 캐패시턴스가 증가됨에 따라, 에지 링(210)에 인가되는 전압이 증가된다. 에지 링(210)에 인가되는 전압을 제어하는 것은 기판(101) 및 에지 링(210) 주위의 플라즈마 시스의 제어를 허용한다. 그러한 플라즈마 시스의 제어의 효과는 도 4와 함께 아래에서 더 상세히 논의된다.
도 4는 본 개시내용의 다른 이점을 예시하는, 일 실시예에 따른 프로세싱 챔버(100)의 부분을 예시한다. 조정가능한 튜닝 링(150)과 에지 링(210) 사이의 수직 갭(402)을 조정하는 것은 에지 링(210)에 인가되는 전압을 증가/감소시킨다. 전압은 기판 에지(406)에서의 임계 치수 균일성을 보상하기 위하여 기판(101)의 에지(406)에서의 플라즈마 시스(404) 프로파일을 제어하기 위해 사용될 수 있다. 플라즈마 시스(404)는, 플라즈마의 바디를 플라즈마의 재료 경계와 연결하는, 공간 전하에 의해 형성된 강한 전기장들의 얇은 구역이다. 수학적으로, 시스 두께(d)는 칠드-랑뮈에 방정식(Child-Langmuir equation)에 의해 표현된다.
Figure 112017125970444-pat00004
여기에서, i는 이온 전류 밀도이고, ε은 진공의 유전율이고, e는 기본 전하량이고, Vp는 플라즈마 전위이고, VDC는 DC 전압이다.
에칭 반응기의 경우에, 에칭되고 있는 기판(101), 챔버 바디(102), 및 플라즈마와 접촉하는, 프로세싱 챔버(100)의 모든 각각의 다른 부분과 플라즈마 사이에 플라즈마 시스(404)가 형성된다. 플라즈마에서 생성되는 이온들은 플라즈마 시스에서 가속되고, 플라즈마 시스에 수직으로 이동한다. VDC를 제어하는 것, 즉 에지 링(210)에 인가되는 전압을 제어하는 것은 시스(404)의 두께(d)에 영향을 미친다. 예컨대, 캐패시턴스의 감소로 인해 전압이 증가됨에 따라, 시스(404)의 두께가 감소되는데, 이는 Vp - VDC 값이 감소되기 때문이다. 따라서, 조정가능한 튜닝 링(150)을 이동시키는 것은 시스(404)의 형상에 영향을 미치고, 이는 결국 플라즈마 이온들의 방향을 제어한다.
다시 도 1을 참조하면, 조정가능한 튜닝 링의 제어는 제어기(191)에 의해 제어될 수 있다. 제어기(191)는, 메모리(194) 및 대용량 저장 디바이스와 동작가능한 프로그래머블 중앙 프로세싱 유닛(CPU)(192), 입력 제어 유닛, 및 디스플레이 유닛(미도시), 이를테면, 기판 프로세싱의 제어를 용이하게 하기 위해 프로세싱 시스템의 다양한 컴포넌트들에 커플링된, 전력 공급부들, 클록들, 캐시, 입력/출력(I/O) 회로들, 및 라이너를 포함한다.
위에서 설명된 챔버(100)의 제어를 용이하게 하기 위하여, CPU(192)는, 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나, 이를테면 프로그래머블 로직 제어기(PLC)일 수 있다. 메모리(194)는 CPU(192)에 커플링되고, 메모리(194)는 비-일시적이고, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크 드라이브, 하드 디스크, 또는 근거리 또는 원거리의 임의의 다른 형태의 디지털 저장소와 같은 쉽게 이용가능한 메모리 중 하나 또는 그 초과일 수 있다. 지원 회로들(196)은 통상적인 방식으로 프로세서를 지원하기 위해 CPU(192)에 커플링된다. 일반적으로, 대전된 종 생성, 가열, 및 다른 프로세스들이 전형적으로 소프트웨어 루틴으로서 메모리(194)에 저장된다. 소프트웨어 루틴은 또한, CPU(192)에 의해 제어되고 있는 프로세싱 챔버(100)로부터 원격으로 위치된 제2 CPU(미도시)에 의해 저장 및/또는 실행될 수 있다.
메모리(194)는, CPU(192)에 의해 실행되는 경우에 챔버(100)의 동작을 용이하게 하는 명령들을 포함하는 컴퓨터-판독가능 저장 매체의 형태이다. 메모리(194)에서의 명령들은 본 개시내용의 방법을 구현하는 프로그램과 같은 프로그램 제품의 형태이다. 프로그램 코드는 다수의 상이한 프로그래밍 언어들 중 임의의 하나를 준수할 수 있다. 일 예에서, 본 개시내용은 컴퓨터 시스템과 함께 사용하기 위한 컴퓨터-판독가능 저장 매체들 상에 저장된 프로그램 제품으로서 구현될 수 있다. 프로그램 제품의 프로그램(들)은 (본원에서 설명되는 방법들을 포함하는) 실시예들의 기능들을 정의한다. 예시적인 컴퓨터-판독가능 저장 매체들은, (i) 정보가 영구적으로 저장되는 비-기록가능 저장 매체들(예컨대, CD-ROM 드라이브에 의해 판독가능한 CD-ROM 디스크들, 플래시 메모리, ROM 칩들, 또는 임의의 타입의 고체-상태 비-휘발성 반도체 메모리와 같은, 컴퓨터 내의 판독-전용 메모리 디바이스들); 및 (ii) 변경가능한 정보가 저장되는 기록가능 저장 매체들(예컨대, 디스켓 드라이브 내의 플로피 디스크들, 또는 하드-디스크 드라이브, 또는 임의의 타입의 고체-상태 랜덤-액세스 반도체 메모리)을 포함한다(그러나 이에 제한되지는 않는다). 그러한 컴퓨터-판독가능 저장 매체들은, 본원에서 설명되는 방법들의 기능들을 지시하는 컴퓨터-판독가능 명령들을 보유하는 경우에, 본 개시내용의 실시예들이다.
전술한 바가 특정한 실시예들에 관한 것이지만, 다른 및 추가적인 실시예들이 본 발명의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.

Claims (18)

  1. 기판 프로세싱 챔버를 위한 프로세스 키트로서,
    상단 표면 및 바닥 표면을 갖는 제1 링 ― 상기 바닥 표면은 기판 지지 부재에 의해 지지되고, 상기 바닥 표면은 상기 기판 지지 부재에 의해 지지되는 기판 아래로 적어도 부분적으로 연장됨 ―;
    상기 제1 링 아래에 위치된 조정가능한 튜닝 링 ― 상기 조정가능한 튜닝 링은 상단 표면 및 바닥 표면을 갖고, 상기 조정가능한 튜닝 링의 상단 표면과 상기 제1 링은 조정가능한 갭을 정의하고, 상기 조정가능한 튜닝 링은,
    환상 바디(body);
    상기 환상 바디에 형성된 공동; 및
    상기 환상 바디에 형성된 접근 오리피스(access orifice)를 포함하며,
    상기 공동은 상기 환상 바디의 바닥 표면에 형성되고,
    상기 접근 오리피스는 상기 조정가능한 튜닝 링의 상단 표면으로부터 상기 공동 내로 연장됨 ―; 및
    상기 조정가능한 튜닝 링의 바닥 표면과 인터페이싱된(interfaced) 작동 메커니즘을 포함하며,
    상기 작동 메커니즘은 상기 제1 링의 바닥 표면과 상기 조정가능한 튜닝 링의 상단 표면 사이에 정의된 상기 조정가능한 갭을 변경하도록 구성되는,
    프로세스 키트.
  2. 제 1 항에 있어서,
    상기 조정가능한 튜닝 링은 전도성 재료로 형성되는,
    프로세스 키트.
  3. 제 1 항에 있어서,
    상기 조정가능한 갭은 0 mm 내지 4 mm만큼 조정가능한,
    프로세스 키트.
  4. 제 1 항에 있어서,
    상기 작동 메커니즘은,
    제1 단부 및 제2 단부를 갖는 리프트 핀을 포함하며,
    상기 리프트 핀의 제1 단부는 상기 조정가능한 튜닝 링의 바닥 표면과 접촉하고, 상기 리프트 핀의 제2 단부는 리프트 메커니즘과 연통하는,
    프로세스 키트.
  5. 제 1 항에 있어서,
    상기 작동 메커니즘은 상기 공동에 적어도 부분적으로 배치된 스크루(screw)이고, 상기 스크루는 상기 조정가능한 튜닝 링을 작동시키기 위해 상기 접근 오리피스를 통해 회전되도록 구성되는,
    프로세스 키트.
  6. 제 1 항에 있어서,
    상기 공동은 제1 직경을 갖고, 상기 접근 오리피스는 제2 직경을 가지며, 상기 제1 직경은 상기 제2 직경보다 더 큰,
    프로세스 키트.
  7. 제 1 항에 있어서,
    상기 작동 메커니즘은 플라즈마와 에지 링 사이에 형성된 플라즈마 시스(plasma sheath)의 두께를 제어하도록 구성되는,
    프로세스 키트.
  8. 프로세싱 챔버로서,
    기판을 지지하도록 구성된 기판 지지 부재; 및
    상기 기판 지지 부재에 의해 지지된 프로세스 키트를 포함하며,
    상기 프로세스 키트는,
    상단 표면 및 바닥 표면을 갖는 제1 링 ― 상기 바닥 표면은 상기 기판 지지 부재에 의해 지지되고, 상기 바닥 표면은 상기 기판 지지 부재에 의해 지지되는 상기 기판 아래로 적어도 부분적으로 연장됨 ―;
    상기 제1 링 아래에 위치된 조정가능한 튜닝 링 ― 상기 조정가능한 튜닝 링은 상단 표면 및 바닥 표면을 갖고, 상기 조정가능한 튜닝 링의 상단 표면과 상기 제1 링은 조정가능한 갭을 정의하고, 상기 조정가능한 튜닝 링은,
    환상 바디;
    상기 환상 바디에 형성된 공동; 및
    상기 환상 바디에 형성된 접근 오리피스를 포함하며,
    상기 공동은 상기 환상 바디의 바닥 표면에 형성되고,
    상기 접근 오리피스는 상기 조정가능한 튜닝 링의 상단 표면으로부터 상기 공동 내로 연장됨 ―; 및
    상기 조정가능한 튜닝 링의 바닥 표면과 인터페이싱된 작동 메커니즘을 포함하며,
    상기 작동 메커니즘은 상기 제1 링의 바닥 표면과 상기 조정가능한 튜닝 링의 상단 표면 사이에 정의된 상기 조정가능한 갭을 변경하도록 구성되는,
    프로세싱 챔버.
  9. 제 8 항에 있어서,
    상기 조정가능한 튜닝 링은 전도성 재료로 형성되는,
    프로세싱 챔버.
  10. 제 8 항에 있어서,
    상기 조정가능한 갭은 0 mm 내지 4 mm만큼 조정가능한,
    프로세싱 챔버.
  11. 제 8 항에 있어서,
    상기 작동 메커니즘은,
    제1 단부 및 제2 단부를 갖는 리프트 핀을 포함하며,
    상기 리프트 핀의 제1 단부는 상기 조정가능한 튜닝 링의 바닥 표면과 접촉하고, 상기 리프트 핀의 제2 단부는 리프트 메커니즘과 연통하는,
    프로세싱 챔버.
  12. 제 8 항에 있어서,
    상기 작동 메커니즘은 상기 공동에 적어도 부분적으로 배치된 스크루이고, 상기 스크루는 상기 조정가능한 튜닝 링을 작동시키기 위해 상기 접근 오리피스를 통해 회전되도록 구성되는,
    프로세싱 챔버.
  13. 제 8 항에 있어서,
    상기 공동은 제1 직경을 갖고, 상기 접근 오리피스는 제2 직경을 가지며, 상기 제1 직경은 상기 제2 직경보다 더 큰,
    프로세싱 챔버.
  14. 제 8 항에 있어서,
    상기 작동 메커니즘은 플라즈마와 에지 링 사이에 형성되는 플라즈마 시스의 두께를 제어하도록 구성되는,
    프로세싱 챔버.
  15. 제 8 항에 있어서,
    상기 기판 지지 부재는,
    베이스;
    상기 베이스에 의해 지지된 냉각 플레이트; 및
    상기 냉각 플레이트의 상단 표면 상에 위치된 정전 척을 포함하는,
    프로세싱 챔버.
  16. 제 15 항에 있어서,
    상기 조정가능한 튜닝 링은 상기 냉각 플레이트로부터 0 mm 내지 2 mm만큼 이격되는,
    프로세싱 챔버.
  17. 기판을 프로세싱하는 방법으로서,
    기판 프로세싱 챔버에 배치된 기판 지지 부재 상에 상기 기판을 위치시키는 단계;
    상기 기판 위에 플라즈마를 형성하는 단계; 및
    상기 기판의 에지에서의 이온들의 방향을 변화시키기 위해, 조정가능한 튜닝 링을 작동시킴으로써, 상기 조정가능한 튜닝 링과 에지 링 사이의 간격을 조정하는 단계를 포함하고,
    상기 조정가능한 튜닝 링을 작동시키는 것은, 상기 조정가능한 튜닝 링에 형성된 공동에 적어도 부분적으로 배치된 스크루를 회전시키는 것을 포함하는,
    기판을 프로세싱하는 방법.
  18. 제 17 항에 있어서,
    상기 조정가능한 튜닝 링을 작동시키는 것은, 상기 플라즈마와 상기 에지 링 사이에 형성되는 플라즈마 시스의 두께를 변경하는 것을 포함하는,
    기판을 프로세싱하는 방법.
KR1020170173500A 2016-12-16 2017-12-15 에지 균일성 제어를 위한 조정가능한 연장되는 전극 KR102501697B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/382,004 US9947517B1 (en) 2016-12-16 2016-12-16 Adjustable extended electrode for edge uniformity control
US15/382,004 2016-12-16

Publications (2)

Publication Number Publication Date
KR20180070493A KR20180070493A (ko) 2018-06-26
KR102501697B1 true KR102501697B1 (ko) 2023-02-17

Family

ID=61873155

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170173500A KR102501697B1 (ko) 2016-12-16 2017-12-15 에지 균일성 제어를 위한 조정가능한 연장되는 전극
KR2020170006506U KR200497481Y1 (ko) 2016-12-16 2017-12-15 에지 균일성 제어를 위한 조정가능한 연장되는 전극

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR2020170006506U KR200497481Y1 (ko) 2016-12-16 2017-12-15 에지 균일성 제어를 위한 조정가능한 연장되는 전극

Country Status (5)

Country Link
US (3) US9947517B1 (ko)
JP (1) JP7021914B2 (ko)
KR (2) KR102501697B1 (ko)
CN (2) CN207977299U (ko)
TW (1) TWI730202B (ko)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
CN116633324A (zh) 2013-11-14 2023-08-22 鹰港科技有限公司 高压纳秒脉冲发生器
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10679827B2 (en) * 2017-01-25 2020-06-09 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber isolation for reduced particles and improved uniformity
US10553404B2 (en) * 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
CN115378264A (zh) 2017-02-07 2022-11-22 鹰港科技有限公司 变压器谐振转换器
JP6902167B2 (ja) 2017-08-25 2021-07-14 イーグル ハーバー テクノロジーズ, インク.Eagle Harbor Technologies, Inc. ナノ秒パルスを使用する任意波形の発生
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
KR20200086375A (ko) * 2017-12-05 2020-07-16 램 리써치 코포레이션 에지 링 마모 보상 (wear compensation) 을 위한 시스템 및 방법
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10957521B2 (en) 2018-05-29 2021-03-23 Lam Research Corporation Image based plasma sheath profile detection on plasma processing tools
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
KR20230025034A (ko) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
CN111383893B (zh) * 2018-12-29 2023-03-24 中微半导体设备(上海)股份有限公司 一种等离子体处理器及等离子体控制方法
JP7320608B2 (ja) 2019-01-08 2023-08-03 イーグル ハーバー テクノロジーズ,インク. ナノ秒パルサー回路での効率的なエネルギー回収
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
US11894255B2 (en) * 2019-07-30 2024-02-06 Applied Materials, Inc. Sheath and temperature control of process kit
JP7438698B2 (ja) * 2019-09-12 2024-02-27 エドワーズ株式会社 真空ポンプ、及び、真空ポンプシステム
CN112701027A (zh) * 2019-10-22 2021-04-23 夏泰鑫半导体(青岛)有限公司 等离子体处理装置及边缘环的更换方法
US11424096B2 (en) * 2019-11-05 2022-08-23 Applied Materials, Inc. Temperature controlled secondary electrode for ion control at substrate edge
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
KR20230150396A (ko) 2019-12-24 2023-10-30 이글 하버 테크놀로지스, 인코포레이티드 플라즈마 시스템을 위한 나노초 펄서 rf 절연
KR102175990B1 (ko) * 2020-01-09 2020-11-09 하나머티리얼즈(주) 포커스링 및 그를 포함하는 플라즈마 장치
JP7330115B2 (ja) 2020-02-07 2023-08-21 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
WO2022259793A1 (ja) * 2021-06-08 2022-12-15 東京エレクトロン株式会社 プラズマ処理装置
KR102585290B1 (ko) * 2021-06-14 2023-10-10 하나머티리얼즈(주) 포커스 링 및 그를 포함하는 플라즈마 장치

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
JP2002176030A (ja) 2000-12-07 2002-06-21 Semiconductor Leading Edge Technologies Inc プラズマエッチング装置、及びプラズマエッチング方法
JP2008244274A (ja) 2007-03-28 2008-10-09 Tokyo Electron Ltd プラズマ処理装置
JP2009044075A (ja) * 2007-08-10 2009-02-26 Toshiba Corp プラズマ処理装置およびプラズマエッチング方法
JP2012064671A (ja) * 2010-09-14 2012-03-29 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにこれを実施するためのプログラムを記憶する記憶媒体

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100188454B1 (ko) * 1991-05-28 1999-06-01 이노우에 아키라 기판 처리 장치
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5851140A (en) 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
JP4297609B2 (ja) 1997-12-23 2009-07-15 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト 保持装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6709547B1 (en) 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3969081B2 (ja) * 2001-12-14 2007-08-29 東京エレクトロン株式会社 プラズマ処理装置
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6896765B2 (en) * 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US20050263070A1 (en) * 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
KR101153118B1 (ko) 2005-10-12 2012-06-07 파나소닉 주식회사 플라즈마 처리장치 및 플라즈마 처리방법
KR100993466B1 (ko) 2006-01-31 2010-11-09 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 플라즈마에 노출되는 부재
US7589950B2 (en) * 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US20080289766A1 (en) 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
US8563619B2 (en) * 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
JP5294669B2 (ja) * 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
KR102025330B1 (ko) * 2008-04-16 2019-09-25 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 프로세싱 증착 차폐 컴포넌트들
US8441640B2 (en) * 2008-05-02 2013-05-14 Applied Materials, Inc. Non-contact substrate support position sensing system and corresponding adjustments
JP5406067B2 (ja) 2009-02-16 2014-02-05 キヤノンアネルバ株式会社 トレイ及び真空処理装置
JP5591573B2 (ja) * 2009-03-30 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR101723253B1 (ko) * 2009-08-31 2017-04-04 램 리써치 코포레이션 국부 플라즈마 한정 및 압력 제어 장치 및 방법
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
JP5759718B2 (ja) * 2010-12-27 2015-08-05 東京エレクトロン株式会社 プラズマ処理装置
KR101896491B1 (ko) 2011-03-29 2018-09-07 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 장치 및 플라즈마 에칭 방법
US9287093B2 (en) 2011-05-31 2016-03-15 Applied Materials, Inc. Dynamic ion radical sieve and ion radical aperture for an inductively coupled plasma (ICP) reactor
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US10825708B2 (en) 2011-12-15 2020-11-03 Applied Materials, Inc. Process kit components for use with an extended and independent RF powered cathode substrate for extreme edge tunability
KR101974422B1 (ko) * 2012-06-27 2019-05-02 세메스 주식회사 기판처리장치 및 방법
US9997381B2 (en) * 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US20150001180A1 (en) * 2013-06-28 2015-01-01 Applied Materials, Inc. Process kit for edge critical dimension uniformity control
US9017526B2 (en) * 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
WO2015031023A1 (en) 2013-08-30 2015-03-05 Applied Materials, Inc. Substrate support system
JP2015050156A (ja) * 2013-09-04 2015-03-16 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US9410249B2 (en) 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
CN107112275B (zh) * 2014-12-19 2020-10-30 应用材料公司 用于基板处理腔室的边缘环
CN105789010B (zh) 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
JP6456177B2 (ja) 2015-02-12 2019-01-23 株式会社ディスコ ウェーハ処理システム
JP2016225047A (ja) * 2015-05-27 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10985078B2 (en) 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
CN108369922B (zh) * 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US20170278679A1 (en) * 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US20180061696A1 (en) 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
JP2018054500A (ja) 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
JP6656200B2 (ja) 2017-04-12 2020-03-04 東京エレクトロン株式会社 位置検出システム及び処理装置
KR102535916B1 (ko) 2017-04-26 2023-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
JP7326275B2 (ja) * 2017-12-01 2023-08-15 アプライド マテリアルズ インコーポレイテッド エッチング選択性の高いアモルファスカーボン膜

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
JP2002176030A (ja) 2000-12-07 2002-06-21 Semiconductor Leading Edge Technologies Inc プラズマエッチング装置、及びプラズマエッチング方法
JP2008244274A (ja) 2007-03-28 2008-10-09 Tokyo Electron Ltd プラズマ処理装置
JP2009044075A (ja) * 2007-08-10 2009-02-26 Toshiba Corp プラズマ処理装置およびプラズマエッチング方法
JP2012064671A (ja) * 2010-09-14 2012-03-29 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにこれを実施するためのプログラムを記憶する記憶媒体

Also Published As

Publication number Publication date
TW201838062A (zh) 2018-10-16
US10103010B2 (en) 2018-10-16
KR20180001913U (ko) 2018-06-26
US9947517B1 (en) 2018-04-17
KR20180070493A (ko) 2018-06-26
CN207977299U (zh) 2018-10-16
US10504702B2 (en) 2019-12-10
TWI730202B (zh) 2021-06-11
JP7021914B2 (ja) 2022-02-17
US20180315583A1 (en) 2018-11-01
CN108206148A (zh) 2018-06-26
US20180233334A1 (en) 2018-08-16
JP2018098187A (ja) 2018-06-21
KR200497481Y1 (ko) 2023-11-22

Similar Documents

Publication Publication Date Title
KR102501697B1 (ko) 에지 균일성 제어를 위한 조정가능한 연장되는 전극
KR102498895B1 (ko) 에지 균일성 제어를 위한 조정가능한 연장되는 전극
US11728143B2 (en) Process kit with adjustable tuning ring for edge uniformity control
TWI835177B (zh) 用於基板處理腔室的製程套件以及處理腔室
CN113471047A (zh) 边缘环及等离子体处理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant