JP2002313898A - 基板載置台およびその製造方法ならびに処理装置 - Google Patents

基板載置台およびその製造方法ならびに処理装置

Info

Publication number
JP2002313898A
JP2002313898A JP2001393918A JP2001393918A JP2002313898A JP 2002313898 A JP2002313898 A JP 2002313898A JP 2001393918 A JP2001393918 A JP 2001393918A JP 2001393918 A JP2001393918 A JP 2001393918A JP 2002313898 A JP2002313898 A JP 2002313898A
Authority
JP
Japan
Prior art keywords
substrate
dielectric material
material film
mounting table
substrate mounting
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001393918A
Other languages
English (en)
Other versions
JP2002313898A5 (ja
JP3626933B2 (ja
Inventor
Joichi Shioda
穣一 潮田
Koichi Sato
孝一 佐藤
Tsutomu Satoyoshi
務 里吉
Hiromichi Ito
博道 伊藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2001393918A priority Critical patent/JP3626933B2/ja
Priority to US10/067,506 priority patent/US20020134511A1/en
Priority to KR1020020007113A priority patent/KR20020066198A/ko
Priority to TW091102269A priority patent/TW548691B/zh
Publication of JP2002313898A publication Critical patent/JP2002313898A/ja
Publication of JP2002313898A5 publication Critical patent/JP2002313898A5/ja
Priority to US11/032,138 priority patent/US20050120962A1/en
Application granted granted Critical
Publication of JP3626933B2 publication Critical patent/JP3626933B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Nonlinear Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 基板載置台の表面に付着物が蓄積することに
よって生じるエッチングむら等の処理むらを防止し、基
板が基板載置台に吸着されてしまうこと等を防止する。 【解決手段】 プラズマ処理装置1は、基板Gを収容す
るチャンバー2と、前記チャンバー2内に設けられ、基
板Gが載置されるサセプタ4と、前記チャンバー2内に
処理ガスを供給するシャワーヘッド11と、前記チャン
バー2内に前記処理ガスのプラズマを生成するプラズマ
生成手段25とを具備する。サセプタ4は、基材4a上
に、誘電性材料膜6を形成し、その上に複数の開口を有
する開口板66を介して溶射によりセラミックスからな
る複数の凸部7を形成する。

Description

【発明の詳細な説明】
【0001】
【発明が属する技術分野】本発明は、液晶表示装置(L
CD)用のガラス基板等の基板を載置する基板載置台お
よびその製造方法、さらには基板載置台を使用して基板
に対してドライエッチング等の処理を施す処理装置に関
する。
【0002】
【従来の技術】例えば、LCD製造プロセスにおいて
は、被処理基板であるガラス製のLCD基板に対して、
ドライエッチングやスパッタリング、CVD(化学気相
成長)等のプラズマ処理が多用されている。
【0003】このようなプラズマ処理においては、例え
ば、チャンバー内に一対の平行平板電極(上部および下
部電極)を配置し、下部電極として機能するサセプタ
(載置台)に被処理基板を載置し、処理ガスをチャンバ
ー内に導入するとともに、電極の少なくとも一方に高周
波を印加して電極間に高周波電界を形成し、この高周波
電界により処理ガスのプラズマを形成して被処理基板に
対してプラズマ処理を施す。この際、被処理基板はサセ
プタ表面に面接触するようになっている。
【0004】ところが、サセプタの表面は、実際には緩
曲面となっているため、基板とサセプタとの間には部分
的に微少な隙間ができている。一方、プラズマ処理を繰
り返し行うことによりサセプタ上に付着物が蓄積する。
この際、図8に示すように、付着物47は被処理基板G
とサセプタ50との隙間を埋めるように蓄積する。この
ため、被処理基板G裏面にサセプタ50が接触する部分
と付着物47が接触する部分とができて、これらの部分
間で熱伝導性や導電性が異なってしまい、被処理基板G
にエッチングむら(被処理基板Gにおいてエッチングレ
ートの高い部分と低い部分とが混在することをいう)が
生じることがある。また、このような付着物47の存在
によりサセプタ50に載置された被処理基板Gがサセプ
タ50に吸着されてしまうこともある。
【0005】そのため、たとえば、特開昭59−172
237号公報に開示されたプラズマ処理装置において
は、サセプタ(試料ステージ)に複数のたとえば円錐状
の突起部を設けている。しかし、この公報の第2図によ
れば、ステージ22と突起物23は一体物になってい
る。金属の機械加工により、このような均一な突起物を
作成することは、技術的に困難であり、コスト・時間も
かかる。
【0006】また、特開昭60−261377号公報に
開示された静電チャックおよびその製造方法において
は、静電電極を覆う焼成セラミック絶縁層の表面に凸状
パターンが形成されている。
【0007】また、特開平8−70034号公報に開示
された静電力低減のためのパターン付きサセプタにおい
ては、サセプタ表面にフォトエッチングにより凹凸パタ
ーンを形成して、静電力(固着力)を低減し、プラズマ
処理後にサセプタからウエハを容易に分離できるように
している。
【0008】また、特開平10−340896号公報に
開示されたプラズマCVD装置用サセプタおよびその製
造方法においては、アルミニウム又はアルミニウム合金
製のサセプタの表面をショットブラスト処理して凹凸部
を形成し、さらに化学研磨、電解研磨、又はバフ研磨に
よって凸部の急峻な突起部を除去している。
【0009】しかし、これら従来技術はいずれも凸部の
頂上は平らであるため、プラズマ処理によって発生した
埃が堆積しやすいという欠点がある。
【0010】
【発明が解決しようとする課題】そこで、本発明は、基
板載置台の表面に付着物が蓄積することによって生じる
エッチングむら等の処理むらを防止し、基板が基板載置
台に吸着されてしまうこと等の不都合が生じ難い基板載
置台およびその製造方法、ならびにそのサセプタを使用
した処理装置を提供することを課題としている。
【0011】
【課題を解決するための手段】上記の課題を解決するた
め、本発明の第1の観点では、基材表面に誘電性材料膜
を形成する工程と、前記誘電性材料膜の上に、複数の開
口を有する開口板を載置し、前記開口板を介してセラミ
ックスを溶射してセラミックスからなる複数の凸部を形
成する工程とを含むことを特徴とする基板載置台の製造
方法を提供する。
【0012】本発明の第2の観点では、基材上に第1の
誘電性材料膜を形成する工程と、前記第1の誘電性材料
膜上に導電層を形成する工程と、前記導電層上に第2の
誘電性材料膜を形成する工程と、前記第2の誘電性材料
膜上に、複数の開口を有する開口板を載置し、前記開口
板を介してセラミックスを溶射してセラミックスからな
る複数の凸部を形成する工程とを含むことを特徴とする
基板載置台の製造方法を提供する。
【0013】本発明の第3の観点では、基材と、前記基
材上に形成された誘電性材料膜と、前記誘電性材料膜の
上に形成されたセラミックスからなる複数の凸部とを備
えた基板載置台であって、前記凸部は溶射により形成さ
れたものであることを特徴とする基板載置台を提供す
る。
【0014】本発明の第4の観点では、基材と、前記基
材上に形成された第1の誘電性材料膜と、前記第1の誘
電性材料膜上に形成された導電層と、前記導電層上に形
成された第2の誘電性材料膜と、前記第2の誘電性材料
膜上に形成されたセラミックスからなる複数の凸部とを
備えた基板載置台であって、前記凸部は溶射により形成
されたものであることを特徴とする基板載置台を提供す
る。
【0015】本発明の第5の観点では、基板を収容する
処理室と、前記処理室内に設けられ、前記基板が載置さ
れる基板載置台と、前記処理室内に処理ガスを供給する
ガス供給手段と、前記処理室内を排気する排気手段とを
具備し、前記基板載置台が上記第3の観点または第4の
観点の構成を有するものであることを特徴とする処理装
置を提供する。
【0016】以上のような構成によれば、誘電性材料膜
の上に、セラミックスを溶射して凸部を形成するので、
基板載置台において、セラミックスからなる複数の凸部
を容易にかつ一様に分布させることができ、これら凸部
がスペーサーの役割をはたし、基板載置台上に付着物が
蓄積しても付着物が被処理基板に接触し難くなる。した
がって、被処理基板裏面に基板載置台が接触する部分と
付着物が接触する部分とができることに起因したエッチ
ングむらや、被処理基板が基板載置台に吸着されること
等の不都合が生じることを防止することができる。
【0017】この場合に、上記第1の観点における基
材、第2の観点における導電層を静電電極として機能さ
せることにより静電チャックを有する基板載置台が得ら
れる。
【0018】また、本発明の第6の観点によれば、基板
を収容する処理室と、前記処理室内に設けられ、前記基
板が載置される基板載置台と、前記処理室内に処理ガス
を供給するガス供給手段と、前記処理室内を排気する排
気手段とを具備し、前記基板載置台は、基材と、前記基
材上に形成された複数の凸部とを備え、基板載置台は矩
形であり、前記複数の凸部は直交格子を構成し、前記直
交格子の一つの軸が前記矩形の一つの辺となす角度が0
°を超え45°以下であることを特徴とする処理装置を
提供する。
【0019】さらに、本発明の第7の観点によれば、基
板を収容する処理室と、前記処理室内に設けられ、前記
基板が載置される基板載置台と、前記処理室内に処理ガ
スを供給するガス供給手段と、前記処理室内を排気する
排気手段とを具備し、前記基板載置台は、基材と、前記
基材上に形成された複数の凸部とを備え、基板載置台は
矩形であり、前記複数の凸部は不規則に配置されている
ことを特徴とする処理装置を提供する。
【0020】これら第6および第7の観点に示す構成に
よれば、基板に形成された回路パターンと凸部の配列パ
ターンとを重ならないようにすることができ、エッチン
グむら等の処理むらを回避することができる。
【0021】上記いずれの構成においても、前記凸部
は、その上部において被処理基板と点接触することが好
ましい。このようにすることで、付着物による悪影響を
より小さくすることができる。また、前記凸部の上部
は、曲面のみからなることが好ましい。これにより凸部
に突起(尖った箇所)が存在しない状態になるので、突
起が削れてパーティクルの原因となることがない。
【0022】
【発明の実施の形態】以下、添付図面を参照して本発明
の実施の形態について説明する。図1は、本発明の一実
施形態に係る基板載置台としてのサセプタが設けられた
処理装置の一例であるプラズマエッチング装置を示す断
面図である。図1に示すように、本発明の一実施形態の
基板載置台であるサセプタ4は、基材4aと、基材4a
の上に設けられた誘電性材料膜6と、誘電性材料膜6の
上に設けられた凸部7とを有する。
【0023】凸部7は、誘電体材料膜6の上の基板G載
置領域に一様に分布して形成されており、基板Gはこの
凸部7上に載置されるようになっている。これにより凸
部7はサセプタ4と基板Gとの間を離隔するスペーサー
として機能し、サセプタ4上に付着した付着物が基板G
に悪影響を及ぼすことが防止される。この凸部7は、そ
の高さが50〜100μmであることが好ましい。サセ
プタ4上に付着する付着物の量を考慮すると、凸部7の
高さを50μm以上とすることで付着物が基板Gに悪影
響を及ぼすことを十分に防止することができるからであ
る。一方、高さが100μmを超えると凸部7の強度が
低下したり、基板Gのエッチングレートが低下するとい
った問題や、後述するように凸部7を溶射で形成する場
合に溶射時間が長くなるという不都合もある。また、凸
部7の径は0.5〜1mmであることが好ましく、その
間隔は0.5〜30mmとすること、さらには5〜10
mmとすることが好ましい。配列パターンには特に制限
はなく、例えば千鳥格子配列であってもよい。
【0024】凸部7は、少なくともその上部を曲面形状
や半球状に形成して、基板Gと点接触させることが好ま
しい。これにより、凸部7と基板Gとの接触部分に付着
物が極めて付着し難くすることができる。一方、凸部7
の形状を円柱または角柱とした場合には、上面が平面で
あり、この上面に付着物が付着しやすくなる欠点があ
る。
【0025】凸部7は一般的に耐久性および耐食性が高
い材料として知られているセラミックスで構成されてい
る。凸部7を構成するセラミックスは特に限定されるも
のではなく、典型的にはAl、Zr、Si
等の絶縁材料を挙げることができるが、SiCの
ようにある程度導電性を有するものであってもよい。凸
部7は溶射により形成される。
【0026】誘電性材料膜6は、誘電性材料からなって
いればその材料は問わず、また高絶縁性材料のみならず
電荷の移動を許容する程度の導電性を有するものを含
む。このような誘電性材料膜6は、耐久性および耐食性
の観点からセラミックスで構成することが好ましい。こ
の際のセラミックスは特に限定されるものではなく、凸
部7の場合と同様、典型的にはAl、Zr
、Si等の絶縁材料を挙げることができる
が、SiCのようにある程度導電性を有するものであっ
てもよい。このような誘電体材料膜6は溶射により形成
してもよい。また、溶射した後、研磨用によって表面を
平滑化してもよい。
【0027】基材4aは、誘電体材料膜6を支持するも
のであり、例えばアルミニウム等の金属やカーボンのよ
うな導電体で構成されている。
【0028】次に、誘電性材料膜6上に凸部7を溶射に
よって形成する方法について説明する。凸部7は機械加
工やエッチング等の他の方法でも形成することも考えら
れるが、この場合には技術的、コスト的に問題がある。
そこで、本実施形態では以下のような方法を採用する。
【0029】図2に示すように、まず、複数の円形開口
を有する開口板66を誘電性材料膜6上に非接触に位置
させる。そのためには、中間部材65を誘電性材料膜6
上に載せ、さらにその上に開口板66を載せる。すなわ
ち、開口板66と誘電性材料膜6との間に中間部材65
を置き、開口板66を浮かせる。中間部材65の材料は
金属または耐熱性の樹脂等が好適である。また、接着層
付耐熱性樹脂シートであれば誘電性材料膜6に接着する
ことができて好都合である。中間部材65は、開口板6
6の開口部以外の面積より小さい面積を有するとともに
開口板66の開口に対応する部分に存在しない。開口板
66は、たとえば、板厚0.3mm程度の金属板、具体
的にはステンレス板を使用する。この開口板66を介し
て溶射し、開口に対応する部分に凸部7を形成する。こ
れにより、比較的容易に凸部7を形成することができ
る。また、このように複数の開口を有するマスク部材を
介して溶射することにより、凸部7の上部の形状を曲面
形状にすることができる。これは、溶射の際に開口の周
辺部が障壁となりセラミックスの拡散が妨げられるため
と考えられる。
【0030】このようにすることにより、溶射によって
形成される凸部を所望の形状に制御することができる。
溶射後は、開口板66および中間部材65は取りはず
す。
【0031】また、凸部7をセラミックスの溶射で形成
する際に、気孔が形成される場合があるが、その場合に
は凸部7を形成した後に封孔処理を施す。誘電性材料膜
6を溶射により形成する際も同様である。
【0032】また、誘電性材料膜6の材質と凸部7の材
質が同一であれば、両者は強固に結合するので好適であ
る。しかし、処理中の温度範囲で両者の結合が十分であ
れば、両者の材質は異なっていてもよい。なお、凸部7
および誘電性材料膜6を同一の材料で構成する場合に
は、これらを溶射により連続して形成することができ
る。
【0033】また、基材4aと誘電性材料膜6の間に層
5が設けられている。層5は、熱膨張係数が基材4aと
誘電性材料膜6との中間の値を示す材料からなり、基材
4aと誘電性材料膜6との熱膨張差を緩和する機能を有
している。また、基材4aと誘電性材料膜6との接合を
強化するために設けてもよい。なお、層5は必須なもの
ではなく、サセプタ4のサイズが小さい場合や温度の変
化量が小さい場合や基材4aと誘電性材料膜6との接合
が強固な場合には層5を省いてもよい。また、層5は1
つに限らず2つ以上設けてもよい。
【0034】この層5は、基材4aをアルミニウムで構
成し、誘電性材料膜6をセラミックスで構成する場合に
は、例えばニッケルおよびアルミニウムの合金で構成す
ることができる。なお、層5の形成方法は問わない。
【0035】サセプタ4においては、エッチングプロセ
スを繰り返すことにより、図3に示すように、基材4a
上に形成された誘電性材料膜6の表面には基板Gからエ
ッチングされた物質等の付着物47が蓄積するが、本実
施形態においては、凸部7がスペーサーの役割をはた
し、サセプタ4上に付着物が蓄積しても付着物が基板G
に接触し難く、これにより基板Gにサセプタ4と接触す
る部分および付着物47と接触する部分ができてエッチ
ングむらが生じたり、基板Gがサセプタ4に吸着される
といった不都合が防止される。
【0036】次に、再び図1を参照して、上述した構成
のサセプタ4を用いた本発明の処理装置について説明す
る。この処理装置1は、LCDガラス基板の所定の処理
を行う装置の断面図であり、容量結合型平行平板プラズ
マエッチング装置を例として構成されている。ただし、
本発明の処理装置はプラズマエッチング装置にのみ限定
されるものではない。
【0037】このプラズマエッチング装置1は、例えば
表面がアルマイト処理(陽極酸化処理)されたアルミニ
ウムからなる角筒形状に成形されたチャンバー2を有し
ている。このチャンバー2内の底部には絶縁材からなる
角柱状の絶縁板3が設けられており、さらにこの絶縁板
3の上には、被処理基板であるLCDガラス基板Gを載
置するための前述したサセプタ4が設けられている。ま
た、サセプタ4の基材4aの外周および上面の層5およ
び誘電性材料膜6が設けられていない周縁には、絶縁部
材8が設けられている。
【0038】サセプタ4には、高周波電力を供給するた
めの給電線23が接続されており、この給電線23には
整合器24および高周波電源25が接続されている。高
周波電源25からは例えば13.56MHzの高周波電
力がサセプタ4に供給される。
【0039】前記サセプタ4の上方には、このサセプタ
4と平行に対向して上部電極として機能するシャワーヘ
ッド11が設けられている。シャワーヘッド11はチャ
ンバー2の上部に支持されており、内部に内部空間12
を有するとともに、サセプタ4との対向面に処理ガスを
吐出する複数の吐出孔13が形成されている。このシャ
ワーヘッド11は接地されており、サセプタ4とともに
一対の平行平板電極を構成している。
【0040】シャワーヘッド11の上面にはガス導入口
14が設けられ、このガス導入口14には、処理ガス供
給管15が接続されており、この処理ガス供給管15に
は、バルブ16、およびマスフローコントローラ17を
介して、処理ガス供給源18が接続されている。処理ガ
ス供給源18からは、エッチングのための処理ガスが供
給される。処理ガスとしては、ハロゲン系のガス、O
ガス、Arガス等、通常この分野で用いられるガスを用
いることができる。
【0041】前記チャンバー2の側壁底部には排気管1
9が接続されており、この排気管19には排気装置20
が接続されている。排気装置20はターボ分子ポンプな
どの真空ポンプを備えており、これによりチャンバー2
内を所定の減圧雰囲気まで真空引き可能なように構成さ
れている。また、チャンバー2の側壁には基板搬入出口
21と、この基板搬入出口21を開閉するゲートバルブ
22とが設けられており、このゲートバルブ22を開に
した状態で基板Gが隣接するロードロック室(図示せ
ず)との間で搬送されるようになっている。
【0042】次に、このように構成されるプラズマエッ
チング装置1における処理動作について説明する。ま
ず、被処理体である基板Gは、ゲートバルブ22が開放
された後、図示しないロードロック室から基板搬入出口
21を介してチャンバー2内へと搬入され、サセプタ4
上に形成された誘電性材料膜6の凸部7上に載置され
る。この場合に、基板Gの受け渡しはサセプタ4の内部
を挿通しサセプタ4から突出可能に設けられたリフター
ピン(図示せず)を介して行われる。その後、ゲートバ
ルブ22が閉じられ、排気装置20によって、チャンバ
ー2内が所定の真空度まで真空引きされる。
【0043】その後、バルブ16が開放されて、処理ガ
ス供給源18から処理ガスがマスフローコントローラ1
7によってその流量が調整されつつ、処理ガス供給管1
5、ガス導入口14を通ってシャワーヘッド11の内部
空間12へ導入され、さらに吐出孔13を通って基板G
に対して均一に吐出され、チャンバー2内の圧力が所定
の値に維持される。
【0044】この状態で高周波電源25から整合器24
を介して高周波電力がサセプタ4に印加され、これによ
り、下部電極としてのサセプタ4と上部電極としてのシ
ャワーヘッド11との間に高周波電界が生じ、処理ガス
が解離してプラズマ化し、これにより基板Gにエッチン
グ処理が施される。
【0045】このようにしてエッチング処理を施した
後、高周波電源25からの高周波電力の印加を停止し、
チャンバー2内の圧力が所定の圧力まで昇圧され、ゲー
トバルブ22が開放され、基板Gが基板搬入出口21を
介してチャンバー2内から図示しないロードロック室へ
搬出されることにより基板Gのエッチング処理は終了す
る。
【0046】上述したサセプタ(基板載置台)4には静
電チャックを設けてもよい。この場合には、図4に示す
ように、サセプタの基材4a上に第1の誘電性材料膜3
1、静電電極層として機能する導電層32、第2の誘電
性材料膜6′、凸部7′をこの順に積層してサセプタ
4′を構成すればよい。
【0047】静電チャック部の第1の誘電性材料膜3
1、導電層32、第2の誘電性材料膜6′を形成する方
法は問わないが、すべて溶射によって形成してもよい。
また、一部または全部の層を研磨等により平滑化しても
よい。
【0048】凸部7′は上述の凸部7と同様、セラミッ
クスで構成されており、そのセラミックスは特に限定さ
れるものではなく、典型的にはAl、Zr
、Si等の絶縁材料を挙げることができる
が、SiCのようにある程度導電性を有するものであっ
てもよい。第1の誘電性材料膜31と第2の誘電性材料
膜6′は、上記誘電性材料膜6と同様、誘電性材料から
なっていればその材料は問わず、また高絶縁材料のみな
らず電荷の移動を許容する程度の導電性を有するものを
含み、耐久性および耐食性の観点からセラミックスで構
成することが好ましい。この際のセラミックスは特に限
定されるものではなく、典型的にはAl、Zr
、Si等の絶縁材料を挙げることができる
が、SiCのようにある程度導電性を有するものであっ
てもよい。また、第1の誘電性材料膜31と第2の誘電
性材料膜6′は同じ材質であってもよい。また、基材4
aと第1の誘電性材料膜31との間や第2の誘電性材料
膜6′と凸部7′との間に1以上の中間層を設けること
もできる。この中間層の機能は、前記層5と同様であ
る。
【0049】凸部7′は第2の誘電性材料膜6′の基板
G載置領域に一様に分布しており、基板Gはこの凸部
7′上に吸着されるようになっている。この第2の誘電
性材料膜6′と凸部7′の形状およびその形成方法は、
上記誘電性材料膜6および凸部7について既に説明した
ものと同様である。なお、このような構造をとらなくて
も、図1に示すサセプタ4の基材4aを静電チャックの
静電電極とすることにより静電チャックとして機能させ
ることができる。
【0050】このように、静電チャックにより基板Gを
静電吸着するとともに、温調しながら、基板Gの処理、
例えばエッチング処理を行う。そしてエッチング処理を
繰り返すことにより、静電チャック上に形成された誘電
性材料膜6表面に付着物が蓄積するが、本実施形態にお
いても、凸部7′がスペーサーの役割をはたすため、付
着物が基板Gに接触し難い。したがって、基板Gにサセ
プタと接触する部分および付着物と接触する部分ができ
てエッチングむらが生じたり、静電チャックによる静電
吸着を解除した後も基板Gがサセプタに固着されるとい
った不都合が防止される。
【0051】次に、他の実施形態について説明する。図
5の(a)、(b)に示すサセプタ100は、 基材4
aと、前記基材4a上に形成した層5と、前記層5上に
形成した誘電性材料膜6と、誘電性材料膜6上の凸部7
とを備えており、基材4aを貫通して、基材4aの表面
の周縁部に吹出口を有する複数の伝熱媒体流路99が形
成されている。これによって、凸部間の空間に熱伝導媒
体たとえばヘリウムガスを充満させて基板を一様に冷却
することができ、基板の温度を一様にすることができる
ので、エッチング等のプラズマ処理も基板前面にわたっ
て一様となる。また、エッジ付近に台部101が設けら
れており、この台部101によって、熱伝導媒体がサセ
プタ以外の領域に拡散することを抑制することができ
る。この台部101の表面の高さは、前記凸部7の高さ
以上である。
【0052】図6の(a)、(b)に示すサセプタ10
0′は、台部101に溝部102を設け、この溝部10
2に伝熱媒体流路99の吹出口が設けられているもので
ある。この溝部102によっても、熱伝導媒体がサセプ
タ以外の領域に拡散することを抑制することができる。
【0053】なお、図5および図6のサセプタにおいて
も、上述したように静電チャックを設けることができ
る。
【0054】図7に示すサセプタ100″は、図示する
ように平面形状が矩形状であり、複数の凸部7は直交格
子を構成し、前記直交格子のひとつの軸Yが前記矩形の
ひとつの辺Xとなす角度θが0°を超え45°以下とし
てある。ここにいう直交格子とは、単位格子(基本格
子)が矩形であるような格子である。ガラス基板等の矩
形の基板には、半導体回路パターンが露光され、エッチ
ングによってその半導体回路パターン等が現像される。
この半導体回路パターン等においては、矩形の各辺に平
行にソースライン、ゲートラインその他が配列されてい
るため、サセプタの各凸部が特定のパターンと重なる
と、その凸部で基板との接触の異常のため、熱伝導や電
界が変動し、エッチングむらを生じる虞がある。このサ
セプタ100″は、このようなエッチングむらを抑制す
るためのものである。また、このようにエッチングむら
を抑制する観点からは、このような直交格子ではなく、
凸部7が不規則に配置されているものであってもよい。
このようなエッチングむらを抑制するサセプタに図5ま
たは図6の構成を採用することもできる。
【0055】以上のヘリウムガス等の伝熱媒体を吹き出
すための伝熱媒体流路99を有する図5から図7のサセ
プタを備えた処理装置は、基材4aを貫通してヘリウム
等の伝熱媒体流路99は例えばヘリウム源に接続されて
いる以外の点は図1に示した処理装置と同様である。
【0056】なお、本発明は以上説明した実施形態に限
定されるものではない。例えば、本発明の処理装置につ
いては、下部電極に高周波電力を印加するRIEタイプ
の容量結合型平行平板プラズマエッチング装置を例示し
て説明したが、エッチング装置に限らず、アッシング、
CVD成膜等の他のプラズマ処理装置に適用することが
できるし、上部電極に高周波電力を供給するタイプであ
っても、また容量結合型に限らず誘導結合型であっても
よい。また、被処理基板はLCDガラス基板Gに限られ
ず半導体ウエハであってもよい。
【0057】
【発明の効果】以上説明したように、本発明によれば、
誘電性材料膜の上に、複数の開口を有する開口板を載置
し、開口板を介してセラミックスを溶射して凸部を形成
するので、基板載置台において、セラミックスからなる
複数の凸部を容易にかつ一様に分布させることができ、
これら凸部がスペーサーの役割をはたし、前記載置台上
に付着物が蓄積しても付着物が被処理基板に接触し難く
なる。したがって、被処理基板裏面に前記載置台が接触
する部分と付着物が接触する部分とができることに起因
したエッチングむらや、被処理基板が前記載置台に吸着
されること等の不都合が生じることを防止することがで
きる。
【0058】また、基板載置台を矩形とし、複数の凸部
を直交格子を構成し、直交格子の一つの軸が前記矩形の
一つの辺となす角度が0°を超え45°以下であるよう
に構成する、あるいは不規則に配列するので、基板に形
成された回路パターンと凸部の配列パターンとを重なら
ないようにすることができ、エッチングむら等の処理む
らを回避することができる。
【図面の簡単な説明】
【図1】本発明の一実施形態に係る基板載置台としての
サセプタが設けられた処理装置の一例であるプラズマエ
ッチング装置を示す断面図。
【図2】図1の装置に設けられたサセプタの凸部の形成
方法を説明するための断面図。
【図3】本発明のサセプタ(基板載置台)に付着物が付
着した状態を示す断面図。
【図4】静電チャックを設けた他の実施形態に係るサセ
プタを示す断面図。
【図5】さらに他の実施形態に係るサセプタを示す断面
図および部分平面図
【図6】別の実施形態に係るサセプタを示す断面図およ
び部分平面図
【図7】また別の実施形態に係るサセプタを示す平面図
【図8】従来のサセプタ上に付着物が付着した状態を示
す断面図
【符号の説明】
1 処理装置(プラズマエッチング装置) 2 チャンバー(処理室) 3 絶縁板 4,100,100′,100″ サセプタ 5 層 6 誘電性材料膜 6′ 第2の誘電性材料膜 7,7′ 凸部 11 シャワーヘッド(ガス供給手段) 20 排気装置 31 第1の誘電性材料膜 32 導電層 25 高周波電源(プラズマ生成手段) 65 中間部材 66 開口板 99 伝熱媒体流路 101 台部 102 溝部
フロントページの続き (72)発明者 里吉 務 東京都港区赤坂五丁目3番6号 TBS放 送センター 東京エレクトロン株式会社内 (72)発明者 伊藤 博道 東京都港区赤坂五丁目3番6号 TBS放 送センター 東京エレクトロン株式会社内 Fターム(参考) 5F031 CA05 HA02 HA03 HA08 HA10 HA16 MA28 MA29 MA32 PA26 PA30

Claims (24)

    【特許請求の範囲】
  1. 【請求項1】 基材表面に誘電性材料膜を形成する工程
    と、 前記誘電性材料膜の上に、複数の開口を有する開口板を
    載置し、前記開口板を介してセラミックスを溶射してセ
    ラミックスからなる複数の凸部を形成する工程とを含む
    ことを特徴とする基板載置台の製造方法。
  2. 【請求項2】 前記基材と前記誘電性材料膜との間に1
    以上の層を形成する工程をさらに有することを特徴とす
    る請求項1に記載の基板載置台の製造方法。
  3. 【請求項3】 前記凸部を形成する工程は、前記開口板
    を前記誘電性材料膜表面から浮かし、前記開口板を介し
    て前記セラミックスを溶射して前記凸部を形成すること
    を特徴とする請求項1または請求項2に記載の基板載置
    台の製造方法。
  4. 【請求項4】 前記凸部を形成する工程において、前記
    開口板を前記誘電性材料膜表面から浮かすのは、前記開
    口板の開口部以外の面積より小さい面積を有するととも
    に前記開口板の開口に対応する部分に存在しない中間部
    材を前記開口板と前記誘電性材料膜との間に置くことに
    よってなされることを特徴とする請求項3に記載の基板
    載置台の製造方法。
  5. 【請求項5】 基材上に第1の誘電性材料膜を形成する
    工程と、 前記第1の誘電性材料膜上に導電層を形成する工程と、 前記導電層上に第2の誘電性材料膜を形成する工程と、 前記第2の誘電性材料膜上に、複数の開口を有する開口
    板を載置し、前記開口板を介してセラミックスを溶射し
    てセラミックスからなる複数の凸部を形成する工程とを
    含むことを特徴とする基板載置台の製造方法。
  6. 【請求項6】 前記基材と前記第1の誘電性材料膜との
    間に1以上の層を形成する工程をさらに有することを特
    徴とする請求項5に記載の基板載置台の製造方法。
  7. 【請求項7】 前記凸部を形成する工程は、前記開口板
    を前記第2の誘電性材料膜表面から浮かし、前記開口板
    を介して前記セラミックスを溶射して前記凸部を形成す
    ることを特徴とする請求項5または請求項6に記載の基
    板載置台の製造方法。
  8. 【請求項8】 前記凸部を形成する工程において、前記
    開口板を前記第2の誘電性材料膜表面から浮かすのは、
    前記開口板の開口部以外の面積より小さい面積を有する
    とともに前記開口板の開口に対応する部分に存在しない
    中間部材を前記開口板と前記第2の誘電性材料膜との間
    に置くことによってなされることを特徴とする請求項7
    に記載の基板載置台の製造方法。
  9. 【請求項9】 前記第2の誘電性材料膜上に1以上の層
    を形成する工程をさらに有することを特徴とする請求項
    5または請求項6に記載の基板載置台の製造方法。
  10. 【請求項10】 前記凸部を形成する工程は、前記開口
    板を前記第2の誘電性材料膜上の前記層の表面から浮か
    し、前記開口板を介して前記セラミックスを溶射して前
    記凸部を形成することを特徴とする請求項9に記載の基
    板載置台の製造方法。
  11. 【請求項11】 前記凸部を形成する工程において、前
    記開口板を前記第2の誘電性材料膜上の前記層の表面か
    ら浮かすのは、前記開口板の開口部以外の面積より小さ
    い面積を有するとともに前記開口板の開口に対応する部
    分に存在しない中間部材を前記開口板と前記第2の誘電
    性材料膜上の前記層との間に置くことによってなされる
    ことを特徴とする請求項10に記載の基板載置台の製造
    方法。
  12. 【請求項12】 基材と、前記基材上に形成された誘電
    性材料膜と、前記誘電性材料膜の上に形成されたセラミ
    ックスからなる複数の凸部とを備えた基板載置台であっ
    て、前記凸部は溶射により形成されたものであることを
    特徴とする基板載置台。
  13. 【請求項13】 基材と、前記基材上に形成された第1
    の誘電性材料膜と、前記第1の誘電性材料膜上に形成さ
    れた導電層と、前記導電層上に形成された第2の誘電性
    材料膜と、前記第2の誘電性材料膜上に形成されたセラ
    ミックスからなる複数の凸部とを備えた基板載置台であ
    って、前記凸部は溶射により形成されたものであること
    を特徴とする基板載置台。
  14. 【請求項14】 前記基材は静電チャックの静電電極と
    して機能することを特徴とする請求項12に記載の基板
    載置台。
  15. 【請求項15】 前記導電層は静電チャックの静電電極
    として機能することを特徴とする請求項13に記載の基
    板載置台。
  16. 【請求項16】 前記凸部の上部は、曲面のみからなる
    ことを特徴とする請求項12から請求項15のいずれか
    1項に記載の基板載置台。
  17. 【請求項17】 前記凸部の高さは、50〜100μm
    であることを特徴とする請求項12から請求項16のい
    ずれか1項に記載の基板載置台。
  18. 【請求項18】 基板を収容する処理室と、 前記処理室内に設けられ、前記基板が載置される基板載
    置台と、 前記処理室内に処理ガスを供給するガス供給手段と、 前記処理室内を排気する排気手段とを具備し、前記基板
    載置台は請求項12から請求項17のいずれかに記載さ
    れたものであることを特徴とする処理装置。
  19. 【請求項19】 基板を収容する処理室と、 前記処理室内に設けられ、前記基板が載置される基板載
    置台と、 前記処理室内に処理ガスを供給するガス供給手段と、 前記処理室内を排気する排気手段とを具備し、 前記基板載置台は、基材と、前記基材上に形成された複
    数の凸部とを備え、基板載置台は矩形であり、前記複数
    の凸部は直交格子を構成し、前記直交格子の一つの軸が
    前記矩形の一つの辺となす角度が0°を超え45°以下
    であることを特徴とする処理装置。
  20. 【請求項20】 基板を収容する処理室と、 前記処理室内に設けられ、前記基板が載置される基板載
    置台と、 前記処理室内に処理ガスを供給するガス供給手段と、 前記処理室内を排気する排気手段とを具備し、 前記基板載置台は、基材と、前記基材上に形成された複
    数の凸部とを備え、基板載置台は矩形であり、前記複数
    の凸部は不規則に配置されていることを特徴とする処理
    装置。
  21. 【請求項21】 前記複数の凸部において前記基板が点
    接触することを特徴とする請求項19または請求項20
    に記載の処理装置。
  22. 【請求項22】 前記基板載置台は、前記基材を貫通し
    て設けられ、前記基材の表面の周縁部に吹出口を有する
    複数の伝熱媒体流路を有することを特徴とする請求項1
    9から請求項21のいずれか1項に記載の処理装置。
  23. 【請求項23】 前記基板載置台の伝熱媒体流路の外側
    に設けられた台部をさらに備え、 前記台部表面の高さは、前記凸部の高さ以上であること
    を特徴とする請求項22に記載の処理装置。
  24. 【請求項24】 前記基板載置台の伝熱媒体流路の外側
    に設けられた台部と、前記台部に設けられた溝部とをさ
    らに備え、 前記台部表面の高さは、前記凸部の高さ以上であり、 前記溝部に前記伝熱媒体流路の吹出口が設けられている
    ことを特徴とする請求項22に記載の処理装置。
JP2001393918A 2001-02-08 2001-12-26 基板載置台の製造方法 Expired - Lifetime JP3626933B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2001393918A JP3626933B2 (ja) 2001-02-08 2001-12-26 基板載置台の製造方法
US10/067,506 US20020134511A1 (en) 2001-02-08 2002-02-07 Substrate supporting table,method for producing same, and processing system
KR1020020007113A KR20020066198A (ko) 2001-02-08 2002-02-07 기판지지대 및 그 제조방법과 처리장치
TW091102269A TW548691B (en) 2001-02-08 2002-02-07 Substrate supporting table, method for manufacturing the same and processing apparatus
US11/032,138 US20050120962A1 (en) 2001-02-08 2005-01-11 Substrate supporting table, method for producing same, and processing system

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2001-32712 2001-02-08
JP2001032712 2001-02-08
JP2001393918A JP3626933B2 (ja) 2001-02-08 2001-12-26 基板載置台の製造方法

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2004121463A Division JP4126286B2 (ja) 2001-02-08 2004-04-16 処理装置
JP2004287124A Division JP2005033221A (ja) 2001-02-08 2004-09-30 基板載置台および処理装置

Publications (3)

Publication Number Publication Date
JP2002313898A true JP2002313898A (ja) 2002-10-25
JP2002313898A5 JP2002313898A5 (ja) 2004-10-28
JP3626933B2 JP3626933B2 (ja) 2005-03-09

Family

ID=26609147

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001393918A Expired - Lifetime JP3626933B2 (ja) 2001-02-08 2001-12-26 基板載置台の製造方法

Country Status (4)

Country Link
US (2) US20020134511A1 (ja)
JP (1) JP3626933B2 (ja)
KR (1) KR20020066198A (ja)
TW (1) TW548691B (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004259825A (ja) * 2003-02-25 2004-09-16 Hitachi High-Technologies Corp プラズマ処理装置
JP2006024954A (ja) * 2004-07-08 2006-01-26 Asml Netherlands Bv 支持テーブルの支持表面上の突起の高さを調節する方法、リソグラフィ投影装置、およびリソグラフィ装置内で品目を支持する支持テーブル
JP2006351949A (ja) * 2005-06-17 2006-12-28 Tokyo Electron Ltd 基板載置台、基板処理装置および基板載置台の製造方法
KR100666039B1 (ko) 2003-12-05 2007-01-10 동경 엘렉트론 주식회사 정전척
JP2008516462A (ja) * 2004-10-13 2008-05-15 ラム リサーチ コーポレーション 半導体処理の均一性を改善するための熱伝達システム
JP2008218802A (ja) * 2007-03-06 2008-09-18 Tokyo Electron Ltd 基板載置台及び基板処理装置
JP2009188427A (ja) * 2009-05-21 2009-08-20 Dainippon Printing Co Ltd 露光機および露光機用チャックステージ
JP2012186489A (ja) * 2012-05-02 2012-09-27 Tokyo Electron Ltd 基板載置台及び基板処理装置
WO2013161188A1 (ja) * 2012-04-26 2013-10-31 信越半導体株式会社 貼り合わせウェーハの製造方法
JPWO2020138179A1 (ja) * 2018-12-27 2021-09-09 株式会社巴川製紙所 静電チャック装置

Families Citing this family (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US7198276B2 (en) * 2003-10-24 2007-04-03 International Business Machines Corporation Adaptive electrostatic pin chuck
KR100707861B1 (ko) * 2004-12-28 2007-04-18 효창산업 주식회사 엘씨디 글라스용 카세트의 유리 거치대
JPWO2008114753A1 (ja) * 2007-03-22 2010-07-08 東京エレクトロン株式会社 基板載置台,基板処理装置,基板載置台の表面加工方法
JP2008297615A (ja) * 2007-06-01 2008-12-11 Tokyo Electron Ltd 基板載置機構及び該基板載置機構を備えた基板処理装置
KR101016582B1 (ko) * 2007-08-21 2011-02-22 주식회사 코미코 용사 돌기 형성용 마스크, 상기 마스크를 이용한 용사 돌기형성 방법 및 상기 마스크를 이용한 기판 지지대 제조방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5195711B2 (ja) * 2009-10-13 2013-05-15 東京エレクトロン株式会社 基板冷却装置、基板冷却方法及び記憶媒体
JP5570900B2 (ja) * 2010-07-26 2014-08-13 東京エレクトロン株式会社 基板載置面に樹脂突起物層を形成する方法及び樹脂突起物層転写部材
JP5869899B2 (ja) * 2011-04-01 2016-02-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、基板処理方法及びサセプタカバー
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20130107001A (ko) * 2012-03-21 2013-10-01 엘지이노텍 주식회사 증착 장치
KR101974386B1 (ko) * 2012-03-21 2019-05-03 주식회사 미코 정전척
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103149751B (zh) * 2013-02-19 2015-09-16 北京京东方光电科技有限公司 一种下部电极及其制作方法
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN103247362B (zh) * 2013-04-17 2016-02-03 隆科电子(惠阳)有限公司 一种电子陶瓷元件的卑金属复合电极及其制备方法
CN103269556A (zh) * 2013-05-14 2013-08-28 哈尔滨工业大学 大面积大气等离子体均匀放电电极
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN109643682A (zh) 2016-06-01 2019-04-16 应用材料公司 静电卡盘及其制造方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
JP6703907B2 (ja) * 2016-06-30 2020-06-03 新光電気工業株式会社 静電チャック、および、静電チャックの製造方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI612613B (zh) * 2017-03-10 2018-01-21 台灣積體電路製造股份有限公司 靜電式晶圓吸附座及其製造方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6395644A (ja) * 1986-10-13 1988-04-26 Nippon Telegr & Teleph Corp <Ntt> 静電チヤツク
JPH0745693A (ja) * 1993-07-20 1995-02-14 Applied Materials Inc 表面に溝を有した静電チャックおよびその製造方法
JPH0870034A (ja) * 1994-05-18 1996-03-12 Applied Materials Inc 静電力低減のためのパターン付きサセプタ
JPH08148955A (ja) * 1994-11-18 1996-06-07 Matsushita Electric Ind Co Ltd 圧電振動子及びその製造方法
JPH09327188A (ja) * 1996-03-08 1997-12-16 Applied Materials Inc ワークピースの支持チャックの支持面に離間してワークピースを支持する装置及び離間マスクの製造方法
JPH1098092A (ja) * 1996-05-08 1998-04-14 Applied Materials Inc ワークピースを保持する双極静電チャック及び装置と方法
JPH10150100A (ja) * 1996-09-19 1998-06-02 Hitachi Ltd 静電チャックとそれを用いた試料処理方法及び装置
JPH10256360A (ja) * 1996-11-05 1998-09-25 Applied Materials Inc 静電チャックの改良型表面形状構造及びその製造方法
WO1999016122A1 (en) * 1997-09-25 1999-04-01 Applied Materials, Inc. Hybrid johnsen-rahbek electrostatic chuck and method of fabricating same
JP2000021962A (ja) * 1998-07-03 2000-01-21 Hitachi Ltd 静電吸着装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0562940A (ja) * 1991-09-03 1993-03-12 Sony Corp 矩形基板のドライエツチング装置
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
JPH07153825A (ja) * 1993-11-29 1995-06-16 Toto Ltd 静電チャック及びこの静電チャックを用いた被吸着体の処理方法
JP3457477B2 (ja) * 1995-09-06 2003-10-20 日本碍子株式会社 静電チャック
JPH09213777A (ja) * 1996-01-31 1997-08-15 Kyocera Corp 静電チャック
US5810933A (en) * 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
JPH11168134A (ja) * 1997-12-03 1999-06-22 Shin Etsu Chem Co Ltd 静電吸着装置およびその製造方法
JP3983387B2 (ja) * 1998-09-29 2007-09-26 日本碍子株式会社 静電チャック
US6320736B1 (en) * 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6395644A (ja) * 1986-10-13 1988-04-26 Nippon Telegr & Teleph Corp <Ntt> 静電チヤツク
JPH0745693A (ja) * 1993-07-20 1995-02-14 Applied Materials Inc 表面に溝を有した静電チャックおよびその製造方法
JPH0870034A (ja) * 1994-05-18 1996-03-12 Applied Materials Inc 静電力低減のためのパターン付きサセプタ
JPH08148955A (ja) * 1994-11-18 1996-06-07 Matsushita Electric Ind Co Ltd 圧電振動子及びその製造方法
JPH09327188A (ja) * 1996-03-08 1997-12-16 Applied Materials Inc ワークピースの支持チャックの支持面に離間してワークピースを支持する装置及び離間マスクの製造方法
JPH1098092A (ja) * 1996-05-08 1998-04-14 Applied Materials Inc ワークピースを保持する双極静電チャック及び装置と方法
JPH10150100A (ja) * 1996-09-19 1998-06-02 Hitachi Ltd 静電チャックとそれを用いた試料処理方法及び装置
JPH10256360A (ja) * 1996-11-05 1998-09-25 Applied Materials Inc 静電チャックの改良型表面形状構造及びその製造方法
WO1999016122A1 (en) * 1997-09-25 1999-04-01 Applied Materials, Inc. Hybrid johnsen-rahbek electrostatic chuck and method of fabricating same
JP2000021962A (ja) * 1998-07-03 2000-01-21 Hitachi Ltd 静電吸着装置

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004259825A (ja) * 2003-02-25 2004-09-16 Hitachi High-Technologies Corp プラズマ処理装置
KR100666039B1 (ko) 2003-12-05 2007-01-10 동경 엘렉트론 주식회사 정전척
JP2006024954A (ja) * 2004-07-08 2006-01-26 Asml Netherlands Bv 支持テーブルの支持表面上の突起の高さを調節する方法、リソグラフィ投影装置、およびリソグラフィ装置内で品目を支持する支持テーブル
JP2008516462A (ja) * 2004-10-13 2008-05-15 ラム リサーチ コーポレーション 半導体処理の均一性を改善するための熱伝達システム
JP4657824B2 (ja) * 2005-06-17 2011-03-23 東京エレクトロン株式会社 基板載置台、基板処理装置および基板載置台の製造方法
JP2006351949A (ja) * 2005-06-17 2006-12-28 Tokyo Electron Ltd 基板載置台、基板処理装置および基板載置台の製造方法
CN100411133C (zh) * 2005-06-17 2008-08-13 东京毅力科创株式会社 基板载置台、基板处理装置和基板载置台的制造方法
JP2008218802A (ja) * 2007-03-06 2008-09-18 Tokyo Electron Ltd 基板載置台及び基板処理装置
JP2009188427A (ja) * 2009-05-21 2009-08-20 Dainippon Printing Co Ltd 露光機および露光機用チャックステージ
JP4672784B2 (ja) * 2009-05-21 2011-04-20 大日本印刷株式会社 露光機および露光機用チャックステージ
US9142449B2 (en) 2012-04-26 2015-09-22 Shin-Etsu Handotai Co., Ltd. Method for manufacturing bonded wafer
WO2013161188A1 (ja) * 2012-04-26 2013-10-31 信越半導体株式会社 貼り合わせウェーハの製造方法
JP2013229516A (ja) * 2012-04-26 2013-11-07 Shin Etsu Handotai Co Ltd 貼り合わせウェーハの製造方法
JP2012186489A (ja) * 2012-05-02 2012-09-27 Tokyo Electron Ltd 基板載置台及び基板処理装置
JPWO2020138179A1 (ja) * 2018-12-27 2021-09-09 株式会社巴川製紙所 静電チャック装置
JP2022058892A (ja) * 2018-12-27 2022-04-12 株式会社巴川製紙所 静電チャック装置
JP2022058894A (ja) * 2018-12-27 2022-04-12 株式会社巴川製紙所 静電チャック装置
JP2022058893A (ja) * 2018-12-27 2022-04-12 株式会社巴川製紙所 静電チャック装置
JP7100716B2 (ja) 2018-12-27 2022-07-13 株式会社巴川製紙所 静電チャック装置
JP7256311B2 (ja) 2018-12-27 2023-04-11 株式会社巴川製紙所 静電チャック装置
JP7256310B2 (ja) 2018-12-27 2023-04-11 株式会社巴川製紙所 静電チャック装置
JP7335371B2 (ja) 2018-12-27 2023-08-29 株式会社巴川製紙所 静電チャック装置

Also Published As

Publication number Publication date
US20050120962A1 (en) 2005-06-09
US20020134511A1 (en) 2002-09-26
TW548691B (en) 2003-08-21
JP3626933B2 (ja) 2005-03-09
KR20020066198A (ko) 2002-08-14

Similar Documents

Publication Publication Date Title
JP2002313898A (ja) 基板載置台およびその製造方法ならびに処理装置
JP2002313898A5 (ja)
JP2005033221A (ja) 基板載置台および処理装置
JP4657824B2 (ja) 基板載置台、基板処理装置および基板載置台の製造方法
US8236106B2 (en) Shower head and substrate processing apparatus
TWI434369B (zh) A substrate stage and a substrate processing device
US7331307B2 (en) Thermally sprayed member, electrode and plasma processing apparatus using the electrode
TWI480949B (zh) Substrate handling device and sprinkler
KR100345420B1 (ko) 플라즈마처리장치
TWI578434B (zh) A substrate mounting table, a manufacturing method thereof, and a substrate processing device
JP3953247B2 (ja) プラズマ処理装置
US20070283891A1 (en) Table for supporting substrate, and vacuum-processing equipment
US20040159286A1 (en) Plasma treatment device
KR20060100302A (ko) 양극처리된 기판 지지부
TWI533396B (zh) 電漿處理裝置
JP4493863B2 (ja) プラズマ処理装置およびそのクリーニング方法および静電チャックの除電方法
JP4868649B2 (ja) プラズマ処理装置
KR100948984B1 (ko) 기판 탑재대, 기판 탑재대의 제조 방법, 기판 처리 장치,유체 공급기구
JP6469985B2 (ja) プラズマ処理装置
TW200403749A (en) Plasma processing device and plasma processing method
JP4129152B2 (ja) 基板載置部材およびそれを用いた基板処理装置
JP5390657B2 (ja) 基板載置台及び基板処理装置
JP4602528B2 (ja) プラズマ処理装置
JP4126286B2 (ja) 処理装置
JP4684403B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20040114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040217

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040416

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20040831

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040930

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20041007

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20041116

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20041206

R150 Certificate of patent or registration of utility model

Ref document number: 3626933

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101210

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101210

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131210

Year of fee payment: 9

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term