KR20020066198A - 기판지지대 및 그 제조방법과 처리장치 - Google Patents

기판지지대 및 그 제조방법과 처리장치 Download PDF

Info

Publication number
KR20020066198A
KR20020066198A KR1020020007113A KR20020007113A KR20020066198A KR 20020066198 A KR20020066198 A KR 20020066198A KR 1020020007113 A KR1020020007113 A KR 1020020007113A KR 20020007113 A KR20020007113 A KR 20020007113A KR 20020066198 A KR20020066198 A KR 20020066198A
Authority
KR
South Korea
Prior art keywords
substrate
dielectric material
material film
substrate support
processing container
Prior art date
Application number
KR1020020007113A
Other languages
English (en)
Inventor
우시오다조이치
사토고이치
사토요시츠토무
이토히로미치
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20020066198A publication Critical patent/KR20020066198A/ko

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Abstract

플라즈마 처리장치는 처리용기내에 설치된 기판이 지지되는 서셉터를 갖추고 있다. 처리용기내에는 처리가스가 공급되고, 처리가스의 플라즈마가 생성되도록 되어 있다. 서셉터는 기재(基材) 위에 형성된 유전성 재료막과, 이 막 위에 형성된 복수의 凸부를 갖추고 있다. 서셉터의 凸부는 복수의 원형 개구를 갖는 개구판을 매개해서 유전성 재료막 위에 세라믹스를 용사(溶射)함으로써 형성된다.

Description

기판지지대 및 그 제조방법과 처리장치 {SUBSTRATE SUPPORTING TABLE, METHOD FOR MANUFACTURING THE SAME AND PROCESSING SYSTEM}
본 발명은 액정표시장치(LCD)용의 유리기판 등의 기판을 지지하는 기판지지대 및 그 제조방법, 나아가서는 기판지지대를 사용하여 기판에 대해 드라이 에칭(dry etching: 건식 에칭) 등의 처리를 실시하는 처리장치에 관한 것이다.
예컨대, LCD 제조프로세스에 있어서는, 피처리기판인 유리제의 LCD기판에 대해 드라이 에칭이나 스퍼터링, CVD(화학기상성장) 등의 플라즈마처리가 다용(多用)되고 있다.
이러한 플라즈마처리에 있어서는, 예컨대 처리용기내에 한쌍의 평행평판전극(상부 및 하부전극)을 배치하고, 하부전극으로서의 서셉터(지지대)에 피처리기판을 지지한다. 그리고, 처리가스를 처리용기내에 도입함과 더불어, 전극의 적어도 한쪽에 고주파를 인가하여 전극간에 고주파 전계를 형성한다. 이 고주파 전계에 의해 처리가스의 플라즈마를 형성하여 피처리기판에 대해 플라즈마처리를 실시한다. 이 때, 피처리기판은 서셉터 표면에 면접촉하도록 되어 있다.
그런데, 서셉터의 표면은 실제로는 완곡면으로 되어 있기 때문에, 기판과 서셉터 사이에는 부분적으로 미소한 간극(틈)이 생기고 있다. 한편, 플라즈마처리를 반복해서 행함으로써 서셉터 위에 부착물이 축적된다.
이 때, 도 8에 나타낸 바와 같이, 부착물(47)은 피처리기판(G)과 서셉터(50)의 간극을 메우도록 축적된다. 이 때문에, 피처리기판(G) 이면에 서셉터(50)가 직접 접촉하는 부분과, 부착물(47)을 매개해서 접촉하는 부분이 생겨 버린다. 이들 직접 접촉부분과 부착물(47)을 매개해서 접촉하는 부분의 열전도성이나 도전성의 상위에 의해, 피처리기판(G)에 에칭불균일(피처리기판(G)에 있어서 에칭속도가 높은 부분과 낮은 부분이 혼재하는 것을 말함)이 생기는 경우가 있다. 또, 이러한 부착물(47)의 존재에 의해 피처리기판(G)이 서셉터(50)에 흡착되어 버리는 경우도 있다.
그 때문에, 예컨대 일본 특개소 59-172237호 공보에 개시된 플라즈마 처리장치에 있어서는, 서셉터(시료 스테이지) 위면에 예컨대 원추모양의 복수의 凸부를 설치하고 있다. 그러나, 이 장치에서는, 서셉터와 돌기부가 일체물로 되어 있다. 이러한 凸부를 금속의 기계가공으로 균일하게 작성하는 것은, 기술적으로 곤란하며, 비용도 들고 시간도 걸린다.
또, 일본 특개소 60-261377호 공보에 개시된 정전척 및 그 제조방법에 있어서는, 정전전극을 피복하는 소성 세라믹 절연층의 표면에 凸모양의 패턴이 형성되어 있다.
또, 일본 특개평 8-70034호 공보에 개시된 정전력 저감을 위한 패턴이 부착된 서셉터에 있어서는, 서셉터 표면에 포토에칭에 의해 요철(凹凸)패턴을 형성하고 있다. 그에 따라, 정전력(고착력)을 저감하여, 플라즈마처리 후에 서셉터로부터 웨이퍼를 용이하게 분리할 수 있도록 되어 있다.
또, 일본 특개평 10-340896호 공보에 개시된 플라즈마 CVD장치용 서셉터 및 그 제조방법에 있어서는, 알루미늄 또는 알루미늄합금제의 서셉터의 표면을 쇼트 블라스트(shot blast)처리하여 요철부를 형성하고 있다. 더욱이, 화학연마, 전해연마 또는 버프(buff)연마에 의해 형성된 凸부의 급준(急峻)한 돌기부를 제거하고 있다.
그러나, 이들 종래기술은 모두 凸부의 상부가 평평하기 때문에, 플라즈마처리에 의해 발생한 티끌이 퇴적되기 쉽다는 결점이 있다.
그래서 본 발명은, 기판지지대의 표면에 부착물이 축적됨으로써 생기는 에칭불균일 등의 처리불균일이나, 기판지지대 위에 기판이 흡착되어 버리는 일 등의 문제를 방지하면서, 상술한 종래기술의 결점을 극복할 수 있는 기판지지대 및 그 제조방법, 그리고 그러한 기판지지대 서셉터를 사용한 처리장치를 제공하는 것을 과제로 하고 있다.
도 1은 본 발명의 1실시형태에 따른 서셉터(기판지지대)를 포함하는 처리장치의 예로서의 플라즈마 에칭장치를 나타낸 단면도,
도 2는 도 1의 장치에서의 서셉터의 凸부의 형성방법을 설명하기 위한 단면도,
도 3은 본 발명의 서셉터에 부착물이 부착된 상태를 나타낸 단면도,
도 4는 정전척을 설치한 다른 실시형태에 따른 서셉터를 나타낸 단면도,
도 5a는 더욱 다른 실시형태에 따른 서셉터를 나타낸 단면도,
도 5b는 도 5a에 나타낸 서셉터의 부분 평면도,
도 6a는 다른 실시형태에 따른 서셉터를 나타낸 도면,
도 6b는 도 6a에 나타낸 서셉터의 부분 평면도,
도 7은 또 다른 실시형태에 따른 서셉터를 나타낸 평면도,
도 8은 종래의 서셉터 위에 부착물이 부착된 상태를 나타낸 단면도이다.
상기의 과제를 달성하기 위해, 본 발명의 제1관점에 있어서는, 기판 표면에 유전성 재료막을 형성하는 공정과, 상기 유전성 재료막 위에 복수의 개구를 갖는 개구판을 매개해서 세라믹스(ceramics)를 용사(溶射)하여 세라믹스로 이루어진 복수의 凸부를 형성하는 공정을 구비한 기판지지대의 제조방법이 제공된다.
마찬가지의 관점으로부터는, 기판 위에 제1의 유전성 재료막을 형성하는 공정과, 상기 제1의 유전성 재료막 위에 도전막을 형성하는 공정, 상기 도전막 위에 제2의 유전성 재료막을 형성하는 공정 및, 상기 제2의 유전성 재료막 위에 복수의 개구를 갖는 개구판을 매개해서 세라믹스를 용사하여 세라믹스로 이루어진 복수의 凸부를 형성하는 공정을 구비한 기판지지대의 제조방법이 제공된다.
본 발명의 제2관점에 있어서는, 기재와, 상기 기재 위에 형성된 유전성 재료막 및, 상기 유전성 재료막의 위에 용사에 의해 형성된 세라믹스로 이루어진 복수의 凸부를 구비한 기판지지대가 제공된다.
마찬가지의 관점으로부터는, 기재와, 상기 기재 위에 형성된 제1의 유전성 재료막, 상기 제1의 유전성 재료막 위에 형성된 도전막, 상기 도전막 위에 형성된 제2의 유전성 재료막 및, 상기 제2의 유전성 재료막 위에 용사에 의해 형성된 세라믹스로 이루어진 복수의 凸부를 구비한 기판지지대가 제공된다.
본 발명의 제3관점에 있어서는, 기판을 수용하는 처리용기와, 상기 처리용기내에 설치되어 상기 기판이 지지되는 기판지지대, 상기 처리용기내에 처리가스를 공급하는 가스공급수단 및, 상기 처리용기내를 배기하는 배기수단을 구비하고, 상기 기판지지대가 기재와, 상기 기재 위에 형성된 유전성 재료막 및, 상기 유전성 재료막의 위에 용사에 의해 형성된 세라믹스로 이루어진 복수의 凸부를 갖춘 처리장치가 제공된다.
마찬가지의 관점으로부터는, 기판을 수용하는 처리용기와, 상기 처리용기내에 설치되어 상기 기판이 지지되는 기판지지대, 상기 처리용기내에 처리가스를 공급하는 가스공급수단 및, 상기 처리용기내를 배기하는 배기수단을 구비하고, 상기 기판지지대가 기재와, 상기 기재 위에 형성된 제1의 유전성 재료막, 상기 제1의 유전성 재료막 위에 형성된 도전막, 상기 도전막 위에 형성된 제2의 유전성 재료막 및, 상기 제2의 유전성 재료막 위에 용사에 의해 형성된 세라믹스로 이루어진 복수의 凸부를 갖춘 처리장치가 제공된다.
이상의 경우에는, 유전성 재료막의 위에 세라믹스를 용사하여 凸부를 형성하므로, 기판지지대에 있어서 세라믹스로 이루어진 복수의 凸부를 용이하게 그리고똑같이 분포시킬 수 있다. 그리고, 이들 凸부가 스페이서의 역할을 담당하여, 기판지지대 위에 부착물이 축적되어도 부착물이 피처리기판에 접촉하기 어렵게 된다. 따라서, 피처리기판 이면에 부착물을 매개해서 기판지지대와 접촉하는 부분이 생기는 것에 기인한 에칭불균일이나, 피처리기판이 기판지지대에 흡착되는 일 등의 문제를 방지할 수 있다. 또, 그러한 기판지지대를 용이하게 제조가능하다.
이 경우, 상기의 기재나 도전층을 정전전극으로서 기능시킴으로써, 정전척을 갖는 기판지지대가 얻어진다.
또, 본 발명의 제4관점에 있어서는, 기판을 수용하는 처리용기와, 상기 처리용기내에 설치되어 상기 기판이 지지되는 기판지지대, 상기 처리용기내에 처리가스를 공급하는 가스공급수단 및, 상기 처리용기내를 배기하는 배기수단을 구비하고, 상기 기판지지대가 직사각형의 기재와, 상기 기재 위에 형성된 복수의 凸부를 갖추며, 상기 복수의 凸부가 상기 기재 위에 직교격자를 구성하도록 배치되고, 상기 직교격자의 한쪽의 축이 상기 기재의 한변과 이루는 각도가 0°를 넘어 45° 이하인 처리장치가 제공된다.
마찬가지의 관점으로부터는, 기판을 수용하는 처리용기와, 상기 처리용기내에 설치되어 상기 기판이 지지되는 기판지지대, 상기 처리용기내에 처리가스를 공급하는 가스공급수단 및, 상기 처리용기내를 배기하는 배기수단을 구비하고, 상기 기판지지대가 직사각형의 기재와, 상기 기재 위에 불규칙한 배치로 형성된 복수의 凸부를 갖춘 처리장치가 제공된다.
이들 처리장치에 의하면, 기판에 형성된 회로패턴과 凸부의 배치패턴이 중첩되지 않도록 할 수 있어, 에칭불균일 등의 처리불균일을 회피할 수 있다.
상기 어느 구성에 있어서도, 상기 凸부는 그 상부에 있어서 피처리기판과 점접촉하는 것이 바람직하다. 이와 같이 함으로써, 부착물에 의한 악영향을 보다 작게 할 수 있다. 또, 상기 凸부의 상부는 곡면으로만 이루어지는 것이 바람직하다. 이에 따라, 凸부에 각부(角部: 뾰족한 개소)가 존재하지 않는 상태로 되므로, 돌기가 깎여 파티클의 원인으로 되는 일이 없다.
(실시형태)
이하, 첨부도면을 참조하여 본 발명의 실시형태에 대해 설명한다.
도 1은 본 발명에 따른 기판지지대로서의 서셉터가 설치된 처리장치의 실시형태인 플라즈마 에칭장치를 나타낸 단면도이다. 도 1 및 도 3에 나타낸 바와 같이, 서셉터(4)는 기재(4a)와, 기재(4a)의 위에 설치된 유전성 재료막(6) 및, 유전성 재료막(6)의 위에 형성된 복수의 凸부(7)를 갖추고 있다.
凸부(7)는 유전성 재료막(6) 위의 기판(G) 탑재영역에 똑같이 분포하여 형성되어 있고, 기판(G)은 이 凸부(7) 위에 지지되도록 되어 있다. 凸부(7)는 서셉터(4)와 기판(G) 사이를 이격하는 스페이서로서 기능한다. 이에 따라, 서셉터(4) 위에 부착된 부착물이 기판(G)에 악영향을 미치는 것이 방지된다.
각 凸부(7)는 그 높이가 50∼100㎛인 것이 바람직하다. 서셉터(4) 위에 부착되는 부착물의 양을 고려하면, 凸부(7)의 높이를 50㎛ 이상으로 함으로써 부착물이 기판(G)에 악영향을 미치는 것을 충분히 방지할 수 있기 때문이다. 한편, 높이가 100㎛를 넘으면 凸부(7)의 강도가 저하한다거나, 기판(G)의 에칭속도가 저하한다고 하는 문제나, 후술하는 바와 같이 凸부(7)를 용사(溶射)로 형성하는 경우에 용사시간이 길어진다고 하는 문제도 있다. 또, 凸부(7)의 직경은 0.5∼1㎜인 것이 바람직하다. 凸부(7)끼리의 사이의 간격은 0.5∼30㎜로 하는 것이 바람직하고, 5∼10㎜로 하는 것이 보다 바람직하다. 凸부(7)의 배치패턴에는 특별히 제한은 없지만, 예컨대 엇갈림 배치여도 좋다.
凸부(7)는 적어도 그 상부를 반구(半球)모양 등의 곡면형상으로 형성하여 기판(G)과 점접촉시키는 것이 바람직하다. 이에 따라, 凸부(7)와 기판(G)의 접촉부분에 부착물이 극히 부착되기 어렵게 할 수 있다. 한편, 凸부(7)의 형상을 원주 또는 각주(角柱)로 한 경우에는, 위면이 평면이고, 이 위면에 부착물이 부착되기 쉬워지는 결점이 있다.
凸부(7)는 일반적으로 내구성 및 내식성이 높은 재료로서 알려져 있는 세라믹스(ceramics)로 구성되어 있다. 凸부(7)를 구성하는 세라믹스는 특별히 한정되는 것은 아니다. 그 세라믹스의 예로서는, 전형적으로는 Al2O3, Zr2O3, Si3N4등의 절연재료를 들 수 있지만, SiC와 같이 어느 정도 도전성을 갖는 것이어도 좋다. 凸부(7)는 용사에 의해 형성된다.
유전성 재료막(6)은, 유전성 재료로 이루어져 있으면 그 재료는 상관없고, 또 고절연성 재료뿐만 아니라 전하의 이동을 허용하는 정도의 도전성을 갖는 것을 포함한다. 이러한 유전성 재료막(6)은, 내구성 및 내식성의 관점에서 세라믹스로 구성하는 것이 바람직하다. 이 때의 세라믹스는 특별히 한정되는 것은 아니다.그 세라믹스의 예로서는, 凸부(7)의 경우와 마찬가지로, 전형적으로는 Al2O3, Zr2O3, Si3N4등의 절연재료를 들 수 있지만, SiC와 같이 어느 정도 도전성을 갖는 것이어도 좋다. 이러한 유전성 재료막(6)은 용사에 의해 형성해도 좋다. 또, 용사한 후, 연마 등에 의해 표면을 평활화해도 좋다.
기재(4a)는 유전성 재료막(6)을 지지하는 것으로, 예컨대 알루미늄 등의 금속이나 카본과 같은 도전체로 구성되어 있다.
다음에는 유전성 재료막(6) 위에 凸부(7)를 용사에 의해 형성하는 방법에 대해 설명한다.
凸부(7)는 기계가공이나 에칭 등의 다른 방법으로 형성하는 것도 생각할 수 있지만, 이 경우에는 기술적, 비용적으로 문제가 있다. 그래서, 본 실시형태에서는 다음과 같은 방법을 채용한다.
도 2에 나타낸 바와 같이, 먼저 복수의 원형 개구를 갖는 개구판(66)을, 유전성 재료막(6) 위에 비접촉으로, 즉 간격을 두고 유지한다. 그를 위해서는, 스페이서부재(65)를 유전성 재료막(6) 위에 얹고, 그 위에 개구판(66)을 얹는다. 즉, 개구판(66)과 유전성 재료막(6) 사이에 스페이서부재(65)를 개재(介在)시킨다. 스페이서부재(65)의 재료는 금속 또는 내열성의 수지 등이 알맞다. 또, 접착층이 부착된 내열성 수지시트이면 유전성 재료막(6)에 접착할 수 있어 좋다. 스페이서부재(65)는, 개구판(66)의 위쪽 투영면적(개구부를 제외한 면적)보다 작은 위쪽 투영면적을 갖고, 개구판(66)의 각 개구에 대해 그 외주연(外周緣: 바깥 둘레 테두리)보다도 외측에 대응하는 형상을 갖고 있다. 개구판(66)의 기재(基材)로서는, 예컨대 판두께 0.3㎜ 정도의 금속판, 구체적으로는 스테인레스판을 사용한다.
이 개구판(66)을 매개해서 상기 세라믹스를 용사하고, 개구에 대응하는 유전성 재료막(6) 위의 부분에 凸부(7)를 형성한다. 이에 따라, 비교적 용이하게 凸부(7)를 형성할 수 있다. 또, 이와 같이 복수의 개구를 갖는 마스크로서의 개구판(66)을 매개해서 용사함으로써, 凸부(7)의 상부의 형상을 곡면형상으로 할 수 있다. 이것은, 용사할 때에 개구의 주변부가 장벽으로 되어 반경방향 외측으로의 세라믹스의 확산이 방해되기 때문이라고 생각된다.
이와 같이 함으로써, 용사에 의해 형성되는 凸부(7)를 소망하는 형상으로 제어할 수 있다. 용사 후에는, 개구판(66) 및 스페이서부재(65)는 떼어낸다.
또, 凸부(7)를 세라믹스의 용사로 형성할 때에, 기공(氣孔)이 형성되는 경우가 있지만, 그 경우에는 凸부(7)를 형성한 후에 봉공(封孔: 구멍 봉함)처리를 실시한다. 유전성 재료막(6)을 용사에 의해 형성할 때도 마찬가지이다.
또, 유전성 재료막(6)의 재질과 凸부(7)의 재질이 동일하면, 양자는 강고히 결합되므로 알맞다. 그러나, 처리중의 온도범위에서 양자의 결합이 충분하면, 양자의 재질은 달라도 좋다. 또한, 凸부(7) 및 유전성 재료막(6)을 동일한 재료로 구성하는 경우에는, 이들을 용사에 의해 연속하여 형성할 수 있다.
또, 도 3에 나타낸 바와 같이, 기재(4a)와 유전성 재료막(6) 사이에 중간층(5)이 설치되어 있다. 중간층(5)은 열팽창계수가 기재(4a)와 유전성 재료막(6)의 중간의 값을 나타내는 재료로 이루어지고, 기재(4a)와 유전성재료막(6)의 열팽창차를 완화하는 기능을 가지고 있다. 또, 기재(4a)와 유전성 재료막(6)의 접합을 강화하기 위해 설치해도 좋다. 여기서, 중간층(5)은 필수적인 것이 아니라, 서셉터(4)의 사이즈가 작은 경우나 온도의 변화량이 작은 경우나 기재(4a)와 유전성 재료막(6)의 접합이 강고한 경우에는 중간층(5)을 생략해도 좋다. 또, 중간층(5)은 1개에 한정되지 않고 2개 이상 설치해도 좋다.
이 중간층(5)은, 기재(4a)를 알루미늄으로 구성하고, 유전성 재료막(6)을 세라믹스로 구성하는 경우에는, 예컨대 니켈 및 알루미늄의 합금으로 구성할 수 있다. 한편, 중간층(5)의 형성방법은 상관없다.
도 1에 나타낸 처리장치에 있어서는, 에칭프로세스를 반복함으로써, 도 3에 나타낸 바와 같이 서셉터(4)에서의 유전성 재료막(6)의 표면에 기판(G)으로부터 에칭된 물질 등의 부착물(47)이 축적된다. 그러나, 본 실시형태에 있어서는, 凸부(7)가 유전성 재료막(6)과 기판(G) 사이의 스페이서의 역할을 담당하여, 서셉터(4) 위에 축적된 부착물이 기판(G)에 접촉하기 어렵게 된다. 이에 따라, 서셉터(4) 위에 부착물(47)을 매개해서 기판(G)과 접촉하는 부분이 생김으로써, 에칭불균일이 생긴다거나, 기판(G)이 서셉터(4)에 흡착된다고 하는 문제가 방지된다.
다음에는 도 1을 참조하여 상술한 구성의 서셉터(4)를 이용한 본 발명의 처리장치에 대해 설명한다. 이 처리장치(1)는, LCD 유리기판의 소정의 처리를 행하는 장치의 단면도로, 용량결합형 평행평판 플라즈마 에칭장치를 예로 하여 구성되어 있다. 다만, 본 발명의 처리장치는 플라즈마 에칭장치에만 한정되는 것은 아니다.
이 플라즈마 에칭장치(1)는, 예컨대 표면이 알루마이트처리(양극산화처리)된 알루미늄으로 이루어진 각통(角筒)형상으로 성형된 처리용기(2)를 갖추고 있다. 이 처리용기(2)내의 밑부분에는 절연재로 이루어진 각주모양의 절연판(3)이 설치되어 있다. 이 절연판(3)의 위에는, 피처리기판인 LCD 유리기판(G)을 지지하기 위한 전술한 서셉터(4)가 설치되어 있다. 또, 서셉터(4)의 기재(4a)의 외주와, 위면의 주연부(周緣部; 중간층(5) 및 유전성 재료막(6)이 설치되어 있지 않은 부분)를 피복하여 절연부재(8)가 설치되어 있다.
서셉터(4)에는, 고주파 전력을 공급하기 위한 급전선(23)이 접속되어 있다. 이 급전선(23)에는 정합기(24) 및 고주파 전원(25)이 접속되어 있다. 고주파 전원(25)으로부터는 예컨대 13.56㎒의 고주파 전력이 서셉터(4)에 공급된다.
서셉터(4)의 위쪽에는, 이 서셉터(4)와 평행하게 대향하여 상부전극으로서 기능하는 샤워헤드(11)가 설치되어 있다. 샤워헤드(11)는 처리용기(2)의 상부에 탑재되어 있고, 내부에 공간(12)을 가짐과 더불어 서셉터(4)와의 대향면에 처리가스를 토출하는 복수의 토출구멍(13)이 형성되어 있다. 이 샤워헤드(11)는 접지되어 있고, 서셉터(4)와 더불어 한쌍의 평행평판전극을 구성하고 있다.
샤워헤드(11)의 위면에는 가스도입구(14)가 설치되고, 이 가스도입구(14)에는 처리가스 공급관(15)이 접속되어 있다. 이 처리가스 공급관(15)에는, 밸브(16) 및 유량제어기(mass flow controller; 17)를 매개해서 처리가스 공급원(18)이 접속되어 있다. 처리가스 공급원(18)으로부터는, 에칭을 위한 처리가스가 공급된다.처리가스로서는, 할로겐계의 가스, O2가스, Ar가스 등, 통상 이 분야에서 사용되는 가스를 사용할 수 있다.
처리용기(2)의 측벽 밑부분에는 배기관(19)이 접속되어 있고, 이 배기관(19)에는 배기장치(20)가 접속되어 있다. 배기장치(20)는 터보분자펌프 등의 진공펌프를 갖추고 있고, 이에 따라 처리용기(2)내를 소정의 감압분위기까지 진공흡인 가능하도록 구성되어 있다. 또, 처리용기(2)의 측벽에는 기판반입출구(21)와, 이 기판반입출구(21)를 개폐하는 게이트밸브(22)가 설치되어 있다. 이 게이트밸브(22)를 개(開: 개방)로 한 상태에서, 처리용기(2)와, 이것에 인접하는 로드록실(도시하지 않음)의 사이에서 기판(G)이 반송되도록 되어 있다.
다음에는 이와 같이 구성된 플라즈마 에칭장치(1)에서의 처리동작에 대해 설명한다.
먼저, 피처리체인 기판(G)은, 게이트밸브(22)가 개방된 후, 도시하지 않은 로드록실로부터 기판반입출구(21)를 매개해서 처리용기(2)내로 반입된다. 반입된 기판(G)은 서셉터(4) 위에 형성된 凸부(7) 위에 지지된다. 이 경우, 기판(G)의 수도(受渡: 주고 받음)는 서셉터(4)의 내부를 통하여 위쪽으로 돌출가능하게 설치된 리프터핀(도시하지 않음)을 매개해서 행해진다. 그 후, 게이트밸브(22)가 닫히고, 배기장치(20)에 의해 처리용기(2)내가 소정의 진공도까지 진공 흡인된다.
그 후, 밸브(16)가 개방되어 처리가스 공급원(18)으로부터 처리가스가 유량제어기(17)에 의해 그 유량이 조정되면서, 처리가스 공급관(15), 가스도입구(14)를통해 샤워헤드(11)의 내부공간(12)으로 도입된다. 이 처리가스는, 토출구멍(13)을 통해 기판(G)에 대해 균일하게 토출되고, 처리용기(2)내의 압력이 소정의 값으로 유지된다.
이 상태에서 고주파 전원(25)으로부터 정합기(24)를 매개해서 고주파 전력이 서셉터(4)에 인가된다. 이에 따라, 하부전극으로서의 서셉터(4)와 상부전극으로서의 샤워헤드(11) 사이에 고주파 전계가 생긴다. 이 전계에 의해, 처리가스가 해리되어 플라즈마화하고, 이에 따라 기판(G)에 에칭처리가 실시된다.
이와 같이 하여 에칭처리를 실시한 후, 고주파 전원(25)으로부터의 고주파 전력의 인가를 정지한다. 그 후, 처리용기(2)내의 압력이 소정의 압력까지 승압되고, 게이트밸브(22)가 개방된다. 그리고, 기판(G)이 처리용기(2)내로부터 기판반입출구(21)를 매개해서 상기 로드록실로 반출됨으로써, 그 기판(G)의 에칭처리가 종료된다.
본 실시형태의 서셉터(기판지지대)에는 정전척을 설치해도 좋다. 이 경우에는, 도 4에 나타낸 바와 같이, 기재(4a)상에 제1의 유전성 재료막(31), 정전전극층으로서 기능하는 도전층(32), 제2의 유전성 재료막(6'), 凸부(7')를 순차 적층하여 서셉터(4')를 구성하면 좋다.
정전척을 구성하는 제1의 유전성 재료막(31), 도전층(32) 및 제2의 유전성 재료막(6')를 형성하는 방법은 상관없지만, 전부 용사에 의해 형성해도 좋다. 또, 일부 또는 전부의 층을 연마 등에 의해 평활화해도 좋다.
凸부(7')는 상기의 凸부(7)와 동일한 세라믹스로 구성되어 있다. 제1의 유전성 재료막(31)과 제2의 유전성 재료막(6')은, 상기의 유전성 재료막(6)과 동일한 유전성 재료로 이루어져 있으면 그 재료는 상관없다. 또, 제1의 유전성 재료막(31)과 제2의 유전성 재료막(6')은 같은 재질이어도 좋다. 또, 기재(4a)와 제1의 유전성 재료막(31) 사이나 제2의 유전성 재료막(6')과 凸부(7') 사이에 1개 이상의 중간층을 설치할 수도 있다. 이 중간층의 기능은 상기 중간층(5)과 마찬가지이다. 더욱이, 제2의 유전성 재료막(6')의 위에, 1개 이상의 임의의 피복층을 형성해도 좋다.
凸부(7')는 제2의 유전성 재료막(6')의 기판(G) 탑재영역에 똑같이 분포하고 있고, 기판(G)은 이 凸부(7') 위에 흡착되도록 되어 있다. 이 제2의 유전성 재료막(6')과 凸부(7')의 형상 및 그 형성방법은, 상기 유전성 재료막(6) 및 凸부(7)에 대해 이미 설명한 것과 마찬가지이다. 한편, 이러한 구조를 취하지 않아도, 도 1에 나타낸 서셉터(4)의 기재(4a)를 정전척의 정전전극으로 함으로써 정전척으로서 기능시킬 수 있다.
이러한 서셉터상에서 기판(G)을 정전척으로 흡착유지함과 더불어, 온도조절하면서 당해 기판(G)의 처리, 예컨대 에칭처리를 행한다. 그리고, 복수의 기판(G)의 에칭처리를 반복함으로써, 정전척 위에 형성된 유전성 재료막(6) 표면에 부착물이 축적된다. 그러나, 본 실시형태에 있어서도, 凸부(7')가 기판(G)과의 사이의 스페이서의 역할을 담당하기 때문에, 부착물이 기판(G)에 접촉하기 어렵다. 따라서, 서셉터 위에 부착물을 매개해서 기판(G)과 접촉하는 부분이 생김으로써, 에칭불균일이 생긴다거나, 정전척에 의한 정전흡착을 해제한 후에도 기판(G)이 서셉터에 고착된다고 하는 문제가 방지된다.
다음에는 본 발명의 다른 실시형태에 대해 설명한다.
도 5a 및 도 5b에 나타낸 서셉터(100)는, 기재(4a), 중간층(5) 및 유전성 재료막(6)을 거의 수직으로 관통하여 유전성 재료막(6) 표면의 주연부에 취출구(吹出口)로서 개구되는 복수의 전열매체유로(傳熱媒體流路; 99)를 갖추고 있다. 이들 유로(99)를 통해 凸부(7)에 의해 기판(G)과 서셉터(100) 사이에 형성되는 공간에, 열전도매체 예컨대 헬륨가스를 가득 채워 기판을 똑같이 냉각할 수 있다. 이에 따라, 기판의 온도를 똑같이 하여 에칭 등의 플라즈마처리도 기판 전면에 걸쳐 똑같이 할 수 있다. 또, 서셉터(100)에서의 각 유로(9)의 취출구보다도 외측을 포위하여, 다른 부분보다도 높게 형성된 계단부(101)가 설치되어 있다. 이 계단부(101)에 의해 열전도매체가 서셉터(100)보다 외측의 영역으로 확산되는 것을 억제할 수 있다. 이 계단부(101)의 위면의 높이는 凸부(7)의 높이 이상으로 설정된다.
도 6a 및 도 6b에 나타낸 서셉터(100')는, 상기 계단부(101)보다도 폭이 넓은 계단부(101')를 갖춤과 더불어, 그 계단부(101') 위면 중앙을 따라 홈부(102)를 설치한 것이다. 이 경우, 전열매체유로(99)의 취출구는 홈부(102)의 밑면에 개구되어 있다. 또, 계단부(101')의 내측과, 홈부(102)의 내부를 연통하기 위한 절결부(103)가 적절히 형성되어 있다. 이 구성에 의해서도, 열전도매체가 서셉터 이외의 영역으로 확산되는 것을 억제할 수 있다.
또한, 도 5a∼도 6b의 서셉터에 있어서도, 상술한 바와 같이 정전척을 설치할 수 있다.
도 7에 나타낸 서셉터(100″)는 평면형상이 직사각형이고, 복수의 凸부(7)가 직교격자를 구성하도록 배치되어 있다. 그리고, 그 직교격자의 한쪽의 축(Y)이 서셉터(100″)의 한변(X)과 이루는 각도(θ)가 0°를 넘어 45° 이하로 되도록 설정되어 있다. 여기에서 말하는 직교격자라고 하는 것은, 단위격자(기본격자)가 직사각형인 격자이다.
유리기판 등의 직사각형 기판에는, 반도체 회로패턴이 노광되고, 에칭에 의해 그 반도체 회로패턴 등이 현상된다. 이 반도체 회로패턴 등에 있어서는, 직사각형 기판의 각 변과 평행으로 소스라인, 게이트라인 이외의 것이 배열되어 있다. 그리고, 서셉터의 어떤 凸부 배치가 특정의 회로패턴과 중첩되면, 그 부분에서 접촉이상이 생겨, 열전도나 전계가 변동하여 에칭불균일을 발생시킬 우려가 있다. 이 서셉터(100″)에서의 凸부의 배치는, 그러한 에칭불균일의 발생을 억제하기 위한 것이다. 또, 이와 같이 에칭불균일을 억제하는 관점에서는, 직교격자가 아니라, 凸부(7)가 불규칙하게 배치되어 있는 것이어도 좋다. 이러한 에칭불균일을 억제하는 서셉터로 도 5a∼도 6b의 구성을 채용할 수도 있다.
도 5∼도 7에 나타낸 바와 같은 전열매체유로(99)를 갖춘 서셉터를 구비한 처리장치는, 전열매체유로(99)가 헬륨원 등의 전열매체원에 접속되어 있는 이외의 점은 도 1에 나타낸 처리장치와 마찬가지이다.
또한, 본 발명은 이상 설명한 실시형태에 한정되는 것은 아니다. 예컨대, 본 발명의 처리정치로서는 플라즈마 에칭장치를 예로 들어 설명했지만, 에칭장치에 한정되지 않고, 애싱(ashing), CVD성막 등의 다른 플라즈마 처리장치에 적용할 수있다. 또, 하부전극에 고주파 전력을 인가하는 RIE 타입의 용량결합형 장치를 예로 들어 설명했지만, 상부전극에 고주파 전력을 공급하는 타입이어도 좋고, 또 용량결합형에 한정되지 않고 유도결합형의 장치여도 좋다. 또, 피처리기판은 LCD 유리기판에 한정되지 않고 반도체 웨이퍼여도 좋다.
이상 설명한 바와 같이 본 발명에 의하면, 유전성 재료막의 위에 복수의 개구를 갖는 개구판을 탑재하고, 개구판을 매개해서 세라믹스를 용사하여 凸부를 형성하므로, 기판지지대에 있어서 세라믹스로 이루어진 복수의 凸부를 용이하게 그리고 똑같이 분포시킬 수 있으며, 이들 凸부가 스페이서의 역할을 담당하여 상기 지지대 위에 부착물이 축적되어도 부착물이 피처리기판에 접촉하기 어렵게 된다. 따라서, 피처리기판 이면에 상기 지지대가 접촉하는 부분과 부착물이 접촉하는 부분이 생기는 것에 기인한 에칭불균일이나, 피처리기판이 상기 지지대에 흡착되는 일 등의 문제가 생기는 것을 방지할 수 있다.
또, 기판지지대를 직사각형으로 하고, 복수의 凸부를 직교격자를 구성하도록 배치하며, 직교격자의 하나의 축이 상기 직사각형의 하나의 변과 이루는 각도가 0°를 넘어 45° 이하이도록 구성하거나, 혹은 불규칙하게 배열하므로, 기판에 형성된 회로패턴과 凸부의 배치패턴이 중첩되지 않도록 할 수 있고, 에칭불균일 등의 처리불균일을 회피할 수 있다.

Claims (29)

  1. 기판 표면에 유전성 재료막을 형성하는 공정과,
    상기 유전성 재료막 위에 복수의 개구를 갖는 개구판을 매개해서 세라믹스(ceramics)를 용사(溶射)하여 세라믹스로 이루어진 복수의 凸부를 형성하는 공정을 구비한 것을 특징으로 하는 기판지지대의 제조방법.
  2. 제1항에 있어서, 상기 기재와 상기 유전성 재료막의 사이에 1개 이상의 중간층을 형성하는 공정을 더 구비한 것을 특징으로 하는 기판지지대의 제조방법.
  3. 제1항에 있어서, 상기 凸부를 형성하는 공정은, 상기 개구판을 상기 유전성 재료막 위에 간격을 두고 유지한 상태에서 행해지는 것을 특징으로 하는 기판지지대의 제조방법.
  4. 제3항에 있어서, 상기 凸부를 형성하는 공정에 있어서, 상기 개구판을 상기 유전성 재료막 위에 간격을 두고 유지하는 것은, 상기 개구판에서의 개구의 외주연(外周緣)보다도 외측에 대응하는 스페이서부재를, 상기 개구판과 상기 유전성 재료막의 사이에 개재시킴으로써 행해지는 것을 특징으로 하는 기판지지대의 제조방법.
  5. 기판 위에 제1의 유전성 재료막을 형성하는 공정과,
    상기 제1의 유전성 재료막 위에 도전막을 형성하는 공정,
    상기 도전막 위에 제2의 유전성 재료막을 형성하는 공정 및,
    상기 제2의 유전성 재료막 위에 복수의 개구를 갖는 개구판을 매개해서 세라믹스를 용사하여 세라믹스로 이루어진 복수의 凸부를 형성하는 공정을 구비한 것을 특징으로 하는 기판지지대의 제조방법.
  6. 제5항에 있어서, 상기 기재와 상기 제1의 유전성 재료막의 사이에 1개 이상의 중간층을 형성하는 공정을 더 구비한 것을 특징으로 하는 기판지지대의 제조방법.
  7. 제5항에 있어서, 상기 凸부를 형성하는 공정은, 상기 개구판을 상기 제2의 유전성 재료막 위에 간격을 두고 유지한 상태에서 행해지는 것을 특징으로 하는 기판지지대의 제조방법.
  8. 제7항에 있어서, 상기 凸부를 형성하는 공정에 있어서, 상기 개구판을 상기 제2의 유전성 재료막 위에 간격을 두고 유지하는 것은, 상기 개구판에서의 개구의 외주연보다도 외측에 대응하는 스페이서부재를, 상기 개구판과 상기 제2의 유전성 재료막의 사이에 개재시킴으로써 행해지는 것을 특징으로 하는 기판지지대의 제조방법.
  9. 제5항에 있어서, 상기 凸부를 형성하는 공정 전에, 상기 제2의 유전성 재료막 위에 1개 이상의 피복층을 형성하는 공정을 더 구비한 것을 특징으로 하는 기판지지대의 제조방법.
  10. 기재와,
    상기 기재 위에 형성된 유전성 재료막 및,
    상기 유전성 재료막의 위에 용사에 의해 형성된 세라믹스로 이루어진 복수의 凸부를 구비한 것을 특징으로 하는 기판지지대.
  11. 제10항에 있어서, 상기 기재가 정전척의 정전전극으로서 기능하는 것을 특징으로 하는 기판지지대.
  12. 제10항에 있어서, 상기 凸부의 높이가 50∼100㎛인 것을 특징으로 하는 기판지지대.
  13. 제10항에 있어서, 상기 凸부의 상부가 곡면으로만 이루어진 것을 특징으로 하는 기판지지대.
  14. 기재와,
    상기 기재 위에 형성된 제1의 유전성 재료막,
    상기 제1의 유전성 재료막 위에 형성된 도전막,
    상기 도전막 위에 형성된 제2의 유전성 재료막 및,
    상기 제2의 유전성 재료막 위에 용사에 의해 형성된 세라믹스로 이루어진 복수의 凸부를 구비한 것을 특징으로 하는 기판지지대.
  15. 제14항에 있어서, 상기 도전층이 정전척의 정전전극으로서 기능하는 것을 특징으로 하는 기판지지대.
  16. 제14항에 있어서, 상기 凸부의 상부가 곡면으로만 이루어진 것을 특징으로 하는 기판지지대.
  17. 제14항에 있어서, 상기 凸부의 높이가 50∼100㎛인 것을 특징으로 하는 기판지지대.
  18. 기판을 수용하는 처리용기와,
    상기 처리용기내에 설치되어 상기 기판이 지지되는 기판지지대,
    상기 처리용기내에 처리가스를 공급하는 가스공급수단 및,
    상기 처리용기내를 배기하는 배기수단을 구비하고,
    상기 기판지지대가
    기재와,
    상기 기재 위에 형성된 유전성 재료막 및,
    상기 유전성 재료막의 위에 용사에 의해 형성된 세라믹스로 이루어진 복수의 凸부를 갖춘 것을 특징으로 하는 처리장치.
  19. 기판을 수용하는 처리용기와,
    상기 처리용기내에 설치되어 상기 기판이 지지되는 기판지지대,
    상기 처리용기내에 처리가스를 공급하는 가스공급수단 및,
    상기 처리용기내를 배기하는 배기수단을 구비하고,
    상기 기판지지대가
    기재와,
    상기 기재 위에 형성된 제1의 유전성 재료막,
    상기 제1의 유전성 재료막 위에 형성된 도전막,
    상기 도전막 위에 형성된 제2의 유전성 재료막 및,
    상기 제2의 유전성 재료막 위에 용사에 의해 형성된 세라믹스로 이루어진 복수의 凸부를 갖춘 것을 특징으로 하는 처리장치.
  20. 기판을 수용하는 처리용기와,
    상기 처리용기내에 설치되어 상기 기판이 지지되는 기판지지대,
    상기 처리용기내에 처리가스를 공급하는 가스공급수단 및,
    상기 처리용기내를 배기하는 배기수단을 구비하고,
    상기 기판지지대가
    직사각형의 기재와,
    상기 기재 위에 형성된 복수의 凸부를 갖추며,
    상기 복수의 凸부가 상기 기재 위에 직교격자를 구성하도록 배치되고, 상기 직교격자의 한쪽의 축이 상기 기재의 한변과 이루는 각도가 0°를 넘어 45° 이하인 것을 특징으로 하는 처리장치.
  21. 제20항에 있어서, 상기 凸부가 상기 기판과 점접촉하는 형상을 갖고 있는 것을 특징으로 하는 처리장치.
  22. 제20항에 있어서, 상기 기판지지대가 그 표면에 복수의 취출구(吹出口)로서 개구되는 전열매체유로를 갖춘 것을 특징으로 하는 처리장치.
  23. 제22항에 있어서, 상기 기판지지대의 표면에 상기 취출구의 외측을 포위하여, 상기 凸부의 높이 이상의 높이를 갖는 계단부가 설치되어 있는 것을 특징으로 하는 처리장치.
  24. 제22항에 있어서, 상기 기판지지대의 표면에 그 외연부를 따라 상기 凸부의 높이 이상의 높이를 갖는 계단부가 설치되고,
    상기 계단부의 위면에 당해 계단부보다도 내측의 영역과 연통한 홈부가 형성되며,
    상기 홈부에 상기 취출구가 배치되어 있는 것을 특징으로 하는 처리장치.
  25. 기판을 수용하는 처리용기와,
    상기 처리용기내에 설치되어 상기 기판이 지지되는 기판지지대,
    상기 처리용기내에 처리가스를 공급하는 가스공급수단 및,
    상기 처리용기내를 배기하는 배기수단을 구비하고,
    상기 기판지지대가
    직사각형의 기재와,
    상기 기재 위에 불규칙한 배치로 형성된 복수의 凸부를 갖춘 것을 특징으로 하는 처리장치.
  26. 제25항에 있어서, 상기 凸부가 상기 기판과 점접촉하는 형상을 갖고 있는 것을 특징으로 하는 처리장치.
  27. 제25항에 있어서, 상기 기판지지대가 그 표면에 복수의 취출구로서 개구되는 전열매체유로를 갖춘 것을 특징으로 하는 처리장치.
  28. 제27항에 있어서, 상기 기판지지대의 표면에 상기 취출구의 외측을 포위하여, 상기 凸부의 높이 이상의 높이를 갖는 계단부가 설치되어 있는 것을 특징으로 하는 처리장치.
  29. 제27항에 있어서, 상기 기판지지대의 표면에 그 외연부를 따라 상기 凸부의 높이 이상의 높이를 갖는 계단부가 설치되고,
    상기 계단부의 위면에 당해 계단부보다도 내측의 영역과 연통한 홈부가 형성되며,
    상기 홈부에 상기 취출구가 배치되어 있는 것을 특징으로 하는 처리장치.
KR1020020007113A 2001-02-08 2002-02-07 기판지지대 및 그 제조방법과 처리장치 KR20020066198A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2001032712 2001-02-08
JPJP-P-2001-00032712 2001-02-08
JPJP-P-2001-00393918 2001-12-26
JP2001393918A JP3626933B2 (ja) 2001-02-08 2001-12-26 基板載置台の製造方法

Publications (1)

Publication Number Publication Date
KR20020066198A true KR20020066198A (ko) 2002-08-14

Family

ID=26609147

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020020007113A KR20020066198A (ko) 2001-02-08 2002-02-07 기판지지대 및 그 제조방법과 처리장치

Country Status (4)

Country Link
US (2) US20020134511A1 (ko)
JP (1) JP3626933B2 (ko)
KR (1) KR20020066198A (ko)
TW (1) TW548691B (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100707861B1 (ko) * 2004-12-28 2007-04-18 효창산업 주식회사 엘씨디 글라스용 카세트의 유리 거치대
KR100904563B1 (ko) * 2005-06-17 2009-06-25 도쿄엘렉트론가부시키가이샤 기판 탑재대, 기판 처리 장치 및 기판 탑재대의 제조 방법
KR101016582B1 (ko) * 2007-08-21 2011-02-22 주식회사 코미코 용사 돌기 형성용 마스크, 상기 마스크를 이용한 용사 돌기형성 방법 및 상기 마스크를 이용한 기판 지지대 제조방법
KR101302886B1 (ko) * 2010-07-26 2013-09-06 도쿄엘렉트론가부시키가이샤 기판 탑재대, 기판 탑재면에 수지 돌기물층을 형성하는 방법 및 수지 돌기물층 전사 부재
CN103325725A (zh) * 2012-03-21 2013-09-25 高美科株式会社 静电卡盘
WO2014127581A1 (zh) * 2013-02-19 2014-08-28 京东方科技集团股份有限公司 下部电极及其制作方法

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
JP4128469B2 (ja) * 2003-02-25 2008-07-30 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7198276B2 (en) * 2003-10-24 2007-04-03 International Business Machines Corporation Adaptive electrostatic pin chuck
US7663860B2 (en) 2003-12-05 2010-02-16 Tokyo Electron Limited Electrostatic chuck
US7050147B2 (en) * 2004-07-08 2006-05-23 Asml Netherlands B.V. Method of adjusting a height of protrusions on a support surface of a support table, a lithographic projection apparatus, and a support table for supporting an article in a lithographic apparatus
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP5059450B2 (ja) * 2007-03-06 2012-10-24 東京エレクトロン株式会社 基板載置台及び基板処理装置
WO2008114753A1 (ja) * 2007-03-22 2008-09-25 Tokyo Electron Limited 基板載置台,基板処理装置,基板載置台の表面加工方法
JP2008297615A (ja) * 2007-06-01 2008-12-11 Tokyo Electron Ltd 基板載置機構及び該基板載置機構を備えた基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP4672784B2 (ja) * 2009-05-21 2011-04-20 大日本印刷株式会社 露光機および露光機用チャックステージ
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5195711B2 (ja) * 2009-10-13 2013-05-15 東京エレクトロン株式会社 基板冷却装置、基板冷却方法及び記憶媒体
JP5869899B2 (ja) * 2011-04-01 2016-02-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、基板処理方法及びサセプタカバー
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20130107001A (ko) * 2012-03-21 2013-10-01 엘지이노텍 주식회사 증착 장치
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP5664592B2 (ja) 2012-04-26 2015-02-04 信越半導体株式会社 貼り合わせウェーハの製造方法
JP5390657B2 (ja) * 2012-05-02 2014-01-15 東京エレクトロン株式会社 基板載置台及び基板処理装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN103247362B (zh) * 2013-04-17 2016-02-03 隆科电子(惠阳)有限公司 一种电子陶瓷元件的卑金属复合电极及其制备方法
CN103269556A (zh) * 2013-05-14 2013-08-28 哈尔滨工业大学 大面积大气等离子体均匀放电电极
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10020218B2 (en) * 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP2019523989A (ja) 2016-06-01 2019-08-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 静電チャック及び静電チャックのための製造方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
JP6703907B2 (ja) * 2016-06-30 2020-06-03 新光電気工業株式会社 静電チャック、および、静電チャックの製造方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI612613B (zh) * 2017-03-10 2018-01-21 台灣積體電路製造股份有限公司 靜電式晶圓吸附座及其製造方法
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
KR102481728B1 (ko) * 2018-12-27 2022-12-29 가부시키가이샤 도모에가와 세이시쇼 정전 척 장치
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0719831B2 (ja) * 1986-10-13 1995-03-06 日本電信電話株式会社 静電チヤツク
JPH0562940A (ja) * 1991-09-03 1993-03-12 Sony Corp 矩形基板のドライエツチング装置
EP0635870A1 (en) * 1993-07-20 1995-01-25 Applied Materials, Inc. An electrostatic chuck having a grooved surface
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
JPH07153825A (ja) * 1993-11-29 1995-06-16 Toto Ltd 静電チャック及びこの静電チャックを用いた被吸着体の処理方法
US5885469B1 (en) * 1996-11-05 2000-08-08 Applied Materials Inc Topographical structure of an electrostatic chuck and method of fabricating same
US5531835A (en) * 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
JPH08148955A (ja) * 1994-11-18 1996-06-07 Matsushita Electric Ind Co Ltd 圧電振動子及びその製造方法
JP3457477B2 (ja) * 1995-09-06 2003-10-20 日本碍子株式会社 静電チャック
JPH09213777A (ja) * 1996-01-31 1997-08-15 Kyocera Corp 静電チャック
US5810933A (en) * 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US5764471A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
JP3911787B2 (ja) * 1996-09-19 2007-05-09 株式会社日立製作所 試料処理装置及び試料処理方法
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
JPH11168134A (ja) * 1997-12-03 1999-06-22 Shin Etsu Chem Co Ltd 静電吸着装置およびその製造方法
JP2000021962A (ja) * 1998-07-03 2000-01-21 Hitachi Ltd 静電吸着装置
JP3983387B2 (ja) * 1998-09-29 2007-09-26 日本碍子株式会社 静電チャック
US6320736B1 (en) * 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100707861B1 (ko) * 2004-12-28 2007-04-18 효창산업 주식회사 엘씨디 글라스용 카세트의 유리 거치대
KR100904563B1 (ko) * 2005-06-17 2009-06-25 도쿄엘렉트론가부시키가이샤 기판 탑재대, 기판 처리 장치 및 기판 탑재대의 제조 방법
KR101016582B1 (ko) * 2007-08-21 2011-02-22 주식회사 코미코 용사 돌기 형성용 마스크, 상기 마스크를 이용한 용사 돌기형성 방법 및 상기 마스크를 이용한 기판 지지대 제조방법
KR101302886B1 (ko) * 2010-07-26 2013-09-06 도쿄엘렉트론가부시키가이샤 기판 탑재대, 기판 탑재면에 수지 돌기물층을 형성하는 방법 및 수지 돌기물층 전사 부재
CN103325725A (zh) * 2012-03-21 2013-09-25 高美科株式会社 静电卡盘
KR20130106916A (ko) * 2012-03-21 2013-10-01 주식회사 미코 정전척
WO2014127581A1 (zh) * 2013-02-19 2014-08-28 京东方科技集团股份有限公司 下部电极及其制作方法

Also Published As

Publication number Publication date
TW548691B (en) 2003-08-21
US20050120962A1 (en) 2005-06-09
US20020134511A1 (en) 2002-09-26
JP3626933B2 (ja) 2005-03-09
JP2002313898A (ja) 2002-10-25

Similar Documents

Publication Publication Date Title
KR20020066198A (ko) 기판지지대 및 그 제조방법과 처리장치
JP2002313898A5 (ko)
TWI571909B (zh) 包括沉積設備的半導體製造系統
JP2005033221A (ja) 基板載置台および処理装置
JP4657824B2 (ja) 基板載置台、基板処理装置および基板載置台の製造方法
US7270713B2 (en) Tunable gas distribution plate assembly
JP4335438B2 (ja) 非対称の流動形状を用いるプロセスチャンバ用リッドアセンブリ
US20150200080A1 (en) Substrate processing apparatus
TWI434369B (zh) A substrate stage and a substrate processing device
JP7062383B2 (ja) アーク放電および点火を防ぎプロセスの均一性を向上させるための特徴を有する静電チャック
KR100756095B1 (ko) 처리가스도입기구 및 플라즈마처리장치
TWM564818U (zh) 氧氣相容電漿源
US20080194113A1 (en) Methods and apparatus for semiconductor etching including an electro static chuck
KR101261706B1 (ko) 기판 탑재대, 그 제조 방법 및 기판 처리 장치
US20070283891A1 (en) Table for supporting substrate, and vacuum-processing equipment
WO2004094693A2 (en) Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
KR20060100302A (ko) 양극처리된 기판 지지부
WO2017074700A1 (en) High productivity pecvd tool for wafer processing of semiconductor manufacturing
KR20210057669A (ko) 플라즈마 처리 장치
JP2019102521A (ja) 半導体製造装置用の部品及び半導体製造装置
TW202017041A (zh) 多通道噴淋頭
US20210005494A1 (en) Electrostatic chucks with coolant gas zones and corresponding groove and monopolar electrostatic clamping electrode patterns
KR102224586B1 (ko) 처리 챔버들을 위한 코팅 재료
WO2021257225A1 (en) High temperature face plate for deposition application
JP4126286B2 (ja) 処理装置

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
E801 Decision on dismissal of amendment
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20070530

Effective date: 20080414