TW548691B - Substrate supporting table, method for manufacturing the same and processing apparatus - Google Patents

Substrate supporting table, method for manufacturing the same and processing apparatus Download PDF

Info

Publication number
TW548691B
TW548691B TW091102269A TW91102269A TW548691B TW 548691 B TW548691 B TW 548691B TW 091102269 A TW091102269 A TW 091102269A TW 91102269 A TW91102269 A TW 91102269A TW 548691 B TW548691 B TW 548691B
Authority
TW
Taiwan
Prior art keywords
substrate
mounting table
dielectric material
aforementioned
material film
Prior art date
Application number
TW091102269A
Other languages
English (en)
Inventor
Joichi Ushioda
Koichi Sato
Tsutomu Satoyoshi
Hiromichi Ito
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TW548691B publication Critical patent/TW548691B/zh

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Nonlinear Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Description

548691 A7 B7 經濟部智慧財產局員工消費合作社印製
五、發明說明( 【技術領域】 本發明係有關於載置液晶顯示裝置(LCD)用之玻璃基 板等基板載置檯及其製造方法,且使用基板載置檯而對基 板進行乾式蝕刻等處理的處理裝置。 【技術背景】 例如於LCD製造處理步驟中,對於氖為被處理基板之 玻璃製LCD基板,多使用乾式蝕刻、濺鍍、CVD(化學氣相 成長)等電漿處理。 於如此的電漿處理中,例如於處理容器内配置一對平 行平板電極(上部及下部電極),於作為下部電極之支持器 (susceptor或稱載置檯)載置被處理基板。而將處理氣體導入 處理容器内,同時對電極之至少一側施加高頻而在電極間 形成高頻電場。藉著此高頻電場而形成處理氣體之電漿並 對被處理基板料電漿處理。此時被處理基板構成面接觸 於支持器表面的狀態。 然而,支持器之表面實際上係形成緩曲面,因此基板 與支持、之間產生部分性的微小間隙。另一方面,藉著重 複電漿處理而在支持器上蓄積附著物。 曰 此時如第8圖所示,附著物47係蓄積成為填埋被處理基 板G與支持器50之間隙狀態。因此於被處理基板g裹面產生 支持器5 0直接接觸的部分及藉由附著物4 7而接觸的部分。 亚因此等直接接觸的部分及藉由附著物4 7而接觸的部分之 熱傳導性的不同,而會有於被處理基板〇產生蝕刻不均(可 說是在被處理基板6混合著關率高的部分與低的部 裝」---*---訂---------· (請先閱讀背面之注咅?事項再填寫本頁)
本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 548691
4 °又’藉著如此附著物47的存
被支持器5〇吸著的情形。 ”自有被處理基板C (請先閱讀背面之注意事項再填寫本頁) 處理:置,係二:特開:Μ— 172237號公報所揭示之電漿 部。但θ此壯、持為“式料台)上面設置例如圓錐狀多數凸 以八屬疋 支持器與突起部係呈-體物件。若是要 有:困Γ幾械加工將如此構成的凸部作成均-,在技術上 有,、困難,且花成本及時間。 =,特開_力簡號公報所揭示之靜電夾盤及其 ::法,係於覆蓋靜電電極之燒成陶兗絕緣層表面形成 狀圖案。 又,特開平8- 70034號公報所揭示之用以降低靜電力 之附有圖案的支持器,乃藉著光照蝕刻而在支持器表面形 成凹凸圖f。藉此可降低固著力,而於電漿處理後能容易 從支持器分離晶圓。 又特開平1 〇 — 340896號公報所揭示之電漿cvd裝置 用支持器及其製造方法,係將鋁或鋁合金製之支持器表面 予以噴丸(shot blast)處理而形成凹凸部。而且以化學研磨、 電解研磨或拋光(buff)研磨而去除所形成之凸部的急劇突 經濟部智慧財產局員工消費合作社印製 起部。 但是,此等習知技術均為平坦的凸部上部,故會有以 電聚處理所發生的塵埃易形成堆積的缺點。 【發明概要】 本發明以提供一種基板載置檯及其製造方法以及處理 裝置為課題’係能防止在基板載置檯表面蓄積附著物所產 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 548691 A7 五、發明說明( 生1 虫刻不均等處理不均,及基板被吸著於基板載置檯上等 不良情形,而能克服上述習知技術之缺點的基板載置擾及 其製造方法,以及提供使用如此構成之基板載置檯支持器 之處理裝置者。
為了解決上述課題’本發明之第1觀點係提供-種基板 载置接之製造方法,係具有於基材表面形成介電性材料膜 的步驟;及於前述介電性材料膜上藉由具有多數開口之開 板而k射陶& μ形成由陶究所構成之多數凸部的步驟 /攸同樣的觀點’更可提供—種基板載置檯之製造方法 係具有於基材上形成第】介電性材料膜的步驟;於前述第 1介電性材料膜上形成第2介電性材料膜的步驟;及於前述 第2介電性材料膜上藉由具有多數開口之開口板而炼射陶 克,以形成由陶究所構成之多數凸部的步驟。 本發明之第2觀點係提供-種基板載置檯,係具有基材 ’形成於前述基材上的介電性材料膜;及於前述介電性材 料膜上藉由熔射所形成之陶究所構成之多數凸部。 經濟部智慧財產局員工消費合作社印製 從同樣的觀點,更可提供一種基板載置檯,係具有基 材’形成於前述基材上的第】介電性材料膜;形成在前述第 1介電材料膜上的導電層;形成在前述導電層上的第2介電 性材料膜;及於前述第2介電性材料獏上藉由炫射所形成之 陶究所構成之多數凸部。 本發明之第3觀點係提供一種處理裝置,係具有收容基 __容器4置於前述處理容器而可載置前述基板之 本紙張尺錢財國國家標準(CNS)A4規格(2l〇 X 297公髮) 548691 A7 B7 五 、發明說明( 基板載置檯;對前述處理容器内供給處理氣體之氣 ==處理容器予以排氣之排氣機構心二 及”:二2材;形成於前述基材上的介電性材料膜; 多料膜上藉由溶射所形成之陶究所構成之
美板:tn:觀點’更可提供一種處理裝置,係具有收容 基板的處理谷器;設置於前述處理容器而可載置前述基板 之基板載置檯;對前述處理容器内供給處理氣體之氣體供 給機構:及將前述處理容器予以排氣之排氣機構;且前述 基板載置檯料基材;形成於前述基材上的^介電性材料 I形成在前述“介電材料膜上的導電層;形成在前述導 電層上的第2介電性材料膜;及於前述第2介電性材料膜上 藉由熔射所形成之陶瓷所構成之多數凸部。 it 以上的情形係於介電性材料膜上溶射陶究而形成凸部 ’因此於基板載置檯能容易形成由陶究所構成之多數凸部 且能使該凸部一樣地分布。而此等凸部發揮間隔構件 (啊⑽)的魏,即使附著物蓄積在基板載置檯上亦使附著 物不易接觸被處理基板。因此可防止藉由附著物附著於基 板載置檯接觸被處理基板裹面的部分而造成的蝕刻不均^ 或1是被處理基板被基板載置檯吸著等不良情形。又,能容 易地製造上述那般的基板載置檯。 此h形下,以使上述基材或導電層作為靜電電極的功 能而可獲得具有靜電夾盤的基板載置檯。 又,本發明之第4觀點係提供一種處理裝置,係具有收 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公f ) 548691 A7
經濟部智慧財產局員工消費合作社印製
容基板的處理容器;設置於前述處理容器而可載置前述基 板之基板載置檯;對前述處理容器内供給處理氣體之氣體 供給機構;及將前述處理容器予以排氣之排氣機構;且前 述基板載置檯具有矩形基材;及形成在前述基材上之多數 凸部丄且前述多數凸部係配置成構成在前述基材上正交拇 格而前述正交柵格之一側轴與前述基材另一邊所形成之角 度係超過0而在45。以下。 從同樣的觀點,更可提供一種處理裝置’係具有收容 基板的處理容器;設置於前述處理容器而可載置前述基板 之基板載置檯;對前述處理容器内供給處理氣體之氣體供 給機構;及將前述處理容器予以排氣之排氣機構;且前述基板載置檯具有矩形基材;及形成在前述基材上以不規則 配置之多數凸部。 依據此等處理裝置能使形成在基板之電路圖案不重疊 方、凸°卩配置圖案,而能避免蝕刻不均等處理不均。 在上述任何構成中,前述凸部最好是在其上部與被處 理基板點接觸。以如此的構成狀態能使附著物所造成的 響變小。又’前述凸部的上部最好是僅由曲面構成。如此 -來因在凸部形成不存在角部(尖處)的狀態,故無突起被削 去而造成微粒的情形。【圖式之簡單說明】 广圖表示包含本發明之-實施樣態之支持器(基板載 置檯)的處理裝置之例子的電㈣刻裝置的斷面圖。 第2圖係用以說明第!圖之支持器之凸部的至形成方法 影 此 裝·」—.—訂---------___ (請先閱讀背面之注音J事項再填寫本頁)
本紙張尺度刺+目目(210 X 297公釐) -I I I - 548691 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 的斷面圖。 第3圖表示表示附著物附著於本發明之支持 的斷面圖。 °。 狀心 第4圖表示設置靜電夾盤之其他實施樣態之支持 斷面圖。 °° 第5圖A表示之另外其他實施樣態之支持器的斷面圖。 第5圖B表示第5圖八所示之支持器的部分平面圖。 第6圖A表示其他實施樣態之支持器的斷面圖。 第6圖B表示第6圖A所示之支持器的部分平面圖。 第7圖表示第其他實施樣態之支持器的平面圖。 第8圖表示表示附著物附著於習知之支持器上之狀態 的斷面圖。 ^ 【發明之較佳實施樣態】 以下參照圖式來說明本發明之實施樣態。 第1圖表示設置本發明之作為基板載置檯之支持器的 處理裝置之實施樣態的電漿蝕刻裝置的斷面圖。如第1圖及 第3圖所不,具有支持器4、基材4a、設置在基材如上的介 電性材料膜6、及形成在介電性材料膜6上之多數凸部7。 凸部7乃一致地形成在介電性材料膜6上的基板G載置 領域,而構成基板G載置於此凸部7上。凸部7具有作為隔離 支持為4與基板G之間的間隔構件的功能。藉此能防止附著 於支持器4上的附著物對基板G造成不良影響。 各凸部7之高度最好為50〜ΙΟΟμη^。一旦考慮到附著於 支持器4上之附著物的量,則以將凸部7的高度設在5〇μηι以
Μ ί-------訂--------- (請先閱讀背面之注意事項再填寫本頁)
五 、發明說明(7 ) 上的狀悲而能充分防止附著物對基板G造成不良影響。另一 方面’右疋而度超過100_的,貝丨^凸部7的強度會下降而合 造成降低基板刻率的問題,或是如將於後述之以; 而形成凸部7的情形下熔射時間變長等不良情形。又 饪度取好疋0.5〜lmm。各凸部7之間的間隔設成〇·5〜 為宜’最好是5〜1G_。凸部7之配置圖案無特別限 制可配置成例如交錯(staggerd)狀。 凸部7最好是至少將其上部形成半球狀等之曲面形狀 ’而使其與基板G成點接觸狀態。如此—來能使凸部7金基 板G之接觸部分極難附著附著物。另一方面,將凸部7的二 狀设成圓柱或角柱的情形下,上面為平面而會有附著物容 易附著於其上㈣缺點。 者物合 ^部7-般所知係以耐久性及耐腐姓性高之材料的陶 尤所構成。構成凸部7之陶变並不特別限^。之陶究並不特 二了 1」例如亦可為Sic之具有某種程度導電性 、材科凸邛7可藉由熔射而形成。 :電性材料膜6若是由介電性材料所構成的造,則不論 ^料,又不僅是高絕緣性材料,乃包含容許電荷移動之 2的導電性材料。如此的介電性材料則從耐久性及耐腐 ^硯點而論,以陶究構成者為宜。此情形下之陶究並 =別限定二與凸部7同樣地曲型上的例子可舉出A叫、 r2 3 Sl3N4等絕緣材料,然而,例 種程度導電性的材料。凸部 為Sl^、有某 j糟由丨谷射而形成。又,熔射 X 297公釐) 本紙張尺度_巾@ W?T^NS)A4 ^ (210 -10- 548691 A7 B7 五、發明說明( 經濟部智慧財產局員工消費合作社印製 之後亦可以研磨而將表面予以平滑化。 基材4a係用以支持介電性材料膜崎 碳等導電體所構成。 、3專金屬或 。其次說明以炫射將凸部7形成在介電性材料媒6的方法 凸部7亦可以機械加工及姓刻待其他方法來形成 ,此情形下會有技術上及成本上 :、 態採用以下的方法。 哺0此本貫施樣 非接觸配置於介電性材料膜6上,即設置間隔而保持。因 此’可將間隔構件65載置於介電性材料膜6上而於其上载置 口板66。即,於開口板66與介電性材料膜6之間介在著間 ⑺構:6日5 ^隔構件65之材料最好是金屬或耐熱性樹脂。 =’右是附有接著層之耐熱性樹脂薄片的話,則因可接著 ::性材料膜6而最佳。間隔構件65具有比開口板⑼之上方 積(除外開口部)小的上方投影面積,相對於開口板Μ 之各開口乃具有對應比其外周緣更外側的形狀。開口板661 之基材例如可使用板厚Q3mm左右的金屬板,具體而言 可使用不銹鋼板。 藉由此開口板66而熔射上述陶瓷,於對應開口之介 /材料胰6上的部分形成凸部7。如此一來即能比較容易 成凸。卩7又,藉由具有如此多數開口之作為遮罩的開口 板6曰進仃射而能將凸部7之上部形狀作成曲面形狀。此乃 σ 7寻★於烙射之際開口的周邊部成為壁障而造成妨礙陶瓷
訂 it 係 電 地
本紙張尺度適(21Q x 29 . 548691 A7 五、發明說明( 朝向半徑方向外側擴散的原因。 藉由如此的構成,能將以炫射而形成之 希望的形狀。㈣後除掉開w及間隔構件65。成所 又,以陶究之炼射來形成凸部7之際,雖然會有 ’'u此㈣τ可㈣成凸部7之後施予封理 。以熔射來形成介電性材料膜6之際亦同。 处
又,若是介電性材料膜6之材f與凸部7的材質相_ 活’由於兩者係牢固地結合因此較佳。但是^在處理 溫度範圍内兩者的結合充分的話,則兩者的材質亦可不同 。又’以相同材料來構成凸部7及介電性材料膜6的情 ’能以熔射來連續地形成此等構成。 / 又,如第3圖所心於基材4樓介電性材料膜%之間設 置中間層5。中間層5係膨脹係數表示基材4樓介電性材料又 膜6之中間值的材料所構成,具有緩和基材㈣介電性材料 版6之熱膨脹差的功能。χ,亦可以強化基材⑽介電性材 _6之接合而設置。又’中間層5並非必要的構件,支持 器4之尺寸小的情形、或是溫度變化量小的情形,或是基材 4 a與介電性材料膜6之接合為牢固的情形下,亦可省略;間 層5。又,中間層5不限於一層而能設二層以上。 曰 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 此中間層5係以鋁構成基材4a而以陶瓷構成介電性材 料膜6的情形下,例如能以鎳及紅合金來構成。又 中間層5的形成方法。 , 口夂第1圖所示之處理裝置藉著重複蝕刻處理而在如第3 圖所不支持為4之介電性材料膜6的表面蓄積從基板G蝕刻 本紙張尺度適用中國國豕標準(cns)a4規格⑵G X撕公爱) -12 548691 五、發明說明( A7 之物質等附著物47。但是於本實施樣態凸部7達到作為介電 性材料膜6與基板G之間的間隔構件的功能,而使蓄積在支 持器4上之附者物難以接觸基板〇。如此一來,以在支持器4 上藉由附著物47而產生與基板G接觸的部分,而能防止產生 蝕刻不均或基板G吸著於支持器4等不良情形。 其次參照第1圖來說明使用上述構成之支持器4之本發 明的處理裝置。此處理裝置丨係進行LCD玻璃基板之一定處 理之I置的斷面圖,以容量結合型平行平板電漿蝕刻裝置 為例來構成。然而本發明之處理裝置並不僅限於電漿蝕刻 裝置者。 此電漿姓刻裝置1例如具有表面經耐酸鋁處理(氧極氧 化處理)之鋁所構成而形成角筒形狀的處理容器2。此處理 容器2内的底部設置著絕緣材所構成之角柱狀絕緣板3。此 絕緣板3之上設置著用以載置被處理基板2LCD玻璃基板g 之前述支持器4。又,設置覆蓋支持器4之基材如之外周與 上面周緣部(未設置中間層5及介電性材料膜6的部分)的絕 緣構件8。 支持器4連接用以供給高頻電力之供電線23。此供電線 23連接整流器24及高頻電源25。從高頻電源24對支持器4 供給例如13·56ΜΗζ之高頻電力。 支持器4的上方,肖此支持器4平行地對向而設置作為 上部電極功能的噴頭11。噴頭n被支撐在處理容器2的上部 而在内部具有空間12之同時,於與支持器4呈對向面形成 用以土出處理氣體之多數吐出孔i 3。此喷頭η接地而與支 (請先閱讀背面之注意事項再填寫本頁)
經濟部智慧財產局員工消費合作社印製 -13- 548691 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(11) 持為一同地構成一對的平行平板電極。 噴頭11的上面設置氣體導入口14,此氣體導入口 14連 接處理氣體供給管15。此處理氣體供給管15藉由闕16及質 量流量控制器17而連接處理氣體供給管18。從此處理氣體 供給管18供給用以餘刻之處理氣體。處理氣體可使用齒素 氣體、氧氣、氬氣等,通常在此領域使用的氣體。 處理容器2之側壁底部連接排氣管19,此排氣管19連接 排氣裝置20。排氣裝置2〇具有涡輪分子果等真空果 此 可構成將處理容器2内真空抽取至一定的減壓環境。又,處 理容器2之側壁設置基板搬入出口 21與用以開閉此基板搬 入出口21之閘閥22。以將此閘閥22設為開的狀態而構成能 將處理容器2與鄰接於此之加載互鎖(1〇acM〇ck)室(圖式未 顯示)之間搬送基板G。 其次說明如此構成之電漿蝕刻裝置丨之處理動作。 首先,作為被處理體之基板G於開放閘閥22之後,藉由 基板搬入出口 21從圖式未顯示之加載互鎖室搬入處理容器 2内。被搬入的基板G載置於形成在支持器4上的凸部了上。 此情形下之基板G的交接係藉由插通支持器销部而設置成 可向上方大出之幵降銷(圖式未顯示)來進行。其後關閉問闕 22而藉著排氣裝置2G將處理容器2内真空抽取至一定的真 空度。 … 之後開放閥16而-邊從處理氣體供給源18將處理氣體 以質量流量控制器17而調整其流量,一邊透過處理氣體供 給们5、氣體導入口 14而導入噴頭u之内部空間12。 (請先閱讀背面之注意事項再填寫本頁)
-14- 548691 A7
(請先閱讀背面之注意事項再填寫本頁)
裝--------訂-------- 0 548691 A7
548691
段部101而能抑制熱傳導媒體朝向比支持器100更外側領域 擴散。此段部101上面的高度設定在凸部7的高度以上。 第6圖A及第6圖B所示之支持器100,,具有比上述段部 101之寬度更大的段部101’,同時沿著該段部1〇1,上面中央 而設置溝部102。此情形下,傳熱媒體流路99之吹出口在溝 部102底面開口。又,適當地形成用以連通段部1〇1,之内側 與溝部102内部之缺陷部103。以此構成亦能抑制熱傳導媒 體朝向支持器以外的領域擴散。 又,第5圖A〜第6圖B中的支持器亦如上述可設置靜電 央盤。 第7圖所示之支持器100”之平面形狀為矩形,配置成多 數凸部7構成正交柵格狀態。而設定正交栅格之一側的軸丫 與支持器101”之一邊所形成之角度θ超過〇。而45。以下。 於玻璃基板等之矩形基板,半導體電路圖案被曝光而 以I虫刻使該半導體電路圖案顯像。於此半導體電路圖案與 矩形基板之各邊平行地配置源線、閘線。一旦支持器之某 凸部配置與一定電路圖案重疊時,則在該部分會發生接觸 異常而有熱傳導或電場變動以致於會產生蝕刻不均之虞。 此支持杰100”之凸部的配置係用以抑制那般蚀刻不均的發 生者。又,從如此地抑制姓刻不均的觀點而論,即使並非 正父柵格而將凸部7不規則地配置者亦可。對於抑制如此的 钱刻不均的支持器可採用第5圖A〜第6圖b的構成。 具備有具有第5圖〜第7圖所示之傳熱媒體流路99的支 持器的處理裝置,其傳熱媒體流路99連接於連接於氮氣氣 -17- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
經濟部智慧財產局員工消費合作社印製 548691 A7 B7 五、發明說明( 15 部 智 慧 員 工 消 費 合 1 體源等傳熱媒體以外的點乃與第i圖所示之處理裝置相同。 又,本發明並不僅限於以上所說明之實施樣態。例如 本發明之處理裝置例取例電漿钱刻裝置來說明,惟,並不 限:姓刻裝置,乃可應用於灰化、㈣成膜等其他電漿處 理,置。又’雖然取例說明對下部電極施加高頻電力之脱 形態之容量結合型裝置,然而,亦可為對上部電極供 =力的型態’且不限於容量結合型而可為誘導結合型的 衣。又’被處理基板不限於LCD破璃基板 體晶圓。 )J马半V 【元件標號對照】 1 處理裝置 2 處理容器 3 角柱狀絕緣板 4 支持器 4a 基材 5 中間層 6 介電性材料膜 6’第2介電性材料膜 7 凸部 7’ 凸部 11 噴頭 12 空間 13 吐出孔 14 氣體導入口 15 處理氣體供給管 16 閥 質量流量控制器 8 處理氣體供給管
本紙張尺度適用中國國家標準(CNS)A4規格⑵Q χ 29?公髮 -18 548691 A7 _B7 五、發明說明(16 ) 經濟部智慧財產局員工消費合作社印製 19 排氣管 20 排氣裝置 21 基板搬入出口 22 閘閥 23 供電線 24 整流器 25 南頻電源 31 第1介電性材料膜 32 導電層 47 附著物 50 支持器 65 間隔構件 66 開口板 99 傳熱媒體流路 100 支持器 101 段部 102 溝部 103 缺陷部 19 (請先閱讀背面之注意事項再填寫本頁)
裝 _ ϋ ..
-ti ϋ ϋ 一一口τ I ·_>1 1 ϋ n ϋ— i_i I 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 548691 0^888 abcd 曰期:
    一種基板載置檯之製造方法,具有·· 申請專利範圍 第91助69號專利巾請案申請專利範圍修正本j 於基材表面形成介電性材料膜的步驟;及 ^前述介電性材料膜上藉由具❹數開口之心板而 熔射陶究’以形成由陶究所構成之多數凸部的步驟。 2. 如申請專利範圍第】項之基板载置檯之製造方法,盆中更 具有在前述基材與前述介電性材料膜之間形成 中間層的步驟。< 3. 如申請專利範圍第1項之基板載置檯之製造方法,兑中护 成剛述凸部的步驟係在將前述開口板於前述介電性膜 上配置間隔而保持的狀態下進行。 、 如申《月專利乾圍第3項之基板載置檯之製造方法, 形成前述凸部的步驟中,將前述開口板於前述介電性材料 膜上配置間隔而保持的狀態,係將對應前述開口板之:! 的外周緣更外側的間隔構件介在前述開口板 ^ 材料膜之間而形成。 寬性 5. —種基板載置檯之製造方法,具有: 於基材上形成第1介電性材料膜的步驟; 驟;Γ述第1介電性材料獏上形成第2介電性材料膜的步 於前述第2介電性枯料膜上藉由具有多數開口 板而炼射陶究,以形成由陶竟所構成之多數凸j 6. 如申請專利範圍第5項之基板載置掩之製造方法,=更 --------訂--------- (請先閱讀背面之注意事項寫本頁) π 寫太 本紙張尺度適用中國國家標準(^JS)A4規;fF (2i〇x 297^y 548691 A8 B8 C8 D8 t、申請專利範圍 具有在前述基材與前述介電性材料膜之間形成一層以上之 中間層的步驟。 7. 如申請專利範圍第5項之基板載置檯之製造方法,其中形 成前述凸部的步驟係在將前述開口板於前述第2介電性材 料膜上配置間隔而保持的狀態下進行。 8. 如申請專利範圍第7項之基板載置檯之製造方法,其中於 形成前述凸部的步驟中,將前述開口板於前述第2介電性 材料膜上配置間隔而保持的狀態,係將對應前述開口板之 開口的外周緣更夕卜側的間隔構件介在前述開口板與前述第 2介電性材料膜之間而形成。 9. 如申請專利範圍第5項之基板載置檯之製造方法,其中更 具有在形成前述凸部之步驟之前,在前述第2介電性材料 膜上形成一層以上之被覆層的步驟。 10. —種基板載置檯,具有: 基材; 形成於前述基材上的介電性材料膜;及 於前述介電性材料膜上藉由熔射所形成之陶瓷所構成 之多數凸部。 11. 如申請專利範圍第10項之基板載置檯,其中前述基材係作 為靜電夾盤之靜電電極的功能。 12. 如申請專利範圍第10項之基板載置檯,其中前述凸部之高 度為50〜ΙΟΟμηι。 13. 如申請專利範圍第10項之基板載置檯,其中前述凸部之上 部僅由曲面所構成。 21 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------訂--------- ♦ - (請先閱讀背面之注意事項寫本頁) 經濟部智慧財產局員工消費合作社印製 548691 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8t、申請專利範圍 14. 一種基板載置檯,具有: 基材; 形成於前述基材上的第1介電性材料膜; 形成在前述第1介電材料膜上的導電層; 形成在前述導電層上的第2介電性材料膜;及 於前述第2介電性材料膜上藉由熔射所形成之陶瓷所 構成之多數凸部。 15. 如申請專利範圍第14項之基板載置檯,其中前述導電層係 作為靜電夾盤之.靜電電極的功能。 16. 如申請專利範圍第14項之基板載置檯,其中前述凸部之上 部僅由曲面所構成。 Π.如申請專利範圍第14項之基板載置檯,其中前述凸部之高 度為50〜ΙΟΟμηι。 18. —種基板處理裝置,具有·· 收容基板的處理容器; 設置於前述處理容器而可載置前述基板之基板載置檯; 對前述處理容器内供給處理氣體之氣體供給機構;及 將前述處理容器予以排氣之排氣機構, 且前述基板載置檯具有: 基材; 形成於前述基材上的介電性材料膜;及 於前述介電性材料膜上藉由熔射所形成之陶瓷所構成 之多數凸部。 19. 一種基板處理裝置,具有: (請先閱讀背面之注意事項寫本頁)
    一-°J* -
    22 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 548691 A8 B8 C8 D8 六、申請專利範圍 收容基板的處理容器; 設置於前述處理容器而可載置前述基板之基板載置檯; 對前述處理容器内供給處理氣體之氣體供給機構;及 將前述處理容器予以排氣之排氣機構, 且前述基板載置檯具有: 基材; 形成於前述基材上的第1介電性材料膜; 形成在前述第1介電材料膜上的導電層; 形成在前述.導電層上的第2介電性材料膜;及 於前述第2介電性材料膜上藉由熔射所形成之陶瓷所 構成之多數凸部。 20. —種基板處理裝置,具有: 收容基板的處理容器; 設置於前述處理容器而可載置前述基板之基板載置檯; 對前述處理容器内供給處理氣體之氣體供給機構;及 將前述處理容器予以排氣之排氣機構, 且前述基板載置檯具有: 矩形的基材;及 形成在前述基材上之多數凸部, 且前述多數凸部係配置成構成在前述基材上正交柵格 而前述正交拇格之一側轴與前述基材另一邊所形成之角度 係超過0°而在45°以下。 21. 如申請專利範圍第20項之基板處理裝置,其中前述凸部具 有與前述基板點接觸的形狀。 23 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項 -------訂---------線』 , - 寫本頁) 經濟部智慧財產局員工消費合作社印製 548691 A8 B8 C8 D8 t、申請專利範圍 22. 如申請專利範圍第20項之基板處理裝置,其中前述基板載 置檯之表面具有作為複數吹出口而開口的傳熱媒體流路。 23. 如申請專利範圍第22項之基板處理裝置,其中前述基板載 置檯之表面設置包圍前述吹出口之外側而具有前述凸部高 度以上高度的段部。 24. 如申請專利範圍第22項之基板處理裝置,其中前述基板載 置檯之表面設置沿著該外緣部而具有比前述凸段高度以上 的段部,於前述段部上面形成與比該段部更内側之領域連 通的溝部,並於前述溝部配置前述吹出口。 25. —種基板處理裝置,具有: 收容基板的處理容器; 設置於前述處理容器而可載置前述基板之基板載置檯; 對前述處理容器内供給處理氣體之氣體供給機構;及 將前述處理容器予以排氣之排氣機構, 且前述基板載置檯具有: 矩形的基材;及 形成在前述基材上以不規則配置之多數凸部。 26. 如申請專利範圍第25項之基板處理裝置,其中前述凸部具 有與前述基板點接觸的形狀。 27. 如申請專利範圍第25項之基板處理裝置,其中前述基板載 置檯之表面具有作為複數吹出口而開口的傳熱媒體流路。 28. 如申請專利範圍第27項之基板處理裝置,其中前述基板載 置檯之表面設置包圍前述吹出口之外側而具有前述凸部高 度以上高度的段部。 24 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公笈) (請先閱讀背面之注意事項 1m;寫本頁) ·11111111 經濟部智慧財產局員工消費合作社印製 548691
    '申請專利範圍 士申。月專利祀圍第27項之基板處理裝置,其中前述基板載 置檯之表面設置沿著該外緣·具有比前述凸段高度 二’於前述段部上面形成與比該段部 : 通的溝部,並於前述溝部配置前述吹出口。 又項域連 (請先閱讀背面之注音?事項 .11 βϋτ 本 Ϊ .線·丨 經濟部智慧財產局員工消費合作社印製 表紙張尺度適用中國國家標準(CNS)A4規格(2W x 29f^ —25 -
TW091102269A 2001-02-08 2002-02-07 Substrate supporting table, method for manufacturing the same and processing apparatus TW548691B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001032712 2001-02-08
JP2001393918A JP3626933B2 (ja) 2001-02-08 2001-12-26 基板載置台の製造方法

Publications (1)

Publication Number Publication Date
TW548691B true TW548691B (en) 2003-08-21

Family

ID=26609147

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091102269A TW548691B (en) 2001-02-08 2002-02-07 Substrate supporting table, method for manufacturing the same and processing apparatus

Country Status (4)

Country Link
US (2) US20020134511A1 (zh)
JP (1) JP3626933B2 (zh)
KR (1) KR20020066198A (zh)
TW (1) TW548691B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI404160B (zh) * 2009-10-13 2013-08-01 Tokyo Electron Ltd A substrate cooling device, a substrate cooling method, and a memory medium
TWI413205B (zh) * 2005-06-17 2013-10-21 Tokyo Electron Ltd A substrate mounting table, a substrate processing apparatus, and a substrate mounting table
TWI425112B (zh) * 2007-06-01 2014-02-01 Tokyo Electron Ltd A substrate mounting mechanism, and a substrate processing device including the substrate mounting mechanism
TWI728977B (zh) * 2015-11-17 2021-06-01 美商應用材料股份有限公司 具有沉積表面特徵之基板支撐組件
TWI813840B (zh) * 2018-12-27 2023-09-01 日商巴川製紙所股份有限公司 靜電夾頭裝置

Families Citing this family (370)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
JP4128469B2 (ja) * 2003-02-25 2008-07-30 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7198276B2 (en) * 2003-10-24 2007-04-03 International Business Machines Corporation Adaptive electrostatic pin chuck
KR100666039B1 (ko) 2003-12-05 2007-01-10 동경 엘렉트론 주식회사 정전척
US7050147B2 (en) * 2004-07-08 2006-05-23 Asml Netherlands B.V. Method of adjusting a height of protrusions on a support surface of a support table, a lithographic projection apparatus, and a support table for supporting an article in a lithographic apparatus
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
KR100707861B1 (ko) * 2004-12-28 2007-04-18 효창산업 주식회사 엘씨디 글라스용 카세트의 유리 거치대
JP5059450B2 (ja) * 2007-03-06 2012-10-24 東京エレクトロン株式会社 基板載置台及び基板処理装置
US20100108108A1 (en) * 2007-03-22 2010-05-06 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and method for treating surface of substrate mounting table
KR101016582B1 (ko) * 2007-08-21 2011-02-22 주식회사 코미코 용사 돌기 형성용 마스크, 상기 마스크를 이용한 용사 돌기형성 방법 및 상기 마스크를 이용한 기판 지지대 제조방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP4672784B2 (ja) * 2009-05-21 2011-04-20 大日本印刷株式会社 露光機および露光機用チャックステージ
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5570900B2 (ja) * 2010-07-26 2014-08-13 東京エレクトロン株式会社 基板載置面に樹脂突起物層を形成する方法及び樹脂突起物層転写部材
JP5869899B2 (ja) * 2011-04-01 2016-02-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、基板処理方法及びサセプタカバー
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101974386B1 (ko) * 2012-03-21 2019-05-03 주식회사 미코 정전척
KR20130107001A (ko) * 2012-03-21 2013-10-01 엘지이노텍 주식회사 증착 장치
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP5664592B2 (ja) * 2012-04-26 2015-02-04 信越半導体株式会社 貼り合わせウェーハの製造方法
JP5390657B2 (ja) * 2012-05-02 2014-01-15 東京エレクトロン株式会社 基板載置台及び基板処理装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103149751B (zh) * 2013-02-19 2015-09-16 北京京东方光电科技有限公司 一种下部电极及其制作方法
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN103247362B (zh) * 2013-04-17 2016-02-03 隆科电子(惠阳)有限公司 一种电子陶瓷元件的卑金属复合电极及其制备方法
CN103269556A (zh) * 2013-05-14 2013-08-28 哈尔滨工业大学 大面积大气等离子体均匀放电电极
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11823940B2 (en) 2016-06-01 2023-11-21 Applied Matierals, Inc. Electrostatic chuck and manufacturing method therefor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
JP6703907B2 (ja) * 2016-06-30 2020-06-03 新光電気工業株式会社 静電チャック、および、静電チャックの製造方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI612613B (zh) * 2017-03-10 2018-01-21 台灣積體電路製造股份有限公司 靜電式晶圓吸附座及其製造方法
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR102672383B1 (ko) 2019-06-28 2024-06-04 엔지케이 인슐레이터 엘티디 웨이퍼 배치대 및 그 제법
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0719831B2 (ja) * 1986-10-13 1995-03-06 日本電信電話株式会社 静電チヤツク
JPH0562940A (ja) * 1991-09-03 1993-03-12 Sony Corp 矩形基板のドライエツチング装置
EP0635870A1 (en) * 1993-07-20 1995-01-25 Applied Materials, Inc. An electrostatic chuck having a grooved surface
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
JPH07153825A (ja) * 1993-11-29 1995-06-16 Toto Ltd 静電チャック及びこの静電チャックを用いた被吸着体の処理方法
US5885469B1 (en) * 1996-11-05 2000-08-08 Applied Materials Inc Topographical structure of an electrostatic chuck and method of fabricating same
US5531835A (en) * 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
JPH08148955A (ja) * 1994-11-18 1996-06-07 Matsushita Electric Ind Co Ltd 圧電振動子及びその製造方法
JP3457477B2 (ja) * 1995-09-06 2003-10-20 日本碍子株式会社 静電チャック
JPH09213777A (ja) * 1996-01-31 1997-08-15 Kyocera Corp 静電チャック
US5810933A (en) * 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US5764471A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
JP3911787B2 (ja) * 1996-09-19 2007-05-09 株式会社日立製作所 試料処理装置及び試料処理方法
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
JPH11168134A (ja) * 1997-12-03 1999-06-22 Shin Etsu Chem Co Ltd 静電吸着装置およびその製造方法
JP2000021962A (ja) * 1998-07-03 2000-01-21 Hitachi Ltd 静電吸着装置
JP3983387B2 (ja) * 1998-09-29 2007-09-26 日本碍子株式会社 静電チャック
US6320736B1 (en) * 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI413205B (zh) * 2005-06-17 2013-10-21 Tokyo Electron Ltd A substrate mounting table, a substrate processing apparatus, and a substrate mounting table
TWI425112B (zh) * 2007-06-01 2014-02-01 Tokyo Electron Ltd A substrate mounting mechanism, and a substrate processing device including the substrate mounting mechanism
TWI404160B (zh) * 2009-10-13 2013-08-01 Tokyo Electron Ltd A substrate cooling device, a substrate cooling method, and a memory medium
TWI728977B (zh) * 2015-11-17 2021-06-01 美商應用材料股份有限公司 具有沉積表面特徵之基板支撐組件
TWI774205B (zh) * 2015-11-17 2022-08-11 美商應用材料股份有限公司 具有沉積表面特徵之基板支撐組件
TWI813840B (zh) * 2018-12-27 2023-09-01 日商巴川製紙所股份有限公司 靜電夾頭裝置

Also Published As

Publication number Publication date
JP2002313898A (ja) 2002-10-25
US20020134511A1 (en) 2002-09-26
US20050120962A1 (en) 2005-06-09
KR20020066198A (ko) 2002-08-14
JP3626933B2 (ja) 2005-03-09

Similar Documents

Publication Publication Date Title
TW548691B (en) Substrate supporting table, method for manufacturing the same and processing apparatus
JP2005033221A (ja) 基板載置台および処理装置
JP5059450B2 (ja) 基板載置台及び基板処理装置
JP2002313898A5 (zh)
JP2008177493A (ja) 基板処理装置及びフォーカスリング
JP2008294042A (ja) 載置台およびそれを用いたプラズマ処理装置
JP2008251742A (ja) 基板処理装置及びフォーカスリングを載置する基板載置台
JP2011009692A (ja) 静電吸着電極およびその製造方法、ならびに基板処理装置
TW202028499A (zh) 基板保持機構及成膜裝置
JP4783094B2 (ja) プラズマ処理用環状部品、プラズマ処理装置、及び外側環状部材
TWI549221B (zh) Electrostatic fixture
JP4493863B2 (ja) プラズマ処理装置およびそのクリーニング方法および静電チャックの除電方法
JP4709047B2 (ja) 基板処理装置及び側壁部品
JP7546456B2 (ja) エッジリングおよび基板処理装置
JP5570900B2 (ja) 基板載置面に樹脂突起物層を形成する方法及び樹脂突起物層転写部材
TW200403749A (en) Plasma processing device and plasma processing method
JP5390657B2 (ja) 基板載置台及び基板処理装置
JP5302813B2 (ja) 堆積物対策用カバー及びプラズマ処理装置
JP4602528B2 (ja) プラズマ処理装置
JP7361588B2 (ja) エッジリング及び基板処理装置
JP2004071791A (ja) 基板載置部材およびそれを用いた基板処理装置
JP4126286B2 (ja) 処理装置
JPH0329324A (ja) 半導体装置の製造方法
TW202030799A (zh) 電漿處理裝置
JPS63281430A (ja) ドライエッチング装置の電極構造

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent