JP2023022078A - 集積された受動部品を有する接合構造物 - Google Patents
集積された受動部品を有する接合構造物 Download PDFInfo
- Publication number
- JP2023022078A JP2023022078A JP2022180925A JP2022180925A JP2023022078A JP 2023022078 A JP2023022078 A JP 2023022078A JP 2022180925 A JP2022180925 A JP 2022180925A JP 2022180925 A JP2022180925 A JP 2022180925A JP 2023022078 A JP2023022078 A JP 2023022078A
- Authority
- JP
- Japan
- Prior art keywords
- capacitor
- insulating
- electronic component
- passive electronic
- passive
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000004377 microelectronic Methods 0.000 claims abstract description 51
- 239000003990 capacitor Substances 0.000 claims description 370
- 239000000758 substrate Substances 0.000 claims description 211
- 239000000853 adhesive Substances 0.000 claims description 107
- 230000001070 adhesive effect Effects 0.000 claims description 107
- 239000011810 insulating material Substances 0.000 claims description 82
- 238000000034 method Methods 0.000 claims description 74
- 238000000465 moulding Methods 0.000 claims description 55
- 239000000463 material Substances 0.000 claims description 54
- 239000003989 dielectric material Substances 0.000 claims description 29
- 239000004020 conductor Substances 0.000 claims description 26
- 229910052751 metal Inorganic materials 0.000 claims description 22
- 239000002184 metal Substances 0.000 claims description 22
- 150000001875 compounds Chemical class 0.000 claims description 18
- 229910000679 solder Inorganic materials 0.000 claims description 12
- 229910002976 CaZrO3 Inorganic materials 0.000 claims description 5
- 229910003327 LiNbO3 Inorganic materials 0.000 claims description 5
- 229910021523 barium zirconate Inorganic materials 0.000 claims description 5
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 2
- 238000010348 incorporation Methods 0.000 abstract 1
- 239000010410 layer Substances 0.000 description 299
- 239000004065 semiconductor Substances 0.000 description 123
- 239000012790 adhesive layer Substances 0.000 description 46
- DFBKLUNHFCTMDC-GKRDHZSOSA-N endrin Chemical compound C([C@@H]1[C@H]2[C@@]3(Cl)C(Cl)=C([C@]([C@H]22)(Cl)C3(Cl)Cl)Cl)[C@@H]2[C@H]2[C@@H]1O2 DFBKLUNHFCTMDC-GKRDHZSOSA-N 0.000 description 36
- 235000012431 wafers Nutrition 0.000 description 25
- 239000000919 ceramic Substances 0.000 description 24
- 239000012212 insulator Substances 0.000 description 22
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 20
- 229910052710 silicon Inorganic materials 0.000 description 20
- 239000010703 silicon Substances 0.000 description 20
- 238000012545 processing Methods 0.000 description 15
- 229910052799 carbon Inorganic materials 0.000 description 14
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 12
- 238000010344 co-firing Methods 0.000 description 12
- 238000004891 communication Methods 0.000 description 12
- 238000010304 firing Methods 0.000 description 12
- 229910000510 noble metal Inorganic materials 0.000 description 12
- 239000003870 refractory metal Substances 0.000 description 12
- 229910052814 silicon oxide Inorganic materials 0.000 description 12
- 229920000642 polymer Polymers 0.000 description 9
- 239000011521 glass Substances 0.000 description 8
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 7
- 229910052782 aluminium Inorganic materials 0.000 description 7
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 7
- 230000008901 benefit Effects 0.000 description 7
- 229910052802 copper Inorganic materials 0.000 description 7
- 239000010949 copper Substances 0.000 description 7
- 230000008878 coupling Effects 0.000 description 7
- 238000010168 coupling process Methods 0.000 description 7
- 238000005859 coupling reaction Methods 0.000 description 7
- 238000010586 diagram Methods 0.000 description 7
- 238000004519 manufacturing process Methods 0.000 description 7
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 7
- 239000000835 fiber Substances 0.000 description 6
- 230000008569 process Effects 0.000 description 6
- -1 Bi4Ti3O12 Inorganic materials 0.000 description 5
- 229920000106 Liquid crystal polymer Polymers 0.000 description 5
- 239000004977 Liquid-crystal polymers (LCPs) Substances 0.000 description 5
- WYTGDNHDOZPMIW-RCBQFDQVSA-N alstonine Natural products C1=CC2=C3C=CC=CC3=NC2=C2N1C[C@H]1[C@H](C)OC=C(C(=O)OC)[C@H]1C2 WYTGDNHDOZPMIW-RCBQFDQVSA-N 0.000 description 5
- 238000000151 deposition Methods 0.000 description 5
- 238000005137 deposition process Methods 0.000 description 5
- 238000010438 heat treatment Methods 0.000 description 5
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 5
- 230000003287 optical effect Effects 0.000 description 5
- 238000005240 physical vapour deposition Methods 0.000 description 5
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- 229910015846 BaxSr1-xTiO3 Inorganic materials 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- 229910020696 PbZrxTi1−xO3 Inorganic materials 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 230000010354 integration Effects 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 230000037361 pathway Effects 0.000 description 4
- 239000000843 powder Substances 0.000 description 4
- 238000003825 pressing Methods 0.000 description 4
- 230000003746 surface roughness Effects 0.000 description 4
- 239000004593 Epoxy Substances 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 229910052454 barium strontium titanate Inorganic materials 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 230000000903 blocking effect Effects 0.000 description 3
- 230000000295 complement effect Effects 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 229910044991 metal oxide Inorganic materials 0.000 description 3
- 150000004706 metal oxides Chemical class 0.000 description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 3
- 238000004806 packaging method and process Methods 0.000 description 3
- 229910052697 platinum Inorganic materials 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 238000002360 preparation method Methods 0.000 description 3
- 229910052707 ruthenium Inorganic materials 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- 239000010409 thin film Substances 0.000 description 3
- 229920000049 Carbon (fiber) Polymers 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 239000004917 carbon fiber Substances 0.000 description 2
- 239000002131 composite material Substances 0.000 description 2
- 239000008393 encapsulating agent Substances 0.000 description 2
- 239000000945 filler Substances 0.000 description 2
- 239000010408 film Substances 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 239000012811 non-conductive material Substances 0.000 description 2
- 230000003071 parasitic effect Effects 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 229910021426 porous silicon Inorganic materials 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 230000004913 activation Effects 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 239000013590 bulk material Substances 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 230000001066 destructive effect Effects 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000005553 drilling Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(IV) oxide Inorganic materials O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 230000002452 interceptive effect Effects 0.000 description 1
- 238000005304 joining Methods 0.000 description 1
- 230000002045 lasting effect Effects 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 229920000307 polymer substrate Polymers 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 238000012163 sequencing technique Methods 0.000 description 1
- 230000001568 sexual effect Effects 0.000 description 1
- 230000008054 signal transmission Effects 0.000 description 1
- 230000011664 signaling Effects 0.000 description 1
- 238000005245 sintering Methods 0.000 description 1
- 238000003980 solgel method Methods 0.000 description 1
- 239000008247 solid mixture Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/488—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
- H01L23/498—Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
- H01L23/49838—Geometry or layout
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01G—CAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
- H01G2/00—Details of capacitors not covered by a single one of groups H01G4/00-H01G11/00
- H01G2/02—Mountings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01G—CAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
- H01G4/00—Fixed capacitors; Processes of their manufacture
- H01G4/002—Details
- H01G4/018—Dielectrics
- H01G4/06—Solid dielectrics
- H01G4/08—Inorganic dielectrics
- H01G4/12—Ceramic dielectrics
- H01G4/1209—Ceramic dielectrics characterised by the ceramic dielectric material
- H01G4/1236—Ceramic dielectrics characterised by the ceramic dielectric material based on zirconium oxides or zirconates
- H01G4/1245—Ceramic dielectrics characterised by the ceramic dielectric material based on zirconium oxides or zirconates containing also titanates
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01G—CAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
- H01G4/00—Fixed capacitors; Processes of their manufacture
- H01G4/002—Details
- H01G4/228—Terminals
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01G—CAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
- H01G4/00—Fixed capacitors; Processes of their manufacture
- H01G4/30—Stacked capacitors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01G—CAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
- H01G4/00—Fixed capacitors; Processes of their manufacture
- H01G4/40—Structural combinations of fixed capacitors with other electric elements, the structure mainly consisting of a capacitor, e.g. RC combinations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/488—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
- H01L23/498—Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
- H01L23/49822—Multilayer substrates
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/488—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
- H01L23/498—Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
- H01L23/49827—Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5222—Capacitive arrangements or effects of, or between wiring layers
- H01L23/5223—Capacitor integral with wiring layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L24/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L24/31—Structure, shape, material or disposition of the layer connectors after the connecting process
- H01L24/32—Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05K—PRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
- H05K1/00—Printed circuits
- H05K1/18—Printed circuits structurally associated with non-printed electric components
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01G—CAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
- H01G4/00—Fixed capacitors; Processes of their manufacture
- H01G4/38—Multiple capacitors, i.e. structural combinations of fixed capacitors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2223/00—Details relating to semiconductor or other solid state devices covered by the group H01L23/00
- H01L2223/58—Structural electrical arrangements for semiconductor devices not otherwise provided for
- H01L2223/64—Impedance arrangements
- H01L2223/66—High-frequency adaptations
- H01L2223/6661—High-frequency adaptations for passive devices
- H01L2223/6666—High-frequency adaptations for passive devices for decoupling, e.g. bypass capacitors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2223/00—Details relating to semiconductor or other solid state devices covered by the group H01L23/00
- H01L2223/58—Structural electrical arrangements for semiconductor devices not otherwise provided for
- H01L2223/64—Impedance arrangements
- H01L2223/66—High-frequency adaptations
- H01L2223/6661—High-frequency adaptations for passive devices
- H01L2223/6672—High-frequency adaptations for passive devices for integrated passive components, e.g. semiconductor device with passive components only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/03—Manufacturing methods
- H01L2224/038—Post-treatment of the bonding area
- H01L2224/0383—Reworking, e.g. shaping
- H01L2224/03845—Chemical mechanical polishing [CMP]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/05001—Internal layers
- H01L2224/05005—Structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/05001—Internal layers
- H01L2224/0501—Shape
- H01L2224/05016—Shape in side view
- H01L2224/05017—Shape in side view comprising protrusions or indentations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/0555—Shape
- H01L2224/05556—Shape in side view
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/0556—Disposition
- H01L2224/05567—Disposition the external layer being at least partially embedded in the surface
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/05575—Plural external layers
- H01L2224/05576—Plural external layers being mutually engaged together, e.g. through inserts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/05599—Material
- H01L2224/056—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/05638—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
- H01L2224/05647—Copper [Cu] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/05599—Material
- H01L2224/05686—Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
- H01L2224/0805—Shape
- H01L2224/0807—Shape of bonding interfaces, e.g. interlocking features
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
- H01L2224/081—Disposition
- H01L2224/0812—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/08151—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/08221—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/08265—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being a discrete passive component
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/15—Structure, shape, material or disposition of the bump connectors after the connecting process
- H01L2224/16—Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
- H01L2224/161—Disposition
- H01L2224/16151—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/16221—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/16265—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being a discrete passive component
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L2224/31—Structure, shape, material or disposition of the layer connectors after the connecting process
- H01L2224/32—Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
- H01L2224/321—Disposition
- H01L2224/32151—Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/32221—Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/32265—Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being a discrete passive component
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/802—Applying energy for connecting
- H01L2224/80201—Compression bonding
- H01L2224/80203—Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/808—Bonding techniques
- H01L2224/80894—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
- H01L2224/80895—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/808—Bonding techniques
- H01L2224/80894—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
- H01L2224/80896—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80909—Post-treatment of the bonding area
- H01L2224/80948—Thermal treatments, e.g. annealing, controlled cooling
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/488—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
- H01L23/498—Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
- H01L23/49811—Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
- H01L23/49816—Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/58—Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
- H01L23/64—Impedance arrangements
- H01L23/66—High-frequency adaptations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L24/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/19—Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
- H01L2924/1901—Structure
- H01L2924/19011—Structure including integrated passive components
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/19—Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
- H01L2924/1901—Structure
- H01L2924/1904—Component type
- H01L2924/19041—Component type being a capacitor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/19—Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
- H01L2924/191—Disposition
- H01L2924/19101—Disposition of discrete passive components
- H01L2924/19102—Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device
- H01L2924/19103—Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device interposed between the semiconductor or solid-state device and the die mounting substrate, i.e. chip-on-passive
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05K—PRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
- H05K1/00—Printed circuits
- H05K1/02—Details
- H05K1/0213—Electrical arrangements not otherwise provided for
- H05K1/0216—Reduction of cross-talk, noise or electromagnetic interference
- H05K1/023—Reduction of cross-talk, noise or electromagnetic interference using auxiliary mounted passive components or auxiliary substances
- H05K1/0231—Capacitors or dielectric substances
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05K—PRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
- H05K1/00—Printed circuits
- H05K1/18—Printed circuits structurally associated with non-printed electric components
- H05K1/182—Printed circuits structurally associated with non-printed electric components associated with components mounted in the printed circuit board, e.g. insert mounted components [IMC]
- H05K1/185—Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05K—PRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
- H05K2201/00—Indexing scheme relating to printed circuits covered by H05K1/00
- H05K2201/10—Details of components or other objects attached to or integrated in a printed circuit board
- H05K2201/10007—Types of components
- H05K2201/10015—Non-printed capacitor
Abstract
【課題】電子システムへの受動電子部品の組み込みを改善するマイクロ電子デバイス及び接合構造物を提供する。【解決手段】接合構造物は、要素2と、要素に接合された第1の表面12及び電気接点4を備え、第1の表面と反対側の第2の表面を有する受動電子部品3と、を含む。受動電子部品3は、要素2の対応する第2のアノード端子9bに接合された第1のアノード端子9aと、要素2の対応する第2のカソード端子9b’に接合された第1のカソード端子9a’と、を備える。第1のアノード端子及び第1のカソード端子は、受動電子部品3の第1の表面上に配設さsれる。【選択図】図1B
Description
この分野は、集積された受動部品を有する接合構造物に関する。
(関連出願の相互参照)
本出願は、2016年12月29日に出願された米国仮特許出願第62/440,161号、及び2017年6月12日に出願された米国仮特許出願第62/518,472号に対する優先権を主張するものであり、当該出願の各々の全内容は、参照によりそのまま及びあらゆる目的で本明細書に組み込まれる。
本出願は、2016年12月29日に出願された米国仮特許出願第62/440,161号、及び2017年6月12日に出願された米国仮特許出願第62/518,472号に対する優先権を主張するものであり、当該出願の各々の全内容は、参照によりそのまま及びあらゆる目的で本明細書に組み込まれる。
本出願はまた、2017年2月7日に出願された米国特許出願第15/426,942号にも関連し、当該出願は参照によりそのまま及びあらゆる目的で本明細書に組み込まれる。
キャパシタ、抵抗器及びインダクタなどの受動電子部品は、電子システムにおいて重要な役割を果たす。例えば、受動部品は、平滑な信号に寄与し、システムの能動デバイスの性能を向上させる。受動部品を効率的に組み込むことは、受動部品が、集積されたデバイスダイ、パッケージ及び/又はシステムボード上の貴重な空間を占めるため、困難であり得る。したがって、電子システムへの受動電子部品の組み込みを改善する継続的な必要性が依然として存在する。
本明細書に開示された様々な実施形態は、半導体要素と、介在する接着材を使用せずに半導体要素に直接接合された受動電子部品と、を備える接合構造物に関する。様々な実施形態では、受動電子部品はキャパシタを備える。他の実施形態では、受動電子部品は、インダクタ、抵抗器、電圧レギュレータ、フィルタ及び/又は共振器などの他のデバイスを備えることができる。有益には、受動電子部品を、半導体要素(集積されたデバイスダイなど)に直接接合される受動部品の層に組み込むことができる。例示された実施形態では、例えば、受動部品の層を、半導体要素と、インターポーザ、システム基板などの別のシステム構成要素と、の間に配設することができる。それによって、本明細書に記載された受動電子部品を、集積されたデバイスにおいて、パッケージにおいて及び/又はシステムボードにおいて受動部品によって占有される空間を低減することができる。更に、受動電子部品を半導体要素の能動構成要素により近く位置決めすることで、全インダクタンスを有益に低減し、これにより、パッケージ基板又はシステムボードに実装された受動デバイスと比較して、半導体要素の帯域幅及び信号完全性を改善することができる。加えて、開示された実施形態によって提供される全キャパシタンスにより、ダイに実装されたディスクリートの受動品と比較して、キャパシタンスを著しく高めること(及びインダクタンスを低減すること)が可能になる。
様々な実施形態では、受動部品は、大容量を有する層状キャパシタ構造物を備えることができる。いくつかの実施形態では、例えば、層状キャパシタを用いて高誘電率(高K)ウエハ又はシートを作ることができる。第1の半導体要素又は第1のウエハ(例えば、複数のプロセッサを備えるプロセッサウエハ)などの第1の要素上と、第2の半導体要素又は第2のウエハ(例えば、1つ又は複数のキャパシタを画定するキャパシタウエハ)などの第2の要素上とに、ウエハ-ウエハ接合層を設けることができる。本明細書に開示された第1の要素及び第2の要素は、半導体材料から形成された半導体要素を備えることができ、又は様々な種類の光学デバイス(例えば、レンズ、フィルタ、導波路など)などの他の非半導体要素を備えることができる。様々な実施形態では、キャパシタウエハ及びプロセッサウエハの両方に直接接合するために、更なる直接接合層を追加及び調製することができる。本明細書に開示された層状キャパシタ構造物は、信号経路に直列に接続された交流(alternating current、AC)結合キャパシタとして使用されて、平衡高速信号伝達のために信号の直流(direct current、DC)成分をフィルタ除去することができる。層状キャパシタ構造物はまた、システム電源分配回路網(power delivery network、PDN)インピーダンスを低減するための、高容量と極低寄生のインダクタンス及び抵抗とを有するデカップリングキャパシタとして使用されてもよい。結果は、キャパシタ構造物が、ダイ又はパッケージ基板に実装されたディスクリートのキャパシタの使用と比較して、1000倍を超えて低減されたPDNインピーダンスを有する全ての周波数範囲の動作を可能にすることを示す。
半導体要素と受動部品との間の直接接合は、介在する接着材を使用しない、半導体要素(例えば、プロセッサダイ又はウエハ)の対応する導電性特徴部と受動部品(例えば、半導体要素の接合パッド及び受動部品の対応する接触パッド)との間の直接接合を含むことができ、これに限定されない。いくつかの実施形態では、導電性特徴部は、非導電性フィールド領域によって囲まれてもよい。直接接合を達成するために、いくつかの実施形態では、導電性特徴部及び非導電性フィールド領域のそれぞれの接合表面を、接合のために調製することができる。調製は、金属ボンドパッド又はコンタクトなどの露出した導電性特徴部を有する、酸化シリコンなどの非導電層を設けることを含むことができる。導電性特徴部及び非導電性フィールド領域の接合表面を、非常に高い平滑度(例えば、20nm未満の表面粗さ又はより具体的には5nm未満の表面粗さ)に研磨することができる。いくつかの実施形態では、接合される表面を、好適な種で終端し、接合前に能動化してもよい。例えば、いくつかの実施形態では、酸化シリコン材料などの、接合される接合層の非導電性表面(例えば、フィールド領域)を、能動化のために非常にわずかにエッチングし、窒素含有溶液に暴露し、窒素含有種で終端してもよい。一実施例として、接合される表面(例えば、フィールド領域)を、非常にわずかなエッチング後のアンモニア浸漬、及び/又は窒素含有プラズマ(別個のエッチングを伴うか又は伴わない)に暴露してもよい。直接接合相互接続(direct bond interconnect、DBI)プロセスでは、ダイ及び受動部品層の非導電性特徴部は、室温であっても、外部圧力を印加することなく、互いに直接接合することができる一方、ダイ及び受動部品層の導電性特徴部も、介在する接着材層を全く伴わずに互いに直接接合することができる。DBIによる接合は、対象表面間の有意な共有結合を含む、ファンデルワールス結合よりも強い結合を形成する。
いくつかの実施形態では、それぞれの導電性特徴部を、半導体要素及び受動部品の外面(例えば、フィールド領域)と同一面上とすることができる。他の実施形態では、導電性特徴部は、外面の上方に延在してもよい。更に他の実施形態では、半導体要素及び受動部品層の一方又は両方の導電性特徴部は、半導体要素及び受動部品の外面(例えば、非導電性フィールド領域)に対して凹んでいる。例えば、導電性特徴部は、20nm未満、例えば、10nm未満だけ、フィールド領域に対して凹んでいることが可能である。
それぞれの表面を調製すると、半導体要素の非導電性フィールド領域(酸化シリコンなど)を、受動部品の対応する非導電性領域と接触させることができる。能動化された表面の相互作用により、半導体要素の非導電性領域を、介在する接着材を使用せずに、外部圧力を印加することなく、電圧を印加することなく、かつ室温で、受動部品の対応する非導電性領域と直接接合させることができる。様々な実施形態では、非導電性領域の結合力は、ファンデルワールス結合よりも大きい共有結合を含むことができ、導電性特徴部間に著しい力を及ぼすことができる。任意の熱処理の前に、誘電体-誘電体表面の結合エネルギーは、150~300mJ/m2の範囲内にあることが可能であり、これは、熱処理の期間後に1500~4000mJ/m2に増加することが可能である。導電性特徴部が非導電性領域と同一面上にあるか又は凹んでいるかにかかわらず、非導電性領域の直接接合は、導電性特徴部間の直接金属-金属結合を容易にすることができる。様々な実施形態では、半導体要素及び受動部品を、少なくとも非導電性領域を接合した後に加熱してもよい。上述したように、このような熱処理は、非導電性特徴部間、導電性特徴部間及び/又は対向する導電性領域と非導電性領域との間の接合を強化することができる。導電性特徴部の一方又は両方が凹んでいる実施形態では、半導体要素の導電性特徴部と受動部品層との間に初期間隙が存在してもよく、非導電性領域を最初に接合した後の加熱によって、導電性要素を拡張して間隙を閉じることができる。初期間隙が存在したかどうかにかかわらず、加熱によって、対向する一部の導電性要素間の圧力を発生又は増加させ、導電性特徴部の接合を補助し、直接電気的及び機械的接続を形成することができる。
いくつかの実施形態では、キャパシタンスを、概して要素(例えば、半導体要素)の主横方向表面に対して非平行な(例えば、概して垂直な)方向に沿って配設された電極表面を有するキャパシタを設けることによって、改善することができる。増加した表面を提供する起伏を、横方向に延在するフィンを製造するための複数の層及びマスクと比較して、比較的単純にパターン化することが可能である。キャパシタは、非平行方向に沿って延在した、かつ介在する誘電体によって離間した主表面を含む第1の電極及び第2の電極を備えることができる。キャパシタの縦方向に配設された起伏(例えば、トレンチ)は、高アスペクト比を有することができ、例えば、非平行方向に沿った第1の電極の第1の高さは、主横方向表面に沿ったキャパシタの幅よりも長いことが可能である。アスペクト比は、第1の高さを幅で除することによって定義されることが可能であり、5:1より大きいことが可能である。このような実施形態では、半導体要素に対して主に縦方向にキャパシタを設けることで、電極の全表面積を有益に増加させ、他の構成と比較してキャパシタンスを改善することができる。
開示された実施形態の各々と併せて使用される直接接合プロセスの更なる詳細は、米国特許第7,126,212号、同第8,153,505号、同第7,622,324号、同第7,602,070号、同第8,163,373号、同第8,389,378号及び同第8,735,219号を通して、並びに米国特許出願第14/835,379号、同第62/278,354号、同第62/303,930号及び同第15/137,930号を通して見出すことができ、それらの各々の内容は、参照によりそのままあらゆる目的で本明細書に援用される。
図1Aは、様々な実施形態による、パッケージ基板5などのキャリアに実装された接合構造物1の概略側面図である。例示されたキャリアはパッケージ基板を備えるが、他の実施形態では、キャリアは、集積されたデバイスダイ又は任意の他の好適な要素を備えることができる。パッケージ基板5は、システムマザーボードに実装されるように構成された任意の好適な基板を備えることができる。例えば、様々な実施形態において、パッケージ基板5は、プリント回路基板(printed circuit board、PCB)、インターポーザ、リードフレーム、セラミック基板、ポリマー基板又は任意の他の好適なキャリアを備えることができる。図1Aに示すように、パッケージ基板5は、システムマザーボード(図示せず)との電気的接続を提供する複数のはんだボール6を備えることができる。他の実施形態では、パッケージ基板5は、他の方法でシステムマザーボードに電気的に接続することができる。
図1Aでは、接合構造物1は、要素(例えば、半導体要素2)と、要素2と直接電気的及び機械的に接続された受動電子部品3と、を備える。図1Aに例示された要素2は、プロセッサダイなどの半導体要素を備えるが、他の種類の集積されたデバイスダイ又は半導体要素を使用することができる。例えば、他の実施形態では、要素2として、メモリダイ、微小電気機械システム(microelectromechanical system、MEMS)ダイ、光学デバイス若しくはダイ、インターポーザ、再構成ダイ若しくはウエハ、又は任意の他の好適なデバイス若しくは要素を挙げることができる。様々な実施形態では、本明細書に例示された要素2として、代わりに、受動電子部品3を、半導体材料を備えても備えなくてもよい光学要素(例えば、光学レンズ、導波路、フィルタなど)などの他の種類の要素に機械的及び電気的に接続することができるような、非半導体要素を挙げることができる。
本明細書で説明するように、様々な用途(高速通信又は電力ダイなど)において、全インピーダンス及び/又はインダクタンスを低減するために、半導体要素2の能動回路の近くに受動電子部品(キャパシタなど)を設けることが重要である可能性があり、これにより、信号完全性を改善し、スイッチングノイズを低減することができる。したがって、図1Aに示すように、受動電子部品3を、半導体要素2の能動表面11に接合することができる、すなわち、能動電子回路を、半導体要素2の能動表面11に又は能動表面11の近くに画定することができる。例示された実施形態では、受動電子部品3を、介在する接着材を使用せずに、半導体要素2の能動表面11に直接接合する。しかしながら、他の実施形態では、受動電子部品3を、例えば、リフロー、導電性ピラーを有するマイクロバンプアレイによって又は熱圧着によって、半導体要素2に接着することができる。有益には、受動電子部品3を半導体要素2の前面又は能動表面11に接合することで、システムボード又はパッケージ基板に受動デバイスを実装するシステムと比較して、信号線の長さ及び全インピーダンス及び/又はインダクタンスを低減することができる。受動部品3は、受動部品3中のノイズ成分を静かに作用させることによって、半導体要素2の電圧要件を低減することができる。更に、受動電子部品3を半導体要素2に接合することで、半導体要素2に接合された薄層を受動品が占有することから、パッケージの全体寸法を低減することができる。しかしながら、当業者であれば、例えば半導体要素の裏側の貫通シリコンビア(through silicon via、TSV)による、キャリアと半導体要素との間における受動電子部品の直接接合を理解するであろう。
図1Aに示すように、受動電子部品3は、半導体要素2に直接接合された第1の表面12と、受動電子部品3の第1の表面12と反対側の第2の外面13と、を備えることができる。受動電子部品3の第2の外面13上に、複数の電気接点4(例えば、はんだボール)を設けることができる。複数の電気接点4を、図1Aに示されたパッケージ基板5(例えば、プリント回路基板、インターポーザなど)などの外部の半導体要素と電気的に接続するように構成することができる。これに代えて、第2の表面13は、別の半導体要素(例えば、ダイ又はインターポーザ)などの、接合構造物のためのキャリアとして供される別の要素への直接接合接続のために構成された露出した接点又はパッドを有することができる。
図1Aに示すように、受動電子部品3は、半導体要素2の能動表面11の大部分、例えば、処理又は他の能動タスクに使用される半導体要素2の表面の大部分を覆うことができる(例えば、当該大部分の上に配設されることが可能である)。例えば、様々な実施形態では、受動電子部品3は、半導体要素2の能動表面11の少なくとも55%、少なくとも65%、少なくとも75%、少なくとも85%、少なくとも95%、少なくとも99%又は少なくとも100%を覆うことができる。図1Aでは、半導体要素2の能動表面11全体を実質的に覆うものとして、単一の一体型受動部品3が示されているが、他の実施形態では、受動部品3は、要素2の能動表面11の大部分を覆うように接合された、複数のディスクリート又は別個の受動部品を備えることができる。加えて、他の実施形態では、受動電子部品3を、半導体要素2の裏側、すなわち、能動表面11と反対側の表面に機械的及び電気的に接続してもよい。このような構成では、受動部品3が要素2の裏側に実装されているとしても、要素2内の導体の長さは、パッケージング基板上の別個の表面実装された受動品までの経路指定に対するインピーダンスを十分に低減するように十分に短くてもよい。更に、図1Aに示すように、受動電子部品3は、半導体要素2に接合された(例えば、介在する接着材を使用せずに直接接合された)シートを備えることができ、すなわち、受動電子部品3を、受動電子部品3の厚さよりも著しく大きい横方向の幅を有するように寸法設定することができる。例えば、受動電子部品3は、部品3の(例えば、要素2の能動表面11に垂直な方向に沿って画定されるような)部品3の厚さの少なくとも3倍、少なくとも5倍、少なくとも10倍又は少なくとも50倍である、(例えば、要素2の能動表面11に平行な方向に沿って画定されるような)横方向の幅を有することができる。
受動電子部品3を、犠牲ウエハ(例えば、シリコン又はガラス)上に設けることができ、半導体要素2もウエハ上に設けることができる。2つのウエハを、複数の受動部品3が対応する複数の半導体要素2に接合されることが可能であり、それにより製造スループットを改善することができるように、ウエハレベルで互いに直接接合する(例えば、ウエハ-ウエハ又はW2W(wafer-to-wafer))ことができる。接合後、ウエハの基料を、ダイシングの前又は後に薄化又は除去することができる。他の実施形態では、受動電子部品3を、ピックして半導体要素2上に配置することができ、又は他の処理技術を用いて半導体要素2に接合することができる。
図1Bは、バルク材料部分37(例えば、バルク半導体材料)及び能動表面11を備える半導体要素2と、接合構造物1を形成する前の受動電子部品3と、の概略側面図である。特に断らない限り、図1Bの特徴は、図1Aの同様の番号の特徴と同じであってもよく又は概して同様であってもよい。上記で説明したように、受動部品3及び半導体要素2は、それぞれの接合層8a、8bを備えることができる(図2も参照)。例示された実施形態では、受動電子部品3の接合層8aは、非導電性フィールド領域(図2を参照)によって囲まれた、金属などの1つ又は複数の導電性特徴部9a、9a’を備えることができる。同様に、接合層8bは、酸化シリコンなどの非導電性フィールド領域(図2を参照)によって囲まれた、金属などの1つ又は複数の導電性特徴部9b、9b’を備えることができる。導電性特徴部9a、9a’、9b、9b’は、電気相互接続部として作用して、半導体要素2と受動部品3との間の電気的導通を提供することができる。導電性特徴部9a、9a’、9b、9b’は、銅などの任意の好適な金属又は導体を備えることができる。上記で説明したように、導電性特徴部9a、9a’、9b、9b’は、下方に凹んでいることが可能であるか、非導電性フィールド領域の外面の上方に突出することが可能であるか又は当該外面と同一平面上にあることが可能である。
図1Bの実施形態では、導電性特徴部9aは、第1の端子(例えば、容量性デバイスのアノード)を備えることができ、他方の導電性特徴部9a’は、第1の端子とは異なる種類の第2の端子(例えば、容量性デバイスのカソード)を備えることができる。同様に、導電性特徴部9bは、要素2の第1の端子(例えば、アノード)を備えることができ、他方の導電性特徴部9a’は、第1の端子とは異なる種類の要素2の第2の端子(例えば、カソード)を備えることができる。有益には、本明細書に開示された様々な実施形態は、受動電子部品3の同じ第1の表面12上にアノード及びカソード(例えば、導電性特徴部9a、9a’)の両方を含むことができる。したがって、半導体要素2のそれぞれのアノード端子9bは、第1の表面12上に配設された受動電子部品3の対応するそれぞれのアノード端子9aに接合し、電気的に接続することができる。半導体要素2のそれぞれのカソード端子9b’は、第1の表面12上に配設された受動電子部品3の対応するそれぞれのカソード端子9a’に接合し、電気的に接続することができる。
有利には、受動電子部品3の同じ第1の表面12上にアノード端子9a及びカソード端子9a’を設けることで、受動部品3の同じ側に沿った2つの構造物のウエハレベルの接合(例えば、半導体要素2と受動部品3との接合)を可能にすることができる。したがって、本明細書に開示された実施形態では、受動部品3の対向する各側は、1つ又は複数のアノードと1つ又は複数のカソードと(例えば、異なる種類の端子)を備えることができる。様々な実施形態において、部品3の一方側又は両側は、1つ以上のダミー端子を備えることができる。要素(半導体要素2など)は、受動部品の一方側(例えば、第1の側)の対応するアノード端子及びカソード端子に接続された(例えば、接合された)接点を有することができる。第2の要素(別の半導体要素、パッケージ基板など)は、受動部品3の反対側(例えば、第2の側)の対応する第2のアノード及びカソード端子に接続された(例えば、接合された)接点を有することができる。図1Bの例示された実施形態では、例えば、要素2を、受動部品3の第1の側の異なる種類(例えば、アノード端子及びカソード端子)の対応する第1の端子及び第2の端子に接続することができる。パッケージ基板などの別の要素(図示せず)は、例えば、相互接続部4(はんだボールを備えてもよい)によって、受動部品3の反対の第2の側の異なる種類の対応する第1の端子及び第2の端子(例えば、アノード端子及びカソード端子)に接続することができる。
様々な実施形態において、アノード端子9a、9bを、介在する接着材を使用せずに互いに直接接合する。同様に、カソード端子9a’、9b’を、介在する接着材を使用せずに互いに直接接合することもできる。様々な実施形態では、それぞれのアノード端子9a、9b及びカソード端子9a’、9b’を、熱圧着によって接続することができる。他の実施形態では、それぞれのアノード端子9a、9b及びカソード端子9a’、9b’を、他の方法で、例えば、はんだ、異方性導電膜などの導電性接着材によって接続することができる。更に、図1Bに示すように、受動部品3の様々な部分は、異なる種類の相互接続部及び/又は受動部品を有することができる。例えば、受動電子部品3の一部分は、図2に例示された部分と同様の、多層容量性部分を備えることができ、受動電子部品3の別の部分は、図4Aに示されたものと同様の直列容量相互接続部を備えることができる。受動電子部品の更に他の部分に、図3Aに示されたような低抵抗電気経路(例えば、貫通相互接続部)を設けてもよい。更に、図7A~図7Cに示されたような受動電子部品はまた、部品の同じ面上にアノード端子9a及びカソード端子9a’を含んでもよい。
図2は、直接接合の直前の、図1A~図1Bに示された半導体要素2及び受動電子部品3の部分の概略拡大側断面図である。上記で説明したように、受動部品3は接合層8aを備えることができ、半導体要素2は接合層8bを備えることができる。例示された実施形態では、接合層8aは、酸化シリコン材料の形態などの非導電性フィールド領域7aによって囲まれた、金属などの1つ又は複数の導電性特徴部9a、9a’を備えることができる。同様に、接合層8bは、酸化シリコンなどの非導電性フィールド領域7bによって囲まれた、金属などの1つ又は複数の導電性特徴部9b、9b’を備えることができる。導電性特徴部9a、9a’、9b、9b’は、電気相互接続部として作用して、半導体要素2と受動部品3との間の電気的導通を提供することができる。導電性特徴部9a、9a’、9b、9b’は、銅などの任意の好適な金属又は導体を備えることができる。上記で説明したように、導電性特徴部9a、9a’、9b、9b’は、下方に凹んでいることが可能であるか、非導電性フィールド領域7a、7bの外面の上方に突出することが可能であるか又は当該外面と同一平面上にあることが可能である。非導電性フィールド領域7a、7bは、直接接合のために調製されることが可能である、酸化シリコン、ドープされていない又は非常に軽くドープされたシリコン、窒化ケイ素などの任意の好適な非導電材料を備えることができる。
上記で説明したように、接合層8a、8bを、(例えば、化学的機械研磨、又はCMP(chemical mechanical polishing)によって)非常に低い表面粗さ(例えば、20nm未満又はより具体的には5nm未満のRMS粗さ)に研磨することができる。上記で説明したように、接合層8a、8b(例えば、非導電性フィールド領域7a、7b)を、(例えば、反応性イオンエッチングで)窒素含有プラズマへの暴露によって、又はごくわずかにエッチングし、続いて窒素含有(例えば、アンモニア)溶液に暴露することによって、窒素などの好適な種で能動化及び終端することができる。接合層8a、8bを、いくつかの実施形態において、室温で一緒にして、フィールド領域7a、7b間の直接接合を形成することができる。半導体要素2及び受動部品3を加熱して、フィールド領域7a、7b間の接合を強化する、及び/又は導電性特徴部9a及び9b並びに9a’及び9b’を膨張させて電気的接続を形成させることができる。有益には、直接接合を使用することで、半導体要素2と受動部品3との間の低インピーダンス及び低インダクタンスの電気経路を提供することができ、これにより、電源完全性又は信号完全性を改善することができる。
図2に示すように、半導体要素2は、半導体要素2内及び/又は半導体要素2と受動電子部品3との間で電気信号を経路指定するための内部導電トレース14及びビア15を備えることができる。電気信号は、導電性特徴部9a、9a’及び9b、9b’(それぞれ、互いに直接接合されてもよい)を、通過して受動電子部品3に至る及び/又は受動電子部品3から通過することができる。導電性特徴部9a、9a’は、受動電子部品3の第1の表面12又は第1の表面12の近くの接触パッド21を画定することができるか、接触パッド21として作用することができるか又は接触パッド21に接続することができる。図2に示すように、様々な実施形態では、受動電子部品3は、1つ又は複数の誘電体又は非導電層10によって離間した複数の(例えば、2つ以上又は3つ以上の)導電層16を備えることができる。図2に示すように、接合構造物1は、接触パッド21と、半導体要素2と受動電子部品3の第2の表面13上の電気接点4との間の電気経路又は相互接続部18と、を含む相互接続構造物17を画定する導電特徴部9a、9a’、9b、9b’を含むことができる。図2では、接合層8a、8bの各々の上に複数の導電性特徴部9a、9a’、9b、9b’が示されており、これによって凹みを低減し得る。しかしながら、他の実施形態では、接触パッド21を、処理中の凹みの影響を回避するように十分に小さく画定してもよい。このような構成では、各接触パッド21は、1つの導電性特徴部を備えることができる。
図2は、3つの接触パッド21及び3つの相互接続部4を例示しているが、様々な実施形態では、接触パッド21及び相互接続部4の数は異なってもよい。例えば、いくつかの実施形態では、半導体要素2及び/又は受動部品3上の接触パッド21のピッチは、相互接続部4のピッチよりも小さくてもよい。様々な実装形態では、例えば、相互接続部4のピッチは、接触パッド21のピッチよりも著しく大きくてもよく、例えば、相互接続部4のピッチは、接触パッド21のピッチの少なくとも10倍、少なくとも20倍、少なくとも30倍であってもよい。一例として、相互接続部4のピッチは、100ミクロン~300ミクロンの範囲又は100ミクロン~200ミクロン(例えば、約150ミクロン)の範囲内にあることが可能である。接触パッド21のピッチは、0.5ミクロン~50ミクロンの範囲、0.5ミクロン~20ミクロンの範囲又は1ミクロン~10ミクロン(例えば、約5ミクロン)の範囲内にあることが可能である。
いくつかの実施形態では、第1の導電相互接続部18aは、第1の表面12(又は接触パッド21)から、受動電子部品3の第2の表面13の対応する電気接点4まで延在する。第2の導電相互接続部18b及び第3の導電相互接続部18cもまた、接触パッド21から第2の表面13の対応する電気接点4まで延在することができる。図2では、例えば、導電電気相互接続部18a~18cの各々は、第1の表面12又は第1の表面12の近くの対応する接触パッド21から対応する電気接点4まで延在する、長手方向導電部分19を備えることができる。図2に示すように、長手方向部分19は、受動電子部品3の厚さを貫いて縦方向に(例えば、半導体要素2の能動表面11を横断して)延在することができる。導電相互接続部18a~18cは、長手方向導電部分19から横方向外側に延在する1つ以上の横方向導電部分20を含むことができる。長手方向導電部分19は抵抗性電気経路を画定することができ、1つ以上の横方向導電部分20は、抵抗性電気経路と並列の容量性電気経路を画定することができる。図2に示すように、第1の相互接続部18aの1つ以上の横方向導電部分20を、第2の相互接続部18bの横方向部分20と交互配置することができ、介在する誘電体層10によって分離することができる。同様に、第2の相互接続部18bの横方向導電部分20を、第3の相互接続部18cの横方向部分20と交互配置することができ、介在する誘電体層10によって分離することができる。それぞれの相互接続部18a~18cの横方向部分20の交互配置は、各横方向部分20がキャパシタの電極として作用して介在する誘電体層10がキャパシタ誘電体として作用するように、それぞれの容量性電気経路を少なくとも部分的に画定することができる。様々な実施形態において、誘電体層10は、チタン酸塩(BaxSr1-xTiO3、Bi4Ti3O12、PbZrxTi1-xO3)、ニオブ酸塩(LiNbO3)及び/又はジルコン酸塩(BaZrO3、CaZrO3など)などの高K誘電材体層を備えることができる。他の実施形態では、誘電体層10は、酸化ケイ素、窒化ケイ素などの任意の好適な誘電材料を備えてもよい。いくつかの実施形態では、誘電体層は、1~1000の範囲の誘電率を有することができる。いくつかの実施形態では、誘電体層は、1~10の範囲内にある誘電率を有することができる。図1Bに関連して上記で説明したように、例示された実施形態では、受動部品3のアノード端子及びカソード端子を、部品3の同じ側に沿って配設してもよい。
様々な実施形態では、第1の相互接続構造物18a及び第3の相互接続構造物18cを電源に接続するように構成することができ、第2の相互接続構造物18bを、電気グランドに接続するように構成することができ、又はその逆も可能である。図2の受動電子部品3は、電源とグランドとの間に並列接続された多層デカップリングキャパシタとして有益に作用して電源分配回路網(PDN)インピーダンスを低減し、これにより電源完全性を改善することができる。更に、デカップリングキャパシタ(例えば、相互接続構造物18a~18cによって画定されたキャパシタ)を半導体要素2の能動表面11の近く(例えば、加工ダイのスイッチの近く)に設けることで、接合構造物1の電源完全性を更に改善することができる。ダイのコア領域内のデカップリングキャパシタンス(開示された実施形態によって提供されるものなど)は、電子デバイス内の計算エンジンに安定した電源を提供することができる。このデカップリングキャパシタンスを増加させることで、電圧スイングの安定性が高まり、電圧不定を考慮するためにタイミング分析に適応される付加的なマージン量を低減する。対照的に、平行なプレート構造物にデカップリングキャパシタンスを追加することは、比較的小さいキャパシタンス値を呈する。深いトレンチキャパシタは、より高いキャパシタンスを提供し得るが、電子デバイスに面積及びコストを追加し得る貴重なフットプリントを占有し得る。
図3Aは、比較的低速の接続用に構成された受動電子部品3の一部分の概略側断面図である。図3Bは、図3Aの受動電子部品3の概略回路図である。図3Aに示すように、受動部品3は、受動部品3の第1の表面12と第2の表面13との間に低抵抗及び低キャパシタンスを有する電気経路18を備えることができる。例えば、図3Aでは、経路18は、接触パッド21と電気接点4とを直接接続する長手方向導電部分19を含むことができる。長手方向導電部分19は、接触パッド21と接点4との間の信号を短絡させるように作用する。加えて、図3Aに示すように、横方向導電部分20を、長手方向導電部分19からオフセットして配設することができる。横方向導電部分20は、受動部品3の厚さに沿って互いに離間することが可能であり、介在する誘電体層10によって分離されることが可能である。図3A~図3Bの受動部品3内に画定された電気経路18は、長手方向導電部分19が接触パッド21と電気接点4との間の接続を短絡させることから、比較的低速の接続に好適であり得る。
図4Aは、高速直列リンク信号伝達用に構成された受動電子部品3の一部分の概略側断面図である。図4Bは、図4Aの受動電子部品3の概略回路図である。直列リンクでは、受動電子部品3は、様々な目的を果たすことができるDC遮断キャパシタとして作用することができる。例えば、受動電子部品3は、平均DCバイアスレベルを調節する(例えば、DC成分をフィルタ除去する)ことができ、不十分なパワーアップシーケンシングに起因する可能性がある破壊的な過負荷イベントからトランスミッタ/レシーバを保護することができ、及び/又は配線が切断されたときを検出する回路の一部として機能することができる。これらの用途では、DC遮断キャパシタは、DC遮断キャパシタを通過する信号の高周波成分を歪ませない。様々な実施形態では、信号のDC成分を除く全ての高周波成分は、いかなる歪みも伴わずに通過することができる。それゆえ、低い接続寄生抵抗及び/又はインダクタンスを有する大きなキャパシタンス値を提供することができる。図4A~図4Bの実施形態は、500MHz以上の周波数に対して有益であることが可能であるが、他の実施形態では、開示された実施形態と併せてより低い周波数範囲が使用されてもよい。図4Aに示すように、受動電子部品3は、接触パッド21と電気接点4との間に配設された多層キャパシタを含む電気経路を備えることができる。実際に、図3Aの実施形態とは異なり、図4Aでは、接触パッド21と接点4との間の経路18は、受動電子部品3の厚さにわたる介在する誘電体層10によって離間した複数の横方向導電部分20によって画定された容量性電気経路である。図4Aに示された複数の層は、電気的に直列に接続された複数のキャパシタとして電気的に機能することができる。図4Aの経路18によって提供される実効キャパシタンスは、10nF/mm2~1μF/mm2の範囲内にあることが可能である。有益には、例示された実施形態では、電気経路18に沿って画定されたキャパシタは、信号のDC成分をフィルタ除去して、平衡高速信号を提供することができる(例えば、経路18は、ハイパスフィルタとして作用することができる)。更に、受動部品3を半導体要素2の能動回路のより近くに位置決めすることで、接合構造物1の性能を更に改善することができ、反射雑音を低減することができる。
図5A~図5Iは、受動電子部品3が半導体要素2に接合される(例えば、直接接合される)別の実施形態を例示している。図1Bに関連して上記に説明したように、図5A~図5Iでは、受動電子部品3のアノード端子及びカソード端子を、部品3の同じ側又は表面に沿って配設することができる。様々な構成において、受動部品3は、プロセッサなどの他の部品との直接接合及び集積のための集積された相互接続部を有する、高誘電率(高K)薄膜キャパシタ層を備えることができる。例えば、図5A~図5Iの実施形態では、受動部品3は、5より大きい、10より大きい、20より大きい又は100より大きい誘電率を有する誘電材料を備えることができる。このような高K材料は製造することが困難である場合があり、他の種類のデバイス(例えば、プロセッサ又は他の半導体製造)を露出させるのに不適切である場合があり、そのため、従来の半導体デバイスにこのような材料を統合することが困難である。したがって、本明細書に開示される実施形態では、半導体要素2を、1つの設備(例えば、相補的金属酸化物半導体又はCMOSの設備)で製造することができ、受動部品3を、高K材料の処理パラメータに適応することができる別の設備で製造することができる。半導体要素2と受動部品3とは、接合層を備えることが可能であり、半導体要素2と受動部品3とを接続するように直接接合されることが可能である。したがって、本明細書に開示される実施形態は、薄膜、高K誘電材料を、任意の好適な種類の半導体又は光学要素との別個の製造及びその後の集積を可能にすることができる。
図5Aは、容量性シートを画定するために高K誘電材料を組み込む受動電子部品3の概略側断面図である。受動電子部品3は、キャパシタンス性シートを画定することができるベース122を備えることができる。ベース122は、受動部品3を半導体要素2に接合する前にベース122を除去することができるように、犠牲的であってもよい。様々な実施形態において、ベース122は、シリコンなどの半導体材料を備えることができる。任意の好適な方法でベース122上に第1の電極120を形成することができる。例えば、第1の電極120は、有機金属化学蒸着(metal organic chemical vapor deposition、MOCVD)プロセス、物理蒸着(physical vapor deposition、PVD)若しくはスパッタリングプロセス又はゾル-ゲルプロセス(スピンオン及び硬化)を使用してベース122上に堆積させることができる。第1の電極120は、白金(Pt)又はルテニウム(Ru)などの高融点金属を備えることができる。図示された実施形態では、第1の電極120は、ベース122の上に連続又はブランケットフィルムとして堆積させることが可能であり、複数のキャパシタの共通電極として供されることが可能である。
第1の電極120上に高K誘電体層110を堆積するか又は別の方法で形成することができる。例えば、様々な実施形態では、誘電体層110は、CVD、PVD、粉末焼結又は他の好適な技術を使用して堆積させることができる。有益には、誘電体層110は、5より大きい、10より大きい、20より大きい、100より大きい又は200より大きい(例えば、約300)又は1000より大きい誘電率を有することができる。様々な実施形態において、例えば、誘電体層は、三元酸化バリウムストロンチウムチタン酸塩(BaSrTiO3又はBST)、他のチタン酸塩(BaxSr1-xTiO3、Bi4Ti3O12、PbZrxTi1-xO3)、ニオブ酸塩(LiNbO3)及び/又はジルコン酸塩(BaZrO3、CaZrO3など)の複合酸化物高K材料を備えることができる。。したがって、図2~図4Bの実施形態とは異なり、受動部品3と共に、(導電体を有する交互の多層ではなく)単一の薄い誘電体層のみが使用されてもよい。いくつかの実施形態では、誘電体層110を形成するために、複数層の誘電材料が設けられてもよい。
誘電体層110上に第2の電極121を堆積することができる。第2の電極121は、高融点金属及び特に貴金属(例えば、Pt又はRu)などの、任意の好適な導電材料であることが可能である。第1の電極120及び第2の電極121(例えば、Pt)の一方又は両方の高融点金属又は貴金属は、(オーム接触とは対照的に)ショットキーバリアを有益に形成することができ、これにより、キャパシタの性能を改善することができる。したがって、例示された実施形態では、電極120、121の高融点金属又は貴金属は、最終の接合構造物1内に残存して、改善された性能を提供することができる。いくつかの実施形態では、第1の電極120及び/又は第2の電極121の貴金属又は高融点金属を、抵抗を低減するために別の金属(例えば、銅)でめっきすることができる。しかしながら、他の実施形態では、第1の電極120及び/又は第2の電極121を、受動部品3の形成後に除去し、第1の電極120及び第2の電極121として供される別の金属(例えば、銅)と置き換えてもよい。
第2の電極121をパターン化して、第2の電極121の部分間に多数の間隙123を画定することができる。電極を複数の部分にパターン化することで、受動電子部品3によって提供される全キャパシタンスを画定することができる。例えば、第2の電極121の大きい部分ほど、増大した面積及び増大したキャパシタンスを提供し得るが、第2の電極121の小さい部分ほど、低減された面積及び低減されたキャパシタンスを提供し得る。様々な実施形態では、受動部品3は、セルが図5Aに例示されたものと同様である容量性セルのアレイを備えることができる。いくつかの実施形態では、受動部品3は、少なくとも5nF/mm2、少なくとも10nF/mm2、少なくとも20nF/mm2、少なくとも50nF/mm2、少なくとも100nF/mm2又は少なくとも200nF/mm2の単位面積当たりの実効キャパシタンスを有するセルを含むことができる。例えば、様々な実施形態では、受動部品3は、5nF/mm2~400nF/mm2の範囲、10nF/mm2~300nF/mm2の範囲、10nF/mm2~250nF/mm2の範囲、10nF/mm2~150nF/mm2の範囲又は10nF/mm2~100nF/mm2の範囲内にある単位面積当たりの実効キャパシタンスを有するセルを含むことができる。いくつかの実施形態では、例えば、受動部品3は、1nF/mm2~10nF/mm2の範囲、10nF/mm2~100nF/mm2の範囲、100nF/mm2~400nF/mm2の範囲内にある又は400nF/mm2より大きい(例えば、400nF/mm2~1000nF/mm2の範囲)単位面積当たりの実効キャパシタンスを有するセルを含むことができる。有益には、高K材料と直列に低K材料が存在しないように、高K誘電材料のみを使用してもよい。高K材料のみを使用することにより、受動部品3の全キャパシタンスを改善することができる。
図5Bは、パターン化された第2の電極121の上に設けられた接合層8aを有する、図5Aの受動電子部品3の概略側断面図である。接合層8aは、再配線層(RDL)などの相互接続層として作用して、受動電子部品3を要素2などの他の構造物に接合することができる。例えば、上記で説明したように、接合層8aは、接触パッドに接続されるか又は接触パッドを画定し非導電性フィールド領域7aを囲む導電性特徴部9aを備えることができる。導電性特徴部9aは、銅などの任意の好適な金属を備えることができる。フィールド領域7aは、酸化ケイ素などの任意の好適な非導電材料を備えることができる。図5Bに示すように、非導電性フィールド領域7aを、第2の電極121のパターン化された部分を電気的に分離していくつかの実施形態における別個の容量性セルを画定するように、図5Aの間隙123内に配設することができる。有利には、受動電子部品3上に(例えば、銅などの金属を使用して)接合層8aを設けることで、低温アニール(例えば、150℃未満)の使用を可能にして、直接接合を改善し、異なる熱膨張係数(coefficient of thermal expansion、CTE)に起因する材料の熱的不整合を低減又は排除することができる。図5Cは、接合前の半導体要素2の一部分の概略側断面図である。半導体要素2は、図2に示された半導体要素2と同じ又は概して同様であることが可能であり、トレース14及びビア15は、導電性特徴部9bと能動回路との間の要素2との電気的導通を提供する。
図5Dは、高K誘電材料を含む受動部品3に半導体要素2が直接接合された接合構造物1の概略側断面図である。上記で説明したように、受動部品3及び半導体要素2の接合層8a、8bを、非常に低い表面粗さに研磨することが可能である。研磨面を、所望の種(窒素など)で能動化及び終端することができる。接合層8a、8bを(例えば、室温で)直接接触させて、酸化物材料などのそれぞれのフィールド領域7a、7b間に強い接合を形成することができる。構造物1を加熱して、接合強度を増加させ、導電性特徴部9a、9b間の電気接続部を生じさせることができる。したがって、図5Dに示すように、受動電子部品3を、介在する接着材を使用せずに直接接合界面24に沿って半導体要素2に直接接合することができる。有益には、直接結合を使用することで、半導体要素2と受動部品3との間に低インピーダンス及び低インダクタンスの電気経路を設けることができ、これにより、電源完全性又は信号完全性を改善することができる。しかしながら、他の実施形態では、導電性特徴部9a、9bを、導電性接着材(例えば、はんだ)で互いに接着することができ、又は熱圧着技術を使用して接合することができる。
図5Eに示すように、基部122を、受動電子部品3の裏側から(例えば、研削、研磨、エッチングなどによって)除去することができる。いくつかの実施形態では、第1の電極120もパターン化して、部品3のキャパシタンスを更に画定してもよい。例えば、処理中に貴金属又は高融点金属を使用して、受動電子部品3を画定することができる。いくつかの構成では、高融点金属上に更なる金属電極を追加又は堆積して、パッド抵抗を低減する又は特定の集積要件を満たすことが望ましい場合がある。しかしながら、他の実施形態では、第1の電極120及び第2の電極121として供される貴金属又は高融点金属は、除去されなくてもよく、したがって、結果として得られる接合構造物1内に残存してもよい。これらの貴金属又は高融点金属を、更なる離散的な電極領域を生成するようにパターン化してもよいし、しなくてもよい。他の実施形態では、第1の電極120及び/又は第2の電極121は、除去され他の金属によって置き換えられることが可能である犠牲材料を備えることができる。図5Eでは、受動電子部品3は、半導体要素2よりも横方向に幅広であるものとして例示されている。しかしながら、受動電子部品3は、半導体要素2の一部分のみを覆ってもよいことを理解されたい。例えば、上記で説明したように、受動部品3は、半導体要素2の能動表面11の少なくとも55%、少なくとも65%、少なくとも75%、少なくとも85%、少なくとも95%、少なくとも99%又は少なくとも100%を覆うことができる。
図5Fは、集積された電源電極126(又は信号電極)及びグランド電極125を有する受動電子部品3の概略側断面図である。図5Gは、図5Fの受動電子部品3の平面図である。図5Fに示すように、グランド電極125は、第1の表面12からフィールド領域7a及び誘電体層110を貫いて延在することができ、第1の電極120に接触することができる。様々な実施形態において、第1の電極120を電気グランドに接続することができ、電気グランドは、半導体要素2と接続されたときにグランドピン又はグランド端子を提供することができる。図5A及び図5Bに示された電源電極126は、第1の表面12と第1の電極120との間に容量性電気経路を備えることができる。したがって、半導体要素2に接続されると、(導電性特徴部9a及び/又は接触パッド21によって)第1の表面12と第1の電極120の部分との間で電力を伝達することができ、第1の電極120は次にパッケージ基板5などの別の構造物に接続することができる。例示しないが、予め画定された電気経路に沿って電力を提供するために、第1の電極120を、パターン化することができ、又は相互接続層(配線金属層の後端など)によって除去及び置換することができる。
図5Hは、別の実施形態による受動電子部品3の概略側断面図である。図5Iは、図5Hの受動電子部品3の平面図である。図5F及び図5Gの実施形態とは異なり、図5H及び図5Iでは、受動電子部品3は、図5F及び図5Gに示された電源電極126及びグランド電極125に加えて、短絡電源電極127を含むことができる。図5Hに示すように、例えば、いくつかの電源電極127は、直接導電相互接続部によって部品3の第2の表面13に接続されてもよい。したがって、図5H及び図5Iでは、電源電極126は、導電性特徴部9a(又は接触パッド21)と第2の表面13との間に容量性電気経路を備えてもよい一方、短絡電源電極127は、導電性特徴部9a(又は接触パッド21)と第2の表面13との間に導電性又は抵抗性の電気経路を備えてもよい。
したがって、図5A~図5Iの実施形態では、高K、薄膜誘電材料を使用して、受動電子部品3を画定することができる。いくつかの実施形態では、高K材料と電極(高K材料との接触に好適な貴金属又は高融点金属を備えてもよい)とを形成するために、受動部品3を1つの設備で製造してもよく、半導体要素2を別の設備で形成して要素2の能動部品及び相互接続部を形成することができる。有益には、高温処理を可能にするために、貴金属又は高融点金属を提供することができる。上記で説明したように、いくつかの実施形態では、貴金属又は高融点金属を、銅などの他の金属によって、又は他のメタライゼーション層若しくは経路指定層によって除去及び置換することができる。他の実施形態では、貴金属又は高融点金属を、最終的な接合構造物1に保持することができる。受動部品3を半導体要素2に接合する(例えば、直接接合する)ことができ、これにより低インピーダンス及び低インダクタンス接続を提供して接合構造物1の信号完全性及び/又は電源完全性を改善することができる。
図6は、容量要素を有さないプロセッサダイ(プロットA)、上に100nFのディスクリートのキャパシタが実装されたプロセッサダイ(プロットB)、パッケージ基板に100nFのキャパシタが実装されたプロセッサダイ(プロットC)、図1~図5Iの実施形態に開示されたものと同様の100nFの容量性シートを有するプロセッサダイ(プロットD)、図1~図5Iの実施形態に開示されたものと同様の10nF容量性シートを有するプロセッサダイ(プロットE)及び図1~図5Iの実施形態に開示されたものと同様の1nFの容量性シートを有するプロセッサダイ(プロットF)を含む、信号周波数の関数としての様々なデバイスの伝達インピーダンスのプロットである。図6に示すように、プロットA、B及びCに反映された従来のデバイスは、500MHzより高い及び/又は1GHzより高い周波数で比較的高い伝達インピーダンス値を有する。500MHz又は1GHzより高いところでのこのような高インピーダンスは、プロセッサダイの電源完全性又は信号完全性を低減する場合がある。対照的に、プロットD、E及びFに反映されているように、本明細書に開示された実施形態は、500MHzより高い、例えば、1GHz以上の周波数でのインピーダンスを著しく低減することを可能にし、このことは、これらのより高い周波数において改善された信号完全性又は電源完全性を提供することができる。例えば、本明細書に開示された実施形態は、プロットA~Cに示された従来のデバイスのインピーダンスよりも少なくとも10倍、例えば、少なくとも100倍小さい、1GHzでのインピーダンスを提供することができる。同じキャパシタンスレベルでは、直接接合されたキャパシタンスシートは、プロセッサダイ又はパッケージ基板のいずれかに実装されたディスクリートのキャパシタに対して改善された性能を示す。更に、図6に示すように、本明細書に開示された実施形態は、著しく低い実効キャパシタンス(例えば、約1nF又は10nFの低いキャパシタンス)であっても、低減されたインピーダンスを提供することができる。したがって、本明細書に開示された実施形態は、有利にも、約0.5nF~10mFの範囲、約0.5nF~1mFの範囲、約0.5nF~1μFの範囲、約0.5nF~150nFの範囲、約1nF~100nFの範囲又は約1nF~10nFの範囲内にある実効キャパシタンス値を有する低減されたインピーダンスを提供することができる。
図7Aは、別の実施形態による、受動電子部品3の概略側断面図である。特に断らない限り、図7Aの受動電子部品3を、本明細書に記載された要素2(半導体要素又は非半導体要素を備えてもよい)に接合することができる。様々な実施形態では、受動電子部品は、介在する接着材を使用せずに要素2(図7Aに示さず)に直接接合された第1の表面12を備えることができる。第2の表面13が、パッケージ基板(基板5など)又は他のパッケージング若しくはシステム構造に電気的に接続することができる。図7Aに示された受動部品3は、有益には、電極表面の大部分が、要素2及び表面12、13と非平行に(例えば、概して垂直に)配設されたキャパシタを備える。例えば、図7Aに示すように、電極表面の大部分が概して受動要素3(例えば、x-y平面)の主表面、例えば、表面12、13に対して非平行又は垂直であることが可能であるz軸に平行に延在する、1つ以上のキャパシタ220を画定することができる。
図7Aに示された実施形態では、キャパシタ220は、第1の電極221a(アノード及びカソードのうちの一方を備えてもよい)と、介在する誘電体210によって互いに離間した第2の電極221b(アノード及びカソードのうちの他方を備えてもよい)と、を備えることができる。図1Bに関連して上記で説明したように、図7Aでは、受動電子部品3のアノード端子及びカソード端子を、部品3の同じ側又は表面に沿って配設してもよい。キャパシタ220を、シリコン、酸化ケイ素などの絶縁材料又は誘電材料を備えることができる基部205内に画定することができる。電極221a、221b及び誘電体210は、図7Aのz軸に対応する表面12、13に対して非平行な方向に沿って主に延在する主表面を含むことができる。様々な実施形態において、キャパシタ220は、x軸に沿って延在する蛇行プロファイルを有することができる。例えば、図7Aに示すように、電極221a、221b及び誘電体210は、例えば第1の表面12及び第2の表面13に非平行又は垂直なz軸に沿って延在する、概して縦方向であるそれぞれの縦方向部分225を有することができる。縦方向部分225及び横方向部分226が受動要素3内に概して蛇行したキャパシタを画定するように、縦方向部分225を、電極221a、22b及び誘電体210の対応する横方向部分226によって接続することができる。図7Aに示すように、蛇行キャパシタ220の全体に沿って2つの電極221a、221b間にキャパシタンスCを設けることができる。様々な実施形態では、キャパシタ220に沿った全キャパシタンスCは、100nF/mm2~20μF/mm2の範囲又は100nF/mm2~10μF/mm2の範囲内にあることが可能である。有益には、キャパシタ220の支配的な表面が縦方向のz軸に対して平行な(又は平行に近い)平面に沿って位置する蛇行キャパシタを使用することで、電極221a、221bの全表面積を著しく増加させることができ、したがって、受動要素2によって提供される全キャパシタンスを相応に増加させることができる。電極221a、221bは、アルミニウム、シリコン、ドープシリコン、ニッケル又は他の材料などの任意の好適な種類の導体を備えることができる。誘電体210は、酸化アルミニウム、酸化ケイ素などの任意の好適な誘電材料を備えることができる。いくつかの実施形態では、HfO2、ZrO2、BST、SBTなどの高誘電材料(例えば、k>10)を使用することによって、増加したキャパシタンスを提供することができる。
キャパシタ220を、上部端子231a、231bによって要素2(図示せず)に、及び下部端子232a、232bによってパッケージ基板5(図示せず)又は別の要素に、電気的に接続することができる。図7Aに示すように、第1の端子231aは、第1の電極221aへの電気的導通を提供することができる。第2の端子231bは、第1の端子231aとは異なる種類であってもよい第2の電極221bへの電気的導通を提供することができる。例えば、図7Aに示すように、第1の端子231aは、絶縁基部205を貫いて延在して、第1の電極221aの上部に接触し、受動部品3の第1の表面12で露出することができる。第2の端子231bは、絶縁基部205を貫いて延在することができ、第2の電極221bの延長部分236と接触することができる。図7Aに示すように、例えば、第2の電極221bの延長部分236は、第1の電極221aの材料を貫いて延在することができ、誘電体210が、第1の電極221aと第2の電極221bの延長部分236との間に介在する。キャパシタ220に電気的に接続するための更に他の方法が好適であり得る。
更に、図7Aに示すように、第1の下部端子232aは、第1の電極221aへの電気的導通を提供することができる。第2の下部端子232bは、第2の電極221bへの電気的導通を提供することができる。したがって、様々な実施形態では、第1の表面12において、第1の電極221a(例えば、アノード又はカソードの一方)に上部端子231aが電気的に接続することができ、第2の電極221b(例えば、アノード及びカソードのうちの他方)に上部端子231bが電気的に接続することができる。第2の表面13において、第1の電極221a(例えば、アノード又はカソードの一方)に下部端子232aが電気的に接続することができ、第2の電極221b(例えば、アノード及びカソードのうちの他方)に下部端子232bが電気的に接続することができる。したがって、各表面12、13は、アノード端子及びカソード端子(例えば、異なる種類の端子)を備えることができる。
受動電子部品3はまた、受動電子部品3の厚さを貫いて延在する貫通信号コネクタ235を有することができる。貫通信号コネクタ235は、第1の表面12上の第1の貫通信号端子234と、第2の表面13上の第2の貫通信号端子233と、の間に導電経路を提供する導体を備えることができる。上部端子231a、231b、下部端子232a、232b及び貫通信号端子234、233のいずれか又は全てを、要素2及び/又はシステムボードに直接接合するように構成することができる。したがって、図7Aに示された受動電子部品3は、有益にも、信号経路を通して容量性経路及び導電性経路を提供することができる。したがって、比較的高いキャパシタンスを有する受動デバイスを、直接信号接続部と干渉することなく、システムの別個のリアルエステートを占有することなく、集積回路と一列に設けることができる。電極表面の大部分が縦方向に沿った(又は縦方向と平行に近い)キャパシタ220を配設することで、電極221a、221bの実効表面積を著しく増加させることにより、キャパシタンスを有益に改善することができる。
図7Aに示すように、上部端子231a、231b及び貫通信号端子234を、下部端子232a、232b及び貫通信号端子233よりも微細なピッチで横方向に離間させることができる。例えば、様々な実施形態では、第1の表面12上の端子の上部ピッチp1(例えば、端子231a、231b及び234)を、50ミクロン未満又は40ミクロン未満のピッチで離間させることができる。様々な実施形態において、上部ピッチp1は、0.5ミクロン~50ミクロンの範囲、0.5ミクロン~40ミクロンの範囲、0.5ミクロン~20ミクロンの範囲、0.5ミクロン~10ミクロンの範囲又は1ミクロン~10ミクロンの範囲内にあることが可能である。上部端子231a、231b及び端子234の微細ピッチにより、要素2への接続のための比較的多数のチャネルを提供することができる。対照的に、システムマザーボードへの好適な接続のために、下部端子232a、232b及び端子233の下部ピッチp2を選択することができる。下部ピッチp2は、200ミクロン未満又は150ミクロン未満であることが可能である。例えば、下部ピッチp2は、50ミクロン~200ミクロンの範囲又は50ミクロン~150ミクロンの範囲内にあることが可能である。したがって、受動部品は、高キャパシタンス受動デバイスを提供するように供されると共に、別個のリアルエステートを占有することなくインターポーザとして供される。
縦方向キャパシタ220を、任意の好適な方法で画定することができる。例えば、第2の電極221bを、多孔質シリコン、多孔質アルミニウムなどの最初の平面シートから画定することができる。第2の電極221b材料のシート内にチャネルをエッチングすることができるように、平面シートの上面をマスクしてエッチングすることができる。誘電体210を、多孔質アルミニウム又は多孔質シリコンのエッチングされた表面の上のチャネル内にコンフォーマルに堆積することができる。例えば、誘電体210を、物理蒸着(PVD)、化学蒸着(chemical vapor deposition、CVD)又は原子層堆積(atomic layer deposition、ALD)によって堆積することができる。誘電体210の上に更なる導電材料(例えば、アルミニウム)を堆積、コーティング又は他の方法で被着して、第1の電極221aを画定することができる。いくつかの実施形態では、第1の電極221a及び第2の電極221bは、同じ材料を備えることができる。他の実施形態では、第1の電極221a及び第2の電極221bは、異なる材料を備えることができる。有利には、縦方向のチャネル又はフィンを有する例示された構造物を、水平のフィンと比較してより少ないマスキング工程で容易に画定することができる。
図7Bは、別の実施形態による受動電子部品3の概略側断面図である。特に断らない限り、図7Bの参照番号は、図7Aの類似の番号が付された構成要素と同じ又は同様の特徴を指す。例えば、図7Aと同じように、図7Bの受動電子部品3は、電極表面の大部分が縦方向に位置決めされた、x軸に沿って蛇行パターンを画定するキャパシタ220を備えることができる。第1の電極221a及び第2の電極221bと介在する誘電体210との主表面は、主に、第1の表面12及び第2の表面13に非平行又は垂直に延在することができる。図7Aと同じように、第1の上部端子231aは、第1の表面12又は第1の表面12の近くで第1の電極221aに電気的に接続することができる。更に、図7Aと同じように、図7Bでは、受動部品3の各表面12、13は、アノード端子及びカソード端子を備えることができ、アノード端子及びカソード端子を部品3の同じ側又は表面(例えば、表面12の端子231、231b及び表面13の端子232a、232b)に沿って配設することができるようになっている。しかしながら、図7Aとは異なり、第2の電極221bの延長部分236は、図7Bの対応する第2の上部端子221bに接触し、別個の縦方向コネクタ237は、受動要素3内へ下向きに延在して、第2の上部端子231bを第2の電極221bと電気的に接続することができる。
図7Cは、第2の電極221bの両側に沿って1つ以上の蛇行キャパシタが画定され得る受動電子部品3の概略側断面図である。様々な実施形態では、受動電子部品3内に複数の別個のキャパシが画定されてもよい。特に断らない限り、図7Cの参照番号は、図7A及び7Bの類似の番号が付された構成要素と同じ又は同様の特徴を指す。図7A~図7Bと同じように、第1の表面12及び第2の表面13に対して概して縦方向かつ非平行に延在することができる電極表面の大部分を有する図7Cの受動電子部品3。更に、図7A~図7Bと同じように、図7Cでは、受動部品3の各表面12、13は、アノード端子及びカソード端子を備えることができ、アノード端子及びカソード端子を部品3の同じ側又は表面(例えば、表面12の端子231、231b及び表面13の端子232a、232b)に沿って配設することができるようになっている。しかしながら、図7A~図7Bの実施形態とは異なり、図7Cでは、受動部品3の上部部分240a内に上部キャパシタ220aを画定することができ、受動部品3の下部部分240b内に下部キャパシタ220bを画定することができる。図7Cの実施形態では、アルミニウム又はシリコンの最初の平面シートの両側をマスクし、同時にエッチングして、第2の電極221b内にチャネルを画定することができる。上部部分240a及び下部部分240bの両側に誘電体210を堆積することができる。同様に、上部部分240a及び下部部分240b上の誘電体210の上に導電材料を堆積して、第1の電極221aを画定することができる。図7Cの実施形態は、有益にも、電極221a、221bの全表面積、したがって受動電子部品3の全キャパシタンスを更に増加させることができる。
図7Dは、非平行方向zに沿って延在する整列された繊維(例えば、炭素繊維)によってキャパシタ220が画定されることが可能である、受動電子部品3の概略側断面図である。特に断らない限り、図7Dの参照番号は、図7A~図7Cの類似の番号が付された構成要素と同じ又は同様の特徴を指す。図7A~図7Cと同じように、図7Dの受動電子部品3は、第1の表面12及び第2の表面13に対して概して縦方向かつ非平行に延在することができる電極表面の大部分を有することができる。更に、図7A~図7Cと同じように、図7Dでは、受動部品3の各表面12、13は、アノード端子及びカソード端子を備えることができ、アノード端子及びカソード端子を部品3の同じ側又は表面(例えば、表面12の端子231a、231b及び表面13の端子232a、232b)に沿って配設することができるようになっている。このような実施形態では、繊維(長尺の炭素繊維など)は、第2の電極221bとして作用することができる。繊維を非導電材料でコーティングして誘電体210を画定することができ、続いて、導電材料でコーティングして第1の電極221aを画定することができる。縦方向キャパシタ220を形成する更に他の方法が好適であり得る。
図7A~図7Dに示されたキャパシタ220は、長尺であることが可能であり、例えば、非平行方向zに沿ったキャパシタ220の電極表面の高さl(例えば、電極221a、221bの長さによって画定されてもよい)は、主横方向表面x-yに沿ったキャパシタ220の起伏の対応する幅wよりも長くてもよい。図7A~図7Dに示すように、幅wを、キャパシタ220のピッチ、例えば、キャパシタの単一の起伏の幅、に従って画定することができる。lをwで除することによってキャパシタ220のアスペクト比を定義することができる。様々な構成において、アスペクト比は5:1より大きいことが可能である。有益にも、図7A~図7Dに例示された長尺のキャパシタ220は、より大規模のマスキング工程を伴うことなく、他の受動デバイスと比較して、増加した電極表面積を提供することができる。増加した表面積によって、低誘電率材料と共に使用される場合であっても、全キャパシタンスを著しく増加させることができる。
本明細書で説明するように、ダイ又はウエハなどの様々な種類の要素を、様々なマイクロ電子パッケージング方式の一部として三次元構成でスタックしてもよい。このことは、より大きな基部ダイ又はウエハ上に1つ以上のダイ又はウエハの層をスタックすることと、複数のダイ又はウエハを縦方向構成でスタックすることと、両方の様々な組み合わせと、を含むことができる。スタック内のダイは、メモリデバイス、論理デバイス、プロセッサ、ディスクリートのデバイスなどを含むことができる。本明細書に開示された様々な実施形態では、非常に小さい又は薄いプロファイルのキャパシタを絶縁材料内に埋め込むことができ、例えば、隣接する接合されたデバイスを分離するために、スタックドダイ構成に含めることができる。
ダイ又はウエハは、直接接合を含む様々な接合技術を使用してスタックド構成で接合されてもよい(例えば、参照によりそのまま本明細書に援用される米国特許第7,485,968号を参照)。直接接合技術を使用してスタックドダイを接合するとき、接合されるダイの表面は、極めて平坦かつ平滑であることが望ましい。例えば、上記で説明したように、表面が緊密に嵌合して持続する接合を形成することができるように、表面の表面トポロジー変動は非常に小さいべきである。表面は清浄であり、不純物、粒子又は他の残留物がないことも望ましい。
本明細書に開示された様々な実施形態によれば、キャパシタ(例えば、多層キャパシタ又は他の受動部品)を、セラミック又はポリマーなどの絶縁材料内に埋め込んで、ウエハレベルスタック可能キャパシタ又は他の受動デバイスを形成することができる。様々な実施形態において、セラミック、ポリマーなどを使用することで、いくつかの他の従来の絶縁材料で可能であり得るより平滑な接合表面を有するように平坦化され得るデバイスがもたらされる。例えば、共焼成セラミック、液晶ポリマー(liquid crystal polymer、LCP)、ガラス、シリコン又は他の半導体などの材料を、様々な実施形態で使用してもよい。いくつかの実施態様では、セラミックはプレキャストである、又はペースト又は液体を使用してデバイスのための自己平坦化接合表面を形成してもよい。キャパシタデバイスの接合表面を、接合のための調製において焼成後に平坦化することができる。
埋め込まれるキャパシタは、キャパシタの端子を電気的に結合するために、(所望のキャパシタンスを提供するための)単層又は多層の構成要素を備えることができる。キャパシタのうちの1つ以上を、様々な技術を使用して、絶縁材料(図に例示された「グリーンシート」など)内に埋め込むことができる。第1の実施形態では、キャパシタを、絶縁材料の層(例えば、予め共焼成されたセラミック)上に堆積することができ、絶縁材料の別の層を、キャパシタの上に配置又は堆積することができる。絶縁材料の2つの層を一緒に押圧してキャパシタを挟むことができ、この組み合わせを焼成することができる。絶縁材料層を焼成中につなぎ合わせ、キャパシタが内部に埋め込まれた一体化デバイスを形成することができる。したがって、様々な実施形態において、キャパシタの誘電材料を、絶縁材料の層とモノリシックに集積することができる。
多層キャパシタがより厚い実施形態では、絶縁材料の更なる層を、上部絶縁層と底部絶縁層との間及びキャパシタの周囲に配置する(キャパシタを含む中間層を形成する)ことができる。この組み合わせが焼成されると、絶縁材料層の全てがつなぎ合わされて、一体化デバイスを形成することができる。様々な実施形態では、任意の数の絶縁層を、任意の数のキャパシタ又はキャパシタの層と共に使用して、デバイスを形成してもよい。これに代えて、絶縁材料を固化させ埋め込みデバイスを形成するために焼成又は硬化されることが可能である液体又はペースト絶縁材料でキャパシタをコーティングすることができる。
様々な実施形態では、絶縁材料を、低い熱膨張係数(CTE)を有するように、又は容量性デバイスに接合されることとなるダイ(いくつかの実施例ではシリコンで作製されてもよい)のCTE値に近いCTEを有するように、選択することができる。例えば、容量性デバイスのCTEを、容量性デバイスに接合される論理デバイス、プロセッサなどのCTEと同様であるように選択することができ、それにより、所望すれば、デバイスの組み合わせを一緒に(例えば、スタックド構成で)パッケージ化することができる。
容量性デバイス内に絶縁材料を通してビアを形成して、容量性デバイスを通して又は埋め込みキャパシタに、信号伝達又は電源伝達を可能にしてもよい。様々な実装形態では、ビアを、容量性デバイスの焼成前又は焼成後に形成してもよい。いくつかの実施形態では、再配線層を、所望すればビアに結合されてもよい、容量性デバイスの一方又は両方の表面上に形成してもよい。
更に、キャパシタのエッジコネクタに導電トレースを結合して、容量性デバイスの一方又は両方の表面上にキャパシタ用の外部端子を形成することができる。例えば、キャパシタ用の端子を、容量性デバイスの各表面上に1つ位置させることができ(例えば、アノード端子及びカソード端子は、容量性デバイスの対向する両側にあることが可能である)、両方とも容量性デバイスの単一の表面上にあり(例えば、アノード端子及びカソード端子は、容量性デバイスの同じ側又は表面上にあることが可能である)、又は容量性デバイスの各側のエッジコネクタの各々用の端子が存在してもよい(例えば、容量性デバイスの各表面は、アノード端子及びカソード端子の両方を備えることができる)。したがって、例えば、2つの端子は、キャパシタの1つのエッジコネクタに接続されもよく、容量性デバイスの一方側から他方に電源信号を伝送するように構成されてもよい。2つの他の端子は、キャパシタの第2のエッジコネクタに接続されてもよく、容量性デバイスの一方側から他方にグランドを提供するように構成されてもよい。このような構成では、キャパシタの一方側が電源信号に結合され、キャパシタの他方側がグランドに結合される。所望すれば、端子は、ビアの1つ以上又は(一方又は両方の表面上の)再配線層(RDL)に結合されてもよい。直接接合技術を使用して容量性デバイスを接合するときに、端子、ビア及び/又はRDL接続部を、容量性デバイスの1つ以上の表面上の直接接合相互接続部として形成することができる。このような場合、相互接続部の少なくともいくつかは、1ミクロンの範囲内のピッチを有することができ、ビアは10~15ミクロンの範囲内のサイズを有してもよい。一実施形態では、直接接合相互接続部を、1つの表面上のキャパシタ用の端子と共に、容量性デバイスの当該1つの表面上でのみ使用してもよい。
[0123]別の実施形態では、予め焼成された絶縁材料層の表面内に1つ以上の空洞を形成してもよい。各空洞内にキャパシタを堆積することができ、絶縁層内に(任意の順序で)ビアを形成することができる。層及びキャパシタの上に再配線層を形成し、デバイス内にキャパシタを埋め込むことができる。反対側の表面を平坦化し、所望すれば平坦化表面上に別のRDLを形成することができる。
記載された技術は、より少ない加工工程、より高い製造スループット及び改善された歩留まりをもたらすことができる。開示された技術の他の利点も、当業者には明らかであろう。
図8A~図8Cは、様々な実施形態による、絶縁層にキャパシタ220を埋め込む様々な技術を例示している。図8D~図8Eは、図8A~図8Cに示された技術のいずれかを使用して形成することができる受動電子部品3の概略側断面図である。図8Aは、受動電子部品を形成する前の、第1の絶縁層260a、複数のキャパシタ220及び第2の絶縁層260bの概略側断面図である。図8A~図8Cに示された実施形態は、ウエハレベル処理で使用されてもよい複数のキャパシタ220を例示している。他の実施形態では、1つのキャパシタ220のみが設けられてもよい。キャパシタ220は、例えば、2つの導電性電極層間に単一の誘電体層を有する単層キャパシタ又は複数の導電性電極層間に複数の誘電体層を有する多層キャパシタを含む、任意の好適な種類のキャパシタを備えることができる。キャパシタ220はまた、水平又は縦方向に配向されたキャパシタを含む、本明細書に開示された容量性構造物のいずれかと同様であるか又は同じであってもよい。第1の絶縁層260a及び第2の絶縁層260bは、セラミック、ガラス又はポリマーなどの任意の好適な種類の絶縁材料又は非導電材料を備えることができる。様々な実施形態では、第1の絶縁層260a及び第2の絶縁層260bは、酸化アルミニウムなどのセラミックを備えることができる。他の実施形態では、第1の絶縁層260a及び第2の絶縁層260bは、液晶ポリマー(LCP)などのポリマーを備えることができる。
様々な実施形態において、第1の絶縁層260a及び第2の絶縁層260bは、軟質又は可撓性のセラミックグリーンシート、例えば、好適な焼成温度以上で加熱又は焼成されると硬化してより硬いセラミック材料を形成するセラミックシートを備えることができる。このような実施形態では、キャパシタ220を第1の絶縁層260a上に設けることができる。第2の絶縁層260bを、キャパシタ220上に設ける(例えば、堆積する)ことができる。第1の層260a及び第2の層260bを一緒に押圧して、第1の層260aと第2の層260bとが、間隙262内でかつキャパシタ220の縁部261の周囲で、互いに接触するようにすることができる。様々な実施形態において、第1の層260a及び第2の層260bは、キャパシタ262の周囲に相応にコンフォームすることができ、プレスされると互いに接触することができる。押圧後、第1の層260a及び第2の層260bと埋め込みキャパシタ220とを、セラミック焼成温度以上の温度で焼成又は共焼成して、第1の層260a及び第2の層260bを、互いに溶融又はブレンドして、より硬質の又はより硬い構造物を生じさせるようにすることができる。
第1の層260a及び第2の層260bは、様々な実施形態において同じ材料を備えることができる。他の実施形態では、第1の層260a及び第2の層260bは異なる材料を備えることができる。第1の層260a及び第2の層260bが異なる材料を備える構成では、材料が同じ焼成温度若しくは共焼成温度で又は当該同じ焼成温度付近若しくは共焼成温度付近で、例えば、第1の層260a及び第2の層260bが十分に硬化される温度又は温度範囲で、硬化するように、材料を選択することができる。例えば、いくつかの材料は、高温セラミック(例えば、1000℃以上の焼成温度を有する)又は低温セラミック(例えば、500℃以上、又は600℃以上、例えば、500℃~1200℃又は550℃~1100℃の焼成温度を有する低温セラミックを備えてもよい。得られた受動電子部品3は図8Dに例示されており、これについて以下により詳細に記載する。
図8Bは、受動電子部品を形成する前の、第1の絶縁層260aと、複数のキャパシタ220と、第2の絶縁層260bと、第1の絶縁層260aと第2の絶縁層260bとの間に配設された中間の第3の絶縁層260cと、の概略側断面図である。特に断らない限り、図8Bの実施形態は、図8Aの実施形態と概して同様であるか又は同じである。例えば、図8Aのように、図8Bの受動部品を形成する方法も、図8Dに示された受動部品3を形成してもよい。しかしながら、図8Aとは異なり、図8Bでは、中間の第3の絶縁層260cを、焼成前にキャパシタ220の側縁部261の周囲に堆積することができる。有益には、第1の層260a及び第2の層260bが、印加圧力をほとんど又は全く伴わずに中間層260cに接触することができるように、第3の中間層260cは選択された厚さを有することができる。他の構成では、第1の層260aを第3の層260cに接触させ、第3の層260cを第2の層260bに接触させるように、圧力を印加してもよい。第1の層260a及び第2の層260bを一緒に(第3の層260cがそれらの間に介在する状態で)押圧した後、第1の層260a、第2の層260b、第3の層260c及びキャパシタ220を、層260a~260cを溶融又はその他の方法でつなぎ合わせるのに十分な温度で共焼成して、統一型又は一体型のデバイス、例えば、図8Dに示された一体型受動部品3を形成することができる。
図8Cは、受動電子部品を形成する前の、絶縁層260内に埋め込まれたキャパシタ220の概略側断面図である。特に断らない限り、図8Cの実施形態は、図8A~図8Bの実施形態と概して同様であるか又は同じである。例えば、図8A~図8Bのように、図8Bの受動部品を形成する方法も、図8Dに示された受動部品3を形成してもよい。しかしながら、図8A~図8Bの実施形態では、第1、第2及び第3の絶縁層260a~260cを、キャパシタ220に堆積又は接続する前に形成してもよい。図8A~図8Bの実施形態とは異なり、図8Cの実施形態では、粉末又は他の固体混合物をキャリア263上に設けることができる。キャパシタ220を電源又は混合物の上に設けることができ、粉末又は混合物に溶液を添加することができる。溶液は、粉末又は混合物を軟質の可撓性絶縁層260(例えば、軟質セラミック又はポリマー)に増粘させることができる。得られる軟質の可撓性層260を、キャパシタ220の上及び周囲に成形するか又は他の方法で形成して、絶縁層260内にキャパシタ220を埋め込むことができる。キャパシタ220の上に絶縁層260を成形又は形成した後、絶縁層260及びキャパシタ260を、層260を溶融、混合又はその他の方法でつなぎ合わせるのに十分な温度で共焼成して、統一型又は一体型のデバイス、例えば、図8Dに示された一体型受動部品3を形成することができる。キャリア263を、共焼成後に除去することができる。図8Cの実施形態は、図8A~図8Bに示されたものなどの複数の堆積プロセスを利用することなく、受動部品の形成を有益に可能にすることができる。むしろ、基部絶縁層260をキャパシタ220の周りに形成し共焼成して、比較的少ないプロセス工程で受動部品3を形成することができる。
図8Dは、図8A~図8Cに示された技術のいずれかを使用して形成された受動電子部品3の概略断面図である。受動電子部品3は、図7A~図7Dに示されたものと同様又は同じ特徴を含んでもよく、図8Dの参照番号は、注記する場合を除いて、図7A~図7Dの類似の参照番号と同様の構成要素を表す場合がある。図8A~図8Cの構造物を共焼成した後、キャパシタ220を絶縁材料の層250内に埋め込むことができる。例示された実施形態では、例えば、絶縁材料の層250がキャパシタ220の側縁部261に沿って、並びにキャパシタ220の上面264a及び下面264bに沿って配設されるように、キャパシタ220を層250内に完全に埋め込むことができる。図8Dでは、絶縁材料の層250は、図8A~図8Cに示された組立品を焼成又は共焼成することによって形成された第1の絶縁体210aを備えることができる。したがって、第1の絶縁体210aは、硬化したセラミック、ポリマー、ガラスなどを備えることができる。
図7A~図7Dと同じように、図8Dに示されたキャパシタ220を、第1の電極221aと、第2の電極221bと、第1の電極221aと第2の電極221bとの間に介在する第2の絶縁体210bと、によって少なくとも部分的に画定することができる。第1の絶縁体210a及び第2の絶縁体210bを、同様の共焼成温度を有するように及び/又は受動電子部品3が接合される構成要素(例えば、シリコンを備えてもよい半導体要素2)と合致する比較的低いCTEを有して、選択することができる。様々な実施形態において、絶縁体210a、210bは、同じ材料を備える。他の実施形態では、絶縁体210a、210bは、層210a、210bが両方とも互いに硬化及び融合又は溶融する焼成温度を各々が有する異なる材料を備える。したがって、図8A~図8Cのいずれかに示された組立品を共焼成した後、第1の絶縁体210a及び第2の絶縁体210bは、互いに融合又は混合して、モノリシックに集積された一体型構造又は統一型構造を形成することができる。例えば、第1の絶縁体210a及び第2の絶縁体210bを共焼成することで、第1の絶縁体210aの部分を、例えば、2つの絶縁体210a、210b間の境界で、第2の絶縁体210bの部分と融合又は混合させることができる。したがって、図8Dの実施形態では、層250のモノリシックに集積された絶縁体210aは、キャパシタ220の絶縁体210bとシームレスに集積化してもよい。上記で説明したように、様々な実施形態では、絶縁体210a、210bは、様々な実施形態の誘電体、例えば、セラミック誘電体を備えることができる。他の実施形態では、絶縁体210a及び/又は210bは、ポリマー、ガラスなどを備えてもよい。
様々な実施形態において、図7A~図7Dの実施形態と同じように、キャパシタ220は、対応する第1の電極221aに接続された第1の端子232aを備えることができる。第2の端子232bを、キャパシタ220の対応する第2の電極221bに接続することができる。第1の端子232a及び第2の端子232bの各々を、電極221a、221bのそれぞれの最外層(例えば、キャパシタ220のそれぞれの上面264a及び下面264bに又は当該上面264a及び下面264bの近くに配設されたそれらの電極221a、221b)によって、及びそれぞれの交流電極221a、221bを電気的に接続するエッジコネクタ251a、251bによって、少なくとも部分的に画定することができる。例えば、図8Dに示すように、第1のエッジコネクタ251aを、縦方向に、例えば、電極221aに対して非平行に、配設することができ、電極221aのそれぞれの端部を電気的に接続することができる。同様に、第2のエッジコネクタ251bを、縦方向に、例えば、電極221bに対して非平行に、配設することができ、電極221bのそれぞれの端部を電気的に接続することができる。上記で説明したように、電極221a、221bは異なる種類であってもよく、例えば、一方の電極221aはアノードを備えてもよく、他方の電極221bはカソードを備えてもよく、又はその逆であってもよい。
更に、図7A~図7Bの実施形態と同じように、1つ以上の貫通信号コネクタ235(例えば、導電ビア)は、受動電子部品3の厚さを貫いて、絶縁層250の第1の表面265aから絶縁層250の第2の表面265bまで延在することができる。いくつかの実施形態では、貫通信号コネクタ235を、共焼成と受動部品3の形成との後に形成することができる。例えば、いくつかの実施形態では、絶縁層260a、260b及び/又は260cとキャパシタ220とを共焼成して受動部品を形成することができる。続いて、絶縁層250を貫いて孔を設ける(例えば、穿孔する、エッチングするなど)ことができ、及び貫通信号コネクタ235を当該孔内に設けるか又は堆積することができる。焼成後に信号コネクタ235が形成されるこのような構成では、コネクタ235用の導電材料は、焼成又は共焼成プロセス中に使用される高温に耐えることができない場合がある。しかしながら、他の実施形態では、コネクタ235は、構造物を焼成するために使用される高温に耐えるように構成された材料特性を有する導体を備えることができる。このような構成では、コネクタ235を、キャパシタ220及び絶縁層260a~260cと共に共焼成してもよい。
図8Eは、受動電子部品3に被着された1つ以上の再配線層(RDL)252a、252b(例えば、相互接続層)を有する、図8Dに示された受動電子部品3の概略側断面図である。RDL252a、252bを、絶縁層250の第1の表面265a及び第2の表面265bのうちの少なくとも一方上に設けることができる。RDL252a、252bを、部品3上に設ける前に、いくつかの実施形態では予め形成することができる。例えば、いくつかの実施形態では、RDL252a、252bを、予め形成し、介在する接着材を使用せずに部品3に直接接合することができる。他の構成では、RDL252a、252bを、絶縁層250の上の層にビルドアップすることができる。RDL252a、252bを、キャパシタ220の選択された端子と受動電子部品3が接続される要素の対応する端子又は接触パッドとの間で電気信号を経路指定するように構成することができる。例えば、図8Eの実施形態では、絶縁層250の第1の表面265aの上に第1のRDL252aを設けることができる。絶縁層250の第2の表面265bの上に第2のRDL252bを設けることができる。RDL252a、252bは、対応するRDL絶縁層266a、266bに少なくとも部分的に埋め込まれた複数の金属トレースを備えることができる。受動部品3の第1の表面12をRDL252aの上面において画定することができ、受動部品3の第2の表面13をRDL252bの下面において画定することができる。
図8Eに示すように、それぞれのRDL252a、252bの第1の導電トレース253a又は導電ビアを、第1の端子232aにおいて又は第1の端子232aによって、キャパシタの第1の電極又は端子221aに電気的に接続することができる。図示のように、トレース253a又はビア(相互接続部とも称する)は、絶縁材料の少なくとも一部分又はキャパシタのそれぞれの端子に接続する絶縁体210bを貫いて延在することができる。同様に、それぞれのRDL252a、252bの第2の導電トレース253bを、第2の端子232bにおいて又は第2の端子232bによって第2の電極221bに電気的に接続することができる。例示された実施形態では、端子232a、232bを、エッジコネクタ251a、252bのそれぞれの部分(交互配置電極221a、221bを接続する)によって及び最外電極221a、221b(例えば、キャパシタ220のそれぞれの第1の表面265a又は第2の表面265bにおける又は当該第1の表面265a又は第2の表面265bの近くの電極221a、221b)によって、少なくとも部分的に画定することができる。したがって、それぞれの電極221a、222bへの電気的接続を、表面265a、265bにおけるエッジコネクタ251a、251b及び/又は最外電極221a、221bに対して形成することができる。
トレース253a、253bは、端子232a、232bを絶縁材料層250の第1の表面265a又は第2の表面265bのそれぞれの相互接続部254a、254bに電気的に接続することができる。図8Eに示すように、相互接続部254a、254bは、RDL絶縁体266a、266bを貫いて延在することができ、第1の表面12及び第2の表面13において露出することができる。様々な実施形態において、以下に説明するように、絶縁層266a、266bは、相互接続部(又はその一部)がキャパシタに接続するように貫いて延在することができる、それぞれの層又は基板として作用することができる。相互接続部254a、254bの露出面を、要素2(例えば、集積されたデバイスダイなどの半導体要素)、パッケージ基板、インターポーザなどの他の要素に電気的に接続するように構成することができる。本明細書で説明するように、表面12、13におけるRDL絶縁層266a、266bと相互接続部254a、254bの露出表面とを、様々な実施形態において、直接接合のために研磨及び調製することができる。絶縁層266a、266b及び露出した相互接続部254a、254bを、介在する接着材を使用せずに、他の要素の対応する絶縁性特徴部及び/又は導電性特徴部に直接接合することができる。いくつかの実施形態では、部品3の両方の表面12、13に要素を接合してもよい。他の実施形態では、受動部品3の一方の表面12又は13のみに要素を接合してもよい。更に他の実施形態では、絶縁層266a、266b及び/又は相互接続部254a、254bを、様々な接着材で他の要素に接合することができる。
上述の実施形態と同じように、いくつかの構成では、受動部品3の各表面12、13は、キャパシタ220の各側の異なる種類の端子232a、232bに接続する、それぞれの第1の相互接続部254a及び第2の相互接続部254bを備えることができる。例えば、絶縁材料250の表面265a、265bの各々は、アノード端子及びカソード端子を備えることができる。しかしながら、他の実施形態では一方の表面265aはアノード端子を備えることができ、他方の表面265bはカソード端子を備えることができる。端子の更に他の組み合わせを、例えば、RDL252a、252bの構造及びRDL252a、252bが電気信号をどのように経路指定するかに基づいて、本明細書で提供することができる。例えば、本明細書に開示された実施形態では、いくつかの端子232aは電源に接続してもよく、他の端子232bは電気グランドに接続してもよく、又はその逆であってもよい。
図9A~図9Eは、様々な実施形態による、受動電子部品3を形成するプロセスを示す。特に断らない限り、図9A~図9Eの構成要素は、図7A~図8Eの類似の番号が付された構成要素と同様であるか又は同じであることが可能である。図9Aは、絶縁層250の概略側断面図である。絶縁層250は、セラミック、ポリマー、ガラス、半導体(例えば、シリコン)などの任意の好適な種類の絶縁体を備えることができる。図9Aを参照すると、例えば、エッチング、穿孔などによって、絶縁層250内に1つ以上の空洞267を形成することができる。また、貫通信号相互接続部235(又は導電ビア)を、絶縁層250の厚さの少なくとも一部分を貫いて設けることができる。例えば、いくつかの実施形態では、孔を形成する(例えば、穿孔する、エッチングするなど)ことができ、当該孔内に導電材料を設けてビア又は相互接続部235を形成することができる。図9Cでは、空洞267内に1つ以上のキャパシタ220を設けることができる。いくつかの実施形態において、キャパシタ220に対する応力を支持、安定化及び/又は低減するために、空洞267内のキャパシタ220の上及び/又は周囲に低CTE絶縁充填材268を設けることができる。
図9Dに示すように、第1のRDL252aを絶縁層250の第1の表面265aの上に設けることができる。第1のRDL252a内の相互接続部254aは、キャパシタ220の対応する端子232aに接続することができ、第1のRDL252a内の他の相互接続部254b(図示せず)は、キャパシタ220の対応する端子232bに接続することができる。例えば、相互接続部254a、254bの部分は、RDL252a、252bの絶縁層266a、266b(絶縁層又は基板として作用することができる)を貫いて延在してキャパシタに接続することができる。図9Eでは、絶縁材料250の裏側を部分的に除去して(例えば、研磨する、研削する、エッチングするなど)、キャパシタ220の表面264b及びビア235の端部を露出させることができる。第2のRLD252bを、絶縁材料250の表面265b及びキャパシタ220の表面264bの上に設けることができる。上記で説明したように、RDL252a、252bを、絶縁層250及びキャパシタ220に接合する(例えば、いくつかの構成では直接接合する)ことができる。他の実施形態では、RDL252a、252bを、他の方法で、例えば接着材を使用して、接合することができる。更に他の実施形態では、RDL252a、252bを、層ごとにビルドアップすることができる。
図8D~図8Eの実施形態と同じように、図9Eでは、キャパシタ3を、絶縁層266a、266b間の絶縁要素として作用することができる絶縁層250内に埋め込むことができる。例えば、図9Eに示すように、絶縁層250をキャパシタ220の側縁部261に沿って配置することができ、絶縁層250は側縁部261の大部分、例えば、側縁部261の全て又は実質的に全体を覆ってもよい。図9Eの実施形態では、RDL252a、252bを、キャパシタ220の上面264a及び下面264bの上に設けることができる。しかしながら、図8D~図8Eとは異なり、図9Eでは、キャパシタ3は、絶縁材料250とモノリシックに集積されないが、代わりに空洞267に(充填剤268と共に)挿入される。本明細書に開示された他の実施形態と同じように、受動部品3の各表面12、13は、異なる種類の端子を備えることができ、例えば、各表面12、13は、アノード端子又は相互接続部とカソード端子又は相互接続部とを備えることができる。他の実施形態では、一方の表面12はアノード端子又は相互接続部のみを備えてもよく、他方の表面13は、カソード端子又は相互接続部のみを備えてもよく、又はその逆であってもよい。様々な実施形態において、部品の第1の表面12上の相互接続部254a及び/又は254bのピッチは、第2の表面13上の相互接続部254a、254bのピッチよりも小さいピッチを有してもよい。例えば、第1の表面12上の相互接続部254a及び/又は254bは、離間し、別の要素に直接接合するように構成されてもよい。いくつかの実施形態では、第1の表面12上の相互接続部254a及び/又は254bのピッチは、50ミクロン以下、10ミクロン以下又は1ミクロン以下であることが可能である。いくつかの実施形態では、キャパシタ220の端子を、第1の表面12においてRDLの対応する相互接続部254a及び/又は254bに接続することができ、第2の表面13において任意の相互接続部に接続しなくてもよく、又はその逆であってもよい。
図10A~図10Gは、受動部品が絶縁層250内に埋め込まれた受動電子部品3を形成する別の方法を例示している。図10Aは、第1の絶縁基板270aの概略側断面図である。第1の絶縁基板270aは、セラミック、ポリマー、ガラス、絶縁複合体などの任意の好適な種類の絶縁体を備えることができる。有益には、基板270a、270bは、6ppm/℃以下又は5ppm/℃以下の熱膨張係数(CTE)を有する材料を備えることができる。様々な実施形態において、基板270a、270bのCTEは、2ppm/℃~6ppm/℃の範囲、3ppm/℃~6ppm/℃の範囲又は3ppm/℃~5ppm/℃の範囲内にあることが可能である。様々な実施形態において、基板270a、270bは、同じ材料を備えることができる。他の実施形態では、基板270a、270bは異なる材料を備えてもよい。図10Bを参照すると、第1の絶縁基板270a上に第1の接着材250aを被着又は堆積することができる。第1の接着材250aは、絶縁性接着材などの任意の好適な種類の接着材を備えることができる。様々な実施形態において、第1の接着材250aは非導電性エポキシを備えることができる。
図10Cでは、キャパシタ220を、第1の接着材層250a上に設ける(例えば、配置する、堆積するなど)ことができる。いくつかの実施形態では、キャパシタ220を、第1の接着材層250aの少なくとも一部がキャパシタ220の側縁部261に沿って配設され、第1の接着材層250aの少なくとも別の部分がキャパシタ220の第2の表面264bに沿って配設されるように、第1の接着材層250a内に部分的に埋め込むことができる。図10Dでは、第2の接着材層250bを、キャパシタ220の上及び周囲に設ける又は被着することができる。図10Dに示すように、第2の接着材層250bの少なくとも一部分をキャパシタ220の側縁部261に沿って配設することができ、第2の接着材層250bの少なくとも別の部分をキャパシタ220の第1の表面264aに沿って配設することができる。第2の絶縁基板270b(第1の基板270aと同じ絶縁材料又は異なる絶縁材料を備えてもよい)。したがって、図10Dでは、絶縁層250は、第1の接着材層250a及び第2の接着材層250bを備えることができ、キャパシタ220を絶縁層内に十分に埋め込むことができる。
図10Eを参照すると、受動部品3内に導電相互接続部を形成することができる。例えば、貫通導電ビア235を、受動部品3の厚さを貫いて設けられた貫通孔内に設けて、部品3内の電気的導通を提供するようにすることができる。加えて、キャパシタ220の対応する端子232a、232bと電気的に接続するために、絶縁基板270a、270bの対応する孔内に相互接続部254a、254bを形成することができる。したがって、本明細書に開示された実施形態では、絶縁基板270a、270bは、相互接続層として作用して、他の要素又はデバイスとの電気的導通を提供することができる。本明細書に開示された他の実施形態と同じように、受動部品3の各表面12、13は異なる種類の端子を備えることができ、例えば、各表面12、13は、アノード端子又は相互接続部254aとカソード端子又は相互接続部254bとを備えることができる。他の実施形態では、一方の表面12はアノード端子又は相互接続部のみを備えてもよく、他方の表面13はカソード端子又は相互接続部のみを備えてもよく、又はその逆であってもよい。
図10Eに示すように、接着材250a、250bを、第1の基板と第2の基板との間に配設された絶縁要素として供することができる。相互接続部254a、254bは、絶縁要素の少なくとも一部分(例えば、第1及び第2の接着材250a、250bの部分)を貫いて延在する導電ビアとして作用することができる。更に、相互接続部254a、254bは、基板270a、270bを貫いて延在してキャパシタに接続することができる。接着材250a、250bを、キャパシタ220の側縁部に隣接して(及び/又は接触させて)配設することができる。図示のように、キャパシタ220を、第1の基板270aと第2の基板270bとの間の第1の領域内に配設することができ、絶縁要素(例えば、絶縁材料250の接着材250a、250b)の少なくとも一部を、第1の基板270aと第2の基板270bとの間の異なる第2の領域内に配設することができる。有益にも、低CTE基板270a、270b及び介在する絶縁材料250を使用することで、受動部品3が実装される構成要素のCTE、例えば、半導体又はシリコン基板のCTEに近い、低い全実効CTEを有する全受動部品3を提供することができる。このような構成では、有益には、受動部品3の全実効CTE(例えば、図10Eに示された絶縁材料及び導電材料を含む)は、8ppm/℃以下、7ppm/℃以下又は6ppm/℃以下であることが可能である。様々な実施形態では、受動部品3(本明細書においてマイクロ電子デバイスとも称する)の全実効CTEは、3ppm/℃~7ppm/℃の範囲、4ppm/℃~8ppm/℃の範囲又は4ppm/℃~7ppm/℃の範囲内にあることが可能である。
図10Fは、図10Cに示された工程の後に受動部品を形成する代替的な方法を例示している。例えば、キャパシタ220を図10Cの第1の接着材層250a上に設けることに続いて、図10Dに示すように第2の接着材250bをキャパシタ220及び第1の接着材層250a上に設ける代わりに、成形コンパウンド269を、キャパシタ220の側縁部261の少なくとも周囲に設けることができる。成形コンパウンド269は、絶縁層250の絶縁サブ層を備えることができ、例えば、成形コンパウンド269は、非導電性エポキシ、封止材などを備えることができる。いくつかの実施形態では、成形コンパウンド269を、側縁部261のみの周りに被着してもよい。他の実施形態では、成形コンパウンド269を、キャパシタの側縁部261及び表面264aの周囲に被着することができる。表面264aの上の成形コンパウンド269の部分を、任意の好適な方法で除去してもよい。
更に、図10Fに示すように、第2の接着材層250b(非導電性又は絶縁性接着材を備えることができる)を、第1の表面264a及び成形コンパウンド269の上面上に被着することができる。第2の絶縁基材270bを、第2の接着材層250bの上に設けてもよい。したがって、図10Fの実施形態では、絶縁層250は、第1の接着材層250a及び第2の接着材層250bと成形コンパウンド269とを備えることができる。キャパシタ220を、絶縁層250に埋め込む(例えば、完全に埋め込む)ことができる。図10Gを参照すると、図10Eと同じように、貫通ビア235及び相互接続部254a、254bを設けて、それぞれ部品3を通したキャパシタ220への電気的導通を提供することができる。
接着材250a、250b及び成形コンパウンド269は、第1の基板270aと第2の基板270bとの間に配設された絶縁要素として作用することができる。相互接続部254a、254bは、絶縁要素の少なくとも一部分(例えば、第1の接着材250a及び第2の接着材250bの部分)を通って延在する導電ビアとして作用することができる。更に、相互接続部254a、254bは、基板270a、270bを通って延在してキャパシタに接続することができる。図10Gでは、接着材250a、250bを、キャパシタ220の上面に隣接して(及び/又は接触させて)配設することができる。成形コンパウンド269を、キャパシタ220の側縁部に隣接して(及び又は接触させて)配設することができる。したがって、キャパシタ220を、絶縁要素内に埋め込む(例えば、接着材250a、250b及び成形コンパウンド269内に埋め込む)ことができる。図示のように、キャパシタ220を、第1の基板270aと第2の基板270bとの間の第1の領域内に配設することができ、絶縁要素の少なくとも一部(例えば、絶縁材料250の接着材250a、250b)を、第1の基板270aと第2の基板270bとの間の異なる第2の領域内に配設することができる。有益には、低CTE基板270a、270b及び介在する絶縁材料250を使用することで、受動部品3が実装される構成要素のCTE、例えば、半導体又はシリコン基板のCTEに近い、低い全実効CTEを有する全受動部品3を提供することができる。このような構成では、有益には、受動部品3の全実効CTE(例えば、図10Gに示す絶縁材料及び導電材料を含む)は、8ppm/℃以下、7ppm/℃以下又は6ppm/℃以下であることが可能である。様々な実施形態では、受動部品3(本明細書においてマイクロ電子デバイスとも称する)の全実効CTEは、3ppm/℃~7ppm/℃の範囲、4ppm/℃~8ppm/℃の範囲又は4ppm/℃~7ppm/℃の範囲内にあることが可能である。図10A~図10Gの部品3は、複数の基板(基板270a、270bなど)を、例えば、1つ以上の接着材によって及び/又は成形コンパウンドによって、1つ以上のキャパシタに結合することができる積層構造物を備えることができる。例示された実施形態では、基板270a、270bは、堆積プロセスを使用して堆積されることなく、キャパシタ220に積層又は被着される材料又は層を備えてもよい。
図11A~図11Gは、受動部品が絶縁層250内に埋め込まれる、受動電子部品3を形成する別の方法を例示している。図11A~図11Bは、それぞれ図10A~図10Bと概して同じである。しかしながら、図11Cでは、第3の絶縁基板270cを、第1の接着材層250aの上及びキャパシタ220の周囲に設けることができる。第3の絶縁基板270cは、基板270a、270bと同じ材料であってもよい。他の実施形態では、第3の基板270cの材料は、基板270a、270bの材料とは異なってもよい。第1の接着材層250aを、第2の表面264bの上及び側縁部261の部分の上に設けることができる。図11Cに示すように、有益には、第1のビア部分235aを、第3の絶縁基板270を貫いて設けることができる。第1のビア部分235aは、貫通信号ビア又は相互接続部235の一部を画定することができる。
図10Dと同じように、図11Dでは、第2の接着材250bをキャパシタ220の上及び周囲に設けることができる。例えば、第2の接着材層250bを、キャパシタ220の側縁部261の部分の周囲及びキャパシタ220の第1の表面264aの上に設けることができる。第2の絶縁基板270bを、第2の接着材層250b上に設ける又は堆積することができる。したがって、図11Dでは、キャパシタ220を、第1の接着材層250a及び第2の接着材層250bを備えることができる絶縁層250内に埋め込むことができる。例示された実施形態では、例えば、キャパシタ220を、絶縁層の部分がキャパシタ220の表面のほとんど又は全てを覆うように、絶縁層250内に完全に又は十分に埋め込むことができる。
図11Eを参照すると、相互接続部254a、254bを設けてキャパシタ220の端子232a、232bに接続することができる。第1の絶縁基板270a及び第2の絶縁基板270bの各々に第2のビア部分235bを設けることができる。第2のビア部分235bを、第3の絶縁基板270cを貫いて形成された第1のビア部分235aに電気的に接続することができる。例えば、いくつかの実施形態では、第1のビア部分235aを、介在する接着材を使用せずに、対応する第2のビア部分235bに直接接合することができる。他の実施形態では、第1のビア部分235aを、導電性接着材を使用して第2のビア部分235bに接合することができる。有利にも、第3の絶縁基板270c内の第1のビア部分235aを使用することで、絶縁層250を貫く貫通孔を設けることなく、得られる貫通ビア又は相互接続部235の層ごとの構成体を可能にすることができる。代わりに、第3の絶縁基板270cは、絶縁層250を貫いて別個のビアを形成する必要がないように、キャパシタ220の厚さとほぼ同じ厚さを有することができる。
上記のように、接着材250a、250b及び第3の基板270cを、第1の基板270aと第2の基板270bとの間に配設された絶縁要素として供することができる。相互接続部254a、254bは、絶縁要素の少なくとも一部分(例えば、第1の接着材250a及び第2の接着材250bの部分)を貫いて延在する導電ビアとして作用することができる。更に、相互接続部254a、254bは、基板270a、270bを貫いて延在してキャパシタに接続することができる。図11Eでは、絶縁材料250を、キャパシタ220の上面及びキャパシタ220の側縁部に隣接して(及び/又は接触させて)配設することができる。したがって、キャパシタ220を、絶縁要素内に埋め込むことができる。図示のように、キャパシタ220を、第1の基板270aと第2の基板270bとの間の第1の領域内に配設することができ、絶縁要素の少なくとも一部(例えば、接着材250a、250bの部分及び第3の基板270c)を、第1の基板270aと第2の基板270bとの間の異なる第2の領域内に配設することができる。有益にも、低CTE基板270a、270b、介在する第3の基板270c及び介在する絶縁材料250を使用することで、受動部品3が実装される構成要素のCTE、例えば、半導体又はシリコン基板のCTEに近い、低い全実効CTEを有する全受動部品3を提供することができる。このような構成では、有益には、受動部品3の全実効CTE(例えば、図11Eに示された絶縁材料及び導電材料を含む)は、8ppm/℃以下、7ppm/℃以下又は6ppm/℃以下であることが可能である。様々な実施形態では、受動部品3(本明細書においてマイクロ電子デバイスとも称する)の全実効CTEは、3ppm/℃~7ppm/℃の範囲、4ppm/℃~8ppm/℃の範囲又は4ppm/℃~7ppm/℃の範囲内にあることが可能である。
図11Fは、図11Cに示された工程の後に受動部品を形成する代替的な方法を例示している。例えば、図11Cの第1の接着材層250a上にキャパシタ220を設けることに続いて、図11Dに示すように第2の接着材250bをキャパシタ220、第3の基板270c及び第1の接着材層250aの上に設ける代わりに、成形コンパウンド269を、キャパシタ220の側縁部261の少なくとも周囲に設けることができる。成形コンパウンド269は、絶縁層250の絶縁サブ層を備えることができ、例えば、成形コンパウンド269は、非導電性エポキシ、封止材などを備えることができる。いくつかの実施形態では、成形コンパウンド269を側縁部261のみの周囲に被着してもよい。他の実施形態では、成形コンパウンド269を、キャパシタの側縁部261及び表面264aの周囲に被着することができる。表面264aの上の成形コンパウンド269の部分を、任意の好適な方法で除去してもよい。
更に、図11Fに示すように、第2の接着材層250b(非導電性又は絶縁性接着材を備えることができる)を、第1の表面264a及び成形コンパウンド269の上面上に被着することができる。第2の絶縁基材270bを第2の接着材層250bの上に設けることができる。したがって、図11Fの実施形態では、絶縁層250は、第1の接着材層250a及び第2の接着材層250bと成形コンパウンド269とを備えることができる。キャパシタ220を、絶縁層250内に埋め込む(例えば、完全に埋め込む)ことができる。図11Gを参照すると、図11Eと同じように、貫通ビア235及び相互接続部254a、254bを設けて、それぞれ部品3を通したキャパシタ220への電気的導通を提供することができる。
接着材250a、250b及び成形コンパウンド269(例えば、絶縁材料250)を、第1の基板270aと第2の基板270bとの間に配設される絶縁要素として供することができる。相互接続部254a、254bは、絶縁要素の少なくとも一部分(例えば、第1の接着材250a及び第2の接着材250b部分)を貫いて延在する導電ビアとして作用することができる。更に、相互接続部254a、254bは、基板270a、270bを貫いて延在してキャパシタに接続することができる。図11Gでは、接着材250a、250bを、キャパシタ220の上面に隣接して(及び/又は接触させて)配設することができる。成形コンパウンド269を、キャパシタ220の側縁部に隣接して(及び又は接触させて)配設することができる。したがって、キャパシタ220を、絶縁要素内に埋め込む(例えば、接着材250a、250b及び成形コンパウンド269内に埋め込む)ことができる。図示のように、キャパシタ220を、第1の基板270aと第2の基板270bとの間の第1の領域内に配設することができ、絶縁要素(例えば、絶縁材料250及び成形コンパウンド269の接着材250a、250b)を、第1の基板270aと第2の基板270bとの間の異なる第2の領域内に配置することができる。有益にも、低CTE基板270a、270b及び介在する絶縁要素を使用することで、受動部品3が実装される構成要素のCTE、例えば、半導体又はシリコン基板のCTEに近い、低い全実効CTEを有する全受動部品3を提供することができる。このような構成では、有益には、受動部品3の全実効CTE(例えば、図11Gに示された絶縁材料及び導電材料を含む)は、8ppm/℃以下、7ppm/℃以下又は6ppm/℃以下であることが可能である。様々な実施形態では、受動部品3(本明細書においてマイクロ電子デバイスとも称する)の全実効CTEは、3ppm/℃~7ppm/℃の範囲、4ppm/℃~8ppm/℃の範囲又は4ppm/℃~7ppm/℃の範囲内にあることが可能である。
図11H及び図11Iは、それぞれ、図11E及び11Gの代替的な構成を例示している。図11Hでは、例えば、キャパシタ220の周囲に更なる絶縁基板270dを設けることができる。基板270dは、基板270a~270cと同じであるか又は異なる材料を備えてもよい。図11Iにおいて、成形コンパウンド269を、絶縁層250の一部として設けることができる。図11H及び図11Iの特徴は、それ以外では、図11A~図11Gにおいて上記で説明された特徴と概して同様であってもよい。図11A~図11Iの部品3は、複数の基板(基板270a、270bなど)を、例えば、1つ以上の接着材によって、介在する第3の基板270cによって及び/又は成形コンパウンドによって、1つ以上のキャパシタに結合することができる積層構造物を備えることができる。例示された実施形態では、基板270a、270bは、堆積プロセスを使用して堆積されることなく、キャパシタ220に積層又は被着される材料又は層を備えてもよい。
図12A~図12Eは、受動電子部品3を形成する別の方法を例示している。図12Aでは、第1の絶縁基板270aは、第1の絶縁基板270aの外面上に複数の導電接触パッド275を有することができる。図12Bでは、キャパシタ220を、第1の接着材によって、例えば、例示された実施形態におけるはんだを備える導電性接着材276によって、基板270aの接触パッド275に接続することができる。他の実施形態では、キャパシタ220を、介在する接着材を使用せずに直接接合することによって、接触パッド275に接続することができる。図12Cを参照すると、キャパシタ220の周囲及び/又はキャパシタ220の上と基板層270aの表面の上とに、成形コンパウンド269を設けることができる。
図12Dでは、接着材層250bを、成形コンパウンド269の上面の上及びキャパシタ220の第1の表面264aの上に被着することができる。第2の絶縁基材270bを、接着材層250bの上に設けることができる。したがって、図12Dの実施形態では、キャパシタ220を、成形コンパウンド269(キャパシタ220の第2の表面264bと側縁部261との上に被着される)及び接着材層250b(キャパシタ220の第1の表面264aの上に被着される)によって画定されることが可能である絶縁層250内に埋め込むことができる。例示された実施形態では、キャパシタ220を、絶縁層250内に完全に又は十分に埋め込むことができる。図12Eでは、上記で説明したように、様々なトレース及び相互接続部254a、254bは、絶縁層250の一部を貫通してキャパシタ220の端子に接続することができる。
絶縁材料250(例えば、接着材250b及び成形コンパウンド269)を、第1の基板270aと第2の基板270bとの間に配設された絶縁要素として供することができる。相互接続部254a、254bは、絶縁要素の少なくとも一部分(例えば、接着材250bの部分)を貫いて延在する導電ビアとして作用することができる。更に、相互接続部254a、254bは、基板270a、270bを貫いて延在してキャパシタに接続することができる。図12Eでは、成形コンパウンド269を、キャパシタ220の側縁部に隣接して配設することができる。したがって、キャパシタ220を、絶縁要素内に埋め込む(例えば、接着材250b及び成形コンパウンド269内に埋め込む)ことができる。図示のように、キャパシタ220を、第1の基板270aと第2の基板270bとの間の第1の領域内に配設することができ、絶縁要素の少なくとも一部分を、第1の基板270aと第2の基板270bとの間の異なる第2の領域内に配設することができる。有益にも、低CTE基板270a、270b及び介在する絶縁要素を使用することで、受動部品3が実装される構成要素のCTE、例えば、半導体又はシリコン基板のCTEに近い、低い全実効CTEを有する全受動部品3を提供することができる。このような構成では、有益には、受動部品3の全実効CTE(例えば、図12Eに示された絶縁材料及び導電材料を含む)は、8ppm/℃以下、7ppm/℃以下又は6ppm/℃以下であることが可能である。様々な実施形態では、受動部品3(本明細書においてマイクロ電子デバイスとも称する)の全実効CTEは、3ppm/℃~7ppm/℃の範囲、4ppm/℃~8ppm/℃の範囲又は4ppm/℃~7ppm/℃の範囲内にあることが可能である。図12A~図12Eの部品3は、複数の基板(基材270a、270bなど)を、例えば、1つ以上の接着材によって及び/又は成形コンパウンドによって、1つ以上のキャパシタに結合することができる。例示された実施形態では、基板270a、270bは、堆積プロセスを使用して堆積されることなく、キャパシタ220に積層又は被着される材料又は層を備えてもよい。
図13A~図13Eは、受動電子部品3を形成する別の方法を例示している。図13Aでは、第1の絶縁基板270aは、第1の絶縁基板270aの外面上に複数の導電接触パッド275を有することができる。図13Bでは、キャパシタ220を、第1の接着材によって、例えば、例示された実施形態におけるはんだを備える導電性接着材276によって、基板270aの接触パッド275に接続することができる。他の実施形態では、キャパシタ220を、介在する接着材を使用せずに直接接合することによって、接触パッド275に接続することができる。図13Cを参照すると、第1のビア部分235aを有する第3の絶縁基板270cを、非導電性接着材を備えることができる第1の接着材層250aに接着することができる。図13Dでは、成形コンパウンド269を、表面264bの下及びキャパシタ220の側縁部261の周囲に設けることができる。図13Eでは、第2の接着材層250bを、キャパシタの第1の表面264aの上と、成形コンパウンド269及び第3の基板層270cの上面の上と、に被着することができる。第2の基板層270bを、第2の接着材層250bの上に設けることができる。図13Fでは、上記で説明したように、様々な相互接続部254及び貫通ビア235を設けることができる。
接着材250a、250b、成形コンパウンド269及び基板270cを、第1の基板270aと第2の基板270bとの間に配設された絶縁要素として供することができる。相互接続部254a、254bは、絶縁要素の少なくとも一部分を貫いて延在する導電ビアとして作用することができる。更に、相互接続部254a、254bは、基板270a、270bを貫いて延在してキャパシタに接続することができる。図11Gでは、成形コンパウンド269を、キャパシタ220の側縁部に隣接して(及び又は接触させて)配設することができる。したがって、キャパシタ220を、絶縁要素内に埋め込むことができる。図示のように、キャパシタ220を、第1の基板270aと第2の基板270bとの間の第1の領域内に配設することができ、絶縁要素の少なくとも一部分を、第1の基板270aと第2の基板270bとの間の異なる第2の領域内に配設することができる。有益にも、低CTE基板270a、270b及び介在する絶縁要素を使用することで、受動部品3が実装される構成要素のCTE、例えば、半導体又はシリコン基板のCTEに近い、低い全実効CTEを有する全受動部品3を提供することができる。このような構成では、有益には、受動部品3の全実効CTE(例えば、図13Fに示された絶縁材料及び導電材料を含む)は、8ppm/℃以下、7ppm/℃以下又は6ppm/℃以下であることが可能である。様々な実施形態では、受動部品3(本明細書においてマイクロ電子デバイスとも称する)の全実効CTEは、3ppm/℃~7ppm/℃の範囲、4ppm/℃~8ppm/℃の範囲又は4ppm/℃~7ppm/℃の範囲内にあることが可能である。図13A~図13Fの部品3は、複数の基板(基板270a、270bなど)を、例えば、1つ以上の接着材、介在する基板270cによって及び/又は成形コンパウンドによって、1つ以上のキャパシタに結合することができる積層構造物を備えることができる。例示された実施形態では、基板270a、270bは、堆積プロセスを使用して堆積されることなく、キャパシタ220に積層又は被着される材料又は層を備えてもよい。
図14A及び図14Bは、受動電子部品3を形成する技術の別の実施形態を例示している。図14Aでは、キャリア277を設けることができる。接着材層250aを、キャリア277の上に被着することができる。基板層270を、接着材層250aを用いてキャリア277に接着することができ、キャパシタ220を、基板層270aの空洞内に被着することができる(又は基板層270を、予め堆積されたキャパシタ220の周りに被着することができる)。成形コンパウンド269(上記で説明したように低CTEを有することができる)を、キャパシタ220の周り、例えば、キャパシタ220の側面261の周りに被着することができる。絶縁層250を、キャパシタ220が絶縁層250内に埋め込まれる、例えば、絶縁層250内に部分的に埋め込まれるように、成形コンパウンド269及び接着材層250aによって少なくとも部分的に画定することができる。キャリア277を様々な実施形態で除去することができ、RDL252a、252bを、キャパシタ220の、成形コンパウンド269及び絶縁基板270の対向する両側に設けることができる。図14A~図14Bでは、相互接続部254a、254bは、RDL252a、252bの絶縁部分(上記の層266a、266bを参照)を通って延在して、キャパシタ220の端子に接続することができる。受動部品3の全実効CTE(例えば、図14Bに示された絶縁材料及び導電材料を含む)は、8ppm/℃以下、7ppm/℃以下又は6ppm/℃以下であることが可能である。様々な実施形態では、受動部品3(本明細書においてマイクロ電子デバイスとも称する)の全実効CTEは、3ppm/℃~7ppm/℃の範囲、4ppm/℃~8ppm/℃の範囲又は4ppm/℃~7ppm/℃の範囲内にあることが可能である。上記のように、部品3は、積層構造を備えることができる。
本明細書で説明するように、図8A~図14Bの受動部品3(例えば、マイクロ電子部品)の実施形態を、1つ以上の他の要素(1つ以上の半導体要素などに接合する(例えば、介在する接着材を使用せずに直接接合する)ことができる。いくつかの実施形態では、図8A~図14Bの受動部品3を、部品3の一方の面上の要素に直接接合することができる。他の実施形態では、受動部品3を、受動部品3が要素間にあるように、受動部品3の対向する両側の要素に直接接合することができる。実際に、このような接合構造物を、本明細書に開示された実施形態のいずれか及び/又は全てに対して実現することができる。
図15は、様々な実施形態による、接合構造物を形成する方法70を例示するフローチャートである。方法70は、ブロック72において開始して、1つ以上の能動デバイスを有する要素を設けることができる。要素は、様々な実施形態において、半導体要素を備えることができる。他の実施形態では、要素は、半導体材料を備えても備えなくてもよい材料を備えることができる。プロセッサダイなどの半導体要素を利用する実施形態では、要素を半導体加工設備で製造して、半導体加工技術(相補型金属酸化物半導体、又はCMOS(complementary metal oxide semiconductor)処理など)を使用してウエハ上に能動デバイスを画定することができる。半導体加工技術を用いて、半導体加工設備で要素上に直接接合用の接合層を形成することができる。例えば、上記で説明したように、要素の外面又は外面の近くに導電性特徴部及び非導電性フィールド領域を画定することができる。有益にも、接合層は低温アニールの使用を可能にして、接合を改善し熱不整合を低減することができる。
ブロック74において、受動電子部品を、介在する接着材を使用せずに、要素に直接接合することができる。様々な実施形態では、受動電子部品のアノード端子及びカソード端子を、受動部品の同じ側に沿って設けてもよい。受動部品は、キャパシタを含む、本明細書に記載された任意の好適な受動部品であることが可能である。キャパシタは、いくつかの実施形態では、高K誘電体によって画定される大容量を有することが可能である。他の実施形態では、キャパシタは、酸化ケイ素又は窒化ケイ素などのより低い誘電率を有する誘電体を備えることができる。いくつかの実施形態では、受動電子部品を、要素を製造するために使用される半導体加工設備とは異なる設備で製造することができる。受動部品を異なる設備で製造することで、いくつかの実施形態では、高温処理を使用して高K誘電体層を形成することを可能にすることができる。要素と同じように、受動電子部品上に接合層を形成することもできる。
要素を備えるウエハと受動電子部品を備えるウエハとを、上記で説明したように直接接合するために調製することができる。例えば、接合層を、非常に高い表面平滑度に研磨することができ、所望の種で能動化及び終端することができる。非導電性フィールド領域を室温で互いに接触させて直接接合を形成することができる。要素及び受動部品を加熱して、接合を強化する及び/又は導電性特徴部間の電気的接触を生じさせることができる。
いくつかの実施形態では、直接接合後、接合構造物上に更なる相互接続部を設けて、パッケージ基板との次のレベルの導通を提供することができる。例えば、基部122などの任意の一時的なキャリアを除去することができる。導電経路指定材料の1つ以上の層(配線の後端、又はBEOL(back end of the line)層)を設けて、他の構成要素(パッケージ基板、インターポーザ又は他のダイなど)との電気的接続の信頼性を改善することができる。接合ウエハを、例えば、ソーイングによって個片化することができる。個片化された接合構造物をパッケージに組み立てることができ、例えば、構造物をパッケージ基板に取り付けることができる。
図7A~図7Bに示された実施形態などのいくつかの実施形態では、受動電子部品は、電極表面の大部分が縦方向に配設された1つ又は複数の長尺のキャパシタを備えることができる。要素は、主横方向表面を画定することができる。キャパシタは、部品の主横方向表面に対して非平行な方向に沿って延在する第1の電極及び第2の電極の主表面を備えることができ、第1の電極と第2の電極とが誘電体によって離間している。いくつかの実施形態では、キャパシタを、複数のキャパシタを画定するように非平行方向に沿って延在する複数の繊維を設けることによって画定することができる。複数の繊維を、第1の電極として供し、誘電体を画定する非導電材料でコーティングし、続いて導電材料でコーティングして第2の電極を画定することができる。キャパシタを形成する更に他の方法が好適であり得る。
図8A~図14Bに示されたものなどのいくつかの実施形態では、受動電子部品は、絶縁層内に埋め込まれたキャパシタを備えることができる。いくつかの実施形態では、上記で説明したように、キャパシタを1つ以上のグリーンシート間に設けることができ、キャパシタ及びグリーンシートを共焼成して、硬化された受動電子部品を形成することができる。他の実施形態では、キャパシタを絶縁層の空洞内に設けることができる。更に他の実施形態では、キャパシタを第1の接着材層上に設けることができ、キャパシタの上に第2の接着材層を設けることができる。第1の接着材層及び第2の接着材層にそれぞれ第1の絶縁キャリア層及び第2の絶縁キャリア層を結合することができる。いくつかの実施形態では、第1の接着材層と第2の接着材層との間の受動部品の周りに成形コンパウンドを設けることができる。
図16は、様々な実施形態による、1つ以上の接合構造物1を組み込んだ電子システム80の概略システム図である。システム80は、モバイル電子デバイス(例えば、スマートフォン、タブレットコンピューティングデバイス、ラップトップコンピュータなど)、デスクトップコンピュータ、自動車又は自動車の構成要素、ステレオシステム、医療デバイス、カメラ又は任意の他の好適な種類のシステムなどの、任意の好適な種類の電子デバイスを備えることができる。いくつかの実施形態では、電子システム80は、マイクロプロセッサ、グラフィックプロセッサ、電子記録デバイス又はデジタルメモリを備えることができる。システム80は、例えば、1つ以上のマザーボードによって、システム80に機械的及び電気的に接続された1つ以上のデバイスパッケージ82を含むことができる。各パッケージ82は、1つ以上の接合構造物1を備えることができる。図16に示されたシステム80は、本明細書に示され記載された構造物1及び受動部品3のいずれかを備えることができる。
一実施形態では、接合構造物が開示される。接合構造物は、要素と、介在する接着材を使用せずに要素に直接接合された受動電子部品。いくつかの実施形態では、受動電子部品はキャパシタを備える。
別の実施形態では、接合構造物が開示される。接合構造物は、要素の能動表面又は能動表面の近くに1つ以上の能動デバイスを有する要素を含むことができる。接合構造物は、要素に接合された受動電子部品を備えることができる。受動電子部品は、受動電子部品の厚さの少なくとも3倍の横方向の幅を有するシートを備えることができ、シートは要素の能動表面の大部分を覆う。いくつかの実施形態では、受動電子部品はキャパシタを備えることができる。
別の実施形態では、接合構造物を形成する方法が開示される。当該方法は、1つ以上の能動デバイスを有する要素を設けることを含むことができる。当該方法は、介在する接着材を使用せずに、要素に受動電子部品を直接接合することを含むことができる。いくつかの実施形態では、受動電子部品はキャパシタを備えることができる。
一実施形態では、マイクロ電子デバイスが開示される。マイクロ電子部品は、第1の表面及び第2の表面を有する絶縁材料の層を備えることができる。第1の表面と第2の表面との間において、絶縁材料の層内に多層キャパシタを埋め込むことができる。絶縁材料の層を貫いて、第1の表面から第2の表面へと、1つ以上の導電ビアを形成することができる。第1の表面及び第2の表面のうちの少なくとも一方上に再配線層を配設し、キャパシタの1つ以上の端子を第1の表面及び第2の表面のうちの少なくとも一方における1つ以上の相互接続部に電気的に結合するように、再配線層を配設することができる。
いくつかの実施形態では、多層キャパシタの2つの端子を第1の表面における少なくとも2つの相互接続部に電気的に結合し、第2の表面における相互接続部には電気的に結合しないように、再配線層を構成することができる。再配線層は、実質的に平坦な表面を有することができ、1つ以上の相互接続部は、1ミクロン以下のピッチを有する。絶縁材料は、セラミック、ガラス又は液晶ポリマーを備えることができる。
別の実施形態では、マイクロ電子デバイスを形成する方法が開示される。当該方法は、絶縁材料の第1の層上に多層キャパシタを堆積することを含むことができる。当該方法は、多層キャパシタ及び絶縁材料の第1の層の上に絶縁材料の第2の層を堆積することを含むことができる。当該方法は、絶縁材料の第2の層を、多層キャパシタ及び絶縁材料の第1の層の上に押圧することを含むことができる。当該方法は、絶縁材料の第2の層と、多層キャパシタと、絶縁材料の第1の層と、を共焼成して一体型デバイスを形成することを含むことができる。
いくつかの実施形態では、当該方法は、第1の層と第2の層との間と、押圧及び共焼成の前のキャパシタの周囲と、に絶縁材料の中間層を堆積することを含むことができる。当該方法は、共焼成後に、絶縁材料の第1の層及び第2の層を貫く1つ以上のビアを形成することを含むことができる。当該方法は、共焼成前に、絶縁材料の第1の層及び第2の層を貫く1つ以上のビアを形成することを含むことができる。当該方法は、絶縁材料の第1の層又は第2の層の外面上に再配線層を形成することを含むことができ、再配線層は、キャパシタの1つ以上の端子に結合された1つ以上の電気相互接続部を含む。再配線層は、キャパシタの端子の各々に結合された少なくとも1つの相互接続部を含むことができる。当該方法は、再配線層を、接着材を使用せずに直接接合技術によって、調製された接合表面に接合することを含むことができる。
別の実施形態では、マイクロ電子デバイスを形成する方法が開示される。当該方法は、絶縁層の表面に空洞を形成することを含むことができる。当該方法は、絶縁層の厚さの少なくとも一部分を貫く1つ以上のビアを形成することを含むことができる。当該方法は、多層キャパシタを空洞内に堆積することを含むことができる。当該方法は、キャパシタ及び絶縁層の上に再配線層を形成することを含むことができ、再配線層は、キャパシタの1つ以上の端子に結合された1つ以上の電気相互接続部を含む。
いくつかの実施形態では、当該方法は、再配線層と反対側の絶縁層の表面を平坦化することと、平坦化表面上に別の再配線層を形成することと、を含むことができる。他の再配線層は、キャパシタの1つ以上の端子に結合された1つ以上の電気相互接続部を含むことができる。
別の実施形態では、接合構造物が開示される。接合構造物は、要素と、要素に接合された第1の表面と第1の表面と反対側の第2の表面とを有する受動電子部品と、を備えることができる。受動電子部品は、第1の表面と第2の表面との間にキャパシタを備えることができる。キャパシタは、キャパシタの第1の表面上の第1の端子及び第2の端子と、第1の端子に電気的に接続された第1の導電電極と、第2の端子に電気的に接続された第2の導電電極と、第1の導電電極と第2の導電電極との間の高K誘電体と、を備えることができる。
いくつかの実施形態では、高K誘電体はセラミックを備える。要素を、介在する接着材を使用せずに受動電子部品に直接接合することができる。セラミック誘電体は、チタン酸塩、ニオブ酸塩及びジルコン酸塩のうちの少なくとも1つを備えることができる。
別の実施形態では、マイクロ電子デバイスが開示される。マイクロ電子デバイスは、第1の表面及び第2の表面を有する絶縁材料を含むことができる。第1の表面と第2の表面との間において絶縁材料内にキャパシタを埋め込むことができ、キャパシタは絶縁材料とモノリシックに集積されてモノリシック構造を画定する。第1の表面において又は第1の表面を貫いて第1の相互接続部を配設し、第1の相互接続部をキャパシタの第1の端子に電気的に接続することができる。キャパシタはセラミック誘電体を備えることができる。セラミック誘電体は、酸化アルミニウムを備えることができる。キャパシタは、複数の導電層間に複数の誘電体層を有する多層キャパシタを備えることができる。セラミック誘電体を、絶縁材料とモノリシックに集積することができる。第1の表面及び第2の表面のうちの一方に再配線層(RDL)を接続することができ、第1の相互接続部は少なくとも部分的にRDL内に配設される。第1の表面において第2の相互接続部を配設し、第2の相互接続部をキャパシタの第2の端子に電気的に接続することができ、第1の端子は第2の端子とは異なる種類である。貫通導電ビアが、絶縁層を貫いて第1の表面から第2の表面まで延在することができる。絶縁材料の層を、キャパシタの上面に沿って、キャパシタの下面に沿って及びキャパシタの側縁部に沿って配設することができる。
別の実施形態では、マイクロ電子デバイスが開示される。マイクロ電子デバイスは、第1の表面と第1の表面と反対側の第2の表面とを有する絶縁材料を備えることができる。第1の表面と第2の表面との間において絶縁材料内に少なくとも部分的にキャパシタを埋め込むことができる。第1の表面上に相互接続層を配設することができ、キャパシタの1つ以上の端子を、絶縁材料の第1の表面において又は当該第1の表面を貫いて延在する1つ以上の相互接続部に電気的に結合するように、相互接続層を配置することができる。
いくつかの実施形態では、キャパシタを絶縁材料内に完全に埋め込むことができる。キャパシタを絶縁材料内に部分的に埋め込むことができ、絶縁材料がキャパシタの外側縁部に沿って配設される。マイクロ電子デバイスは、第1の絶縁基板を備えることができ、キャパシタの第1の表面が第1の接着材によって第1の絶縁基板に機械的に結合されており、絶縁材料が第1の接着材を備える。マイクロ電子デバイスは第2の絶縁基板を備えることができ、キャパシタの第2の表面が、第2の接着材によって第2の絶縁基板に機械的に結合されており、絶縁材料が第2の接着材を更に備える。キャパシタの部分の周りに成形コンパウンドを配設することができ、絶縁材料が成形コンパウンドを更に備える。第1の絶縁基板と第2の絶縁基板との間のキャパシタの周りに第3の中間絶縁基板を配設することができる。第1の接着材は、いくつかの実施形態では、はんだを備えることができる。第2の表面上に第2の相互接続層を配設することができ、キャパシタの1つ以上の端子を絶縁材料の第2の表面における1つ以上の相互接続部に電気的に結合するように、第2の相互接続層を配置することができる。マイクロ電子デバイスは、相互接続層内に第1の相互接続部及び第2の相互接続部を含むことができ、第1の相互接続部がキャパシタの第1の側においてキャパシタの第1の端子に接続されており、第2の相互接続部が第1の側において第2の端子に接続されており、第1の端子が第2の端子とは異なる種類である。マイクロ電子デバイスは、キャパシタの第2の側の第3の端子と第2の側の第4の端子とを含むことができ、第3の端子が第4の端子とは異なる種類である。絶縁材料の層は、いくつかの実施形態では複数の層を備える。マイクロ電子デバイスは、絶縁材料を貫いて延在する導電貫通ビアを含むことができる。いくつかの実施形態では、接合構造物は、マイクロ電子デバイス及び要素を備えることができ、要素が、介在する接着材を使用せずにマイクロ電子デバイスに直接接合されている。
別の実施形態では、マイクロ電子デバイスが開示される。マイクロ電子部品は、第1の絶縁基板と、第1の表面及び第1の表面と反対側の第2の表面を有するキャパシタと、を備えることができ、キャパシタの第1の表面が第1の絶縁基板に機械的に結合されている。マイクロ電子デバイスは第2の絶縁基板を含むことができ、キャパシタの第2の表面が、キャパシタが第1の絶縁基板と第2の絶縁基板との間に配設されるように、第2の絶縁基板に機械的に結合されている。第1の絶縁基板と第2の絶縁基板との間に絶縁要素を配設することができる。第1の相互接続部が第1の絶縁基板を貫いて延在して、キャパシタの第1の端子に電気的に接続することができる。
いくつかの実施形態では、キャパシタの第1の表面を第1の接着材によって第1の絶縁基板に機械的に結合することができ、絶縁要素が第1の接着材を備える。キャパシタの第2の表面を第2の接着材によって第2の絶縁基板に機械的に結合することができ、絶縁要素が第2の接着材を更に備える。第1の接着材は、いくつかの実施形態では、はんだを備えることができる。絶縁要素は、キャパシタの部分の周りに配設された成形コンパウンドを備えることができる。絶縁要素は、第1の絶縁基板と第2の絶縁基板との間のキャパシタの周りに配設された第3の中間絶縁基板を備えることができる。第1の絶縁基板及び第2の絶縁基板のうちの1つ以上の熱膨張係数(CTE)は、5ppm/℃以下であることが可能である。第1の絶縁基板及び第2の絶縁基板のうちの1つ以上のCTEは、2ppm/℃~5ppm/℃の範囲内にあることが可能である。マイクロ電子デバイスの全実効熱膨張係数(CTE)は、7ppm/℃以下であることが可能である。全実効CTEは、3ppm/℃~7ppm/℃の範囲内にあることが可能である。第2の相互接続部が第1の絶縁基板を貫いて延在することができ、第1の相互接続部がキャパシタの第1の側においてキャパシタの第1の端子に接続されており、第2の相互接続部が第1の側において第2の端子に接続されており、第1の端子が第2の端子とは異なる種類である。マイクロ電子部品は、キャパシタの第2の側の第3の端子と、第2の側の第4の端子と、を備えることができ、第3の端子が第4の端子とは異なる種類である。絶縁要素の少なくとも一部分を、キャパシタの側縁部に隣接して配設することができる。キャパシタを第1の基板と第2の基板との間の第1の領域内に配設することができ、絶縁要素の少なくとも一部分は第1の基板と第2の基板との間の第2の領域内に配設され、第1の領域と第2の領域とが互いに異なる。接合構造物はマイクロ電子デバイス及び要素を備えることができ、要素が、介在する接着材を使用せずにマイクロ電子デバイスに直接接合されている。
別の実施形態では、マイクロ電子デバイスを形成する方法が開示される。当該方法は、キャパシタの第1の表面を第1の絶縁基板に機械的に結合することを含むことができる。当該方法は、キャパシタが第1の絶縁基板と第2の絶縁基板との間に配設されるように、キャパシタの第2の表面を第2の絶縁基板に機械的に結合することを含むことができる。当該方法は、第1の絶縁基板と第2の絶縁基板との間に絶縁要素を配設することを含むことができる。当該方法は、第1の絶縁基板を貫いて延在してキャパシタの第1の端子に電気的に接続する第1の相互接続部を設けることを含むことができる。
いくつかの実施形態では、当該方法は、キャパシタを接着材で第1の絶縁基板に接着することを含むことができる。当該方法は、第2の基板を第2の接着材でキャパシタに接着することを含むことができる。当該方法は、第1の絶縁基板と第2の絶縁基板との間のキャパシタの少なくとも一部の周囲に成形コンパウンドを設けることを含むことができる。
別の実施形態では、接合構造物が開示される。接合構造物は、要素と、要素に接合された第1の表面と第1の表面と反対側の第2の表面とを有する受動電子部品と、を備えることができる。受動電子部品は、要素の対応する第2のアノード端子に接合された第1のアノード端子と、要素の対応する第2のカソード端子に接合された第1のカソード端子と、を備えることができ、第1のアノード端子及び第1のカソード端子が受動電子部品の第1の表面上に配設されている。
いくつかの実施形態では、受動電子部品は、介在する接着材を使用せずに要素に直接接合されている。受動電子部品はキャパシタを備えることができる。キャパシタは、複数の誘電体層によって離間した3つ以上の金属層を備えることができる。受動電子部品は、受動電子部品の第2の表面上に第3のアノード端子及び第3のカソード端子を備えることができる。要素は半導体要素を備えることができる。接合構造物は、受動電子部品の第2の表面上に複数の電気接点を備えることができ、複数の電気接点が、外部構成要素に電気的に接続するように構成されている。接合構造物は、要素と複数の電気接点の第1の電気接点との間の電気経路を画定する相互接続構造物を備えることができる。相互接続構造物は、第1の表面から第1の電気接点まで延在する導電電気相互接続部を備えることができ、導電電気相互接続部が、第1の表面と第2の表面との間に配設された誘電体内に埋め込まれている。導電電気相互接続部は、第1の表面又は第1の表面の近くの第1の接触パッドから第1の電気接点まで延在する長手方向導電部分と、長手方向導電部分から横方向外側に延在する1つ以上の横方向導電部分と、を備えることができ、抵抗性電気経路を画定する長手方向導電部分と、抵抗性電気経路と並列の容量性電気経路を画定する1つ以上の横方向導電部分と、を画定する。要素は主横方向表面を画定することができ、キャパシタは、主横方向表面に対して非平行な方向に沿って延在する第1の電極表面及び第2の電極表面を備え、第1の電極表面と第2の電極表面とが誘電体によって離間している。非平行方向に沿った第1の電極表面の第1の高さは、主横方向表面に沿ったキャパシタの起伏の幅よりも長いことが可能である。第1の高さを幅で割ることによってアスペクト比を定義することができ、アスペクト比は5:1よりも大きい。第1の電極表面及び第2の電極表面のうちの少なくとも一方は、アルミニウム、シリコン、ドープシリコン又はニッケルを備えることができる。キャパシタは、受動電子部品を貫いて延在する蛇行パターンを備えることができる。蛇行パターンは、第1の電極表面及び第2の電極表面のそれぞれの縦方向部分と、縦方向部分を接続する第1の電極表面及び第2の電極表面の対応する横方向部分と、を備えることができる。
別の実施形態では、接合構造物が開示される。接合構造物は、要素の能動表面又は能動表面の近くに1つ以上の能動デバイスを有する要素を備えることができ、能動表面が接合構造物の主横方向表面を画定する。接合構造物は要素に接合された受動電子部品を備えることができ、受動電子部品が、主横方向表面に対して非平行な方向に沿って延在する第1の電極表面及び第2の電極表面を有するキャパシタを備え、第1の電極表面と第2の電極表面とが誘電体によって離間している。
いくつかの実施形態では、受動電子部品を、介在する接着材を使用せずに要素に直接接合することができる。非平行方向に沿った第1の電極表面の第1の高さは、主横方向表面に沿ったキャパシタの起伏の幅よりも長いことが可能である。第1の高さを幅で割ることによってアスペクト比を定義することができ、アスペクト比は5:1よりも大きい。第1の電極表面及び第2の電極表面のうちの少なくとも一方は、アルミニウムを備えることができる。キャパシタは、受動電子部品を貫いて延在する蛇行パターンを備えることができる。蛇行パターンは、第1の電極表面及び第2の電極表面のそれぞれの縦方向部分と、縦方向部分を接続する第1の電極表面及び第2の電極表面の対応する横方向部分と、を備えることができる。接合構造物は、第1の電極表面に電気的に接続された第1の端子と、第2の電極表面に電気的に接続された第2の端子と、を備えることができ、第1の端子及び第2の端子が受動電子部品の上面に露出している。第2の電極表面の延長部分が第1の電極表面を通って延在して、第2の端子に接続することができる。
別の実施形態では、接合構造物が開示される。接合構造物は、要素と、要素に接合された第1の表面と第1の表面と反対側の第2の表面とを有する受動電子部品と、を備えることができる。受動電子部品は受動デバイスを備えることができる。受動デバイスは、受動電子部品の第1の表面上の第1の端子及び第2の端子と、第1の端子に電気的に接続された第1の導電相互接続部と、第2の端子に電気的に接続された第2の導電相互接続部と、第1の導電相互接続部と第2の導電相互接続部との間の誘電体と、を含むことができる。
いくつかの実施形態では、要素を、介在する接着材を使用せずに受動電子部品に直接接合することができる。受動デバイスはキャパシタを備えることができる。
別の実施形態では、接合構造物が開示される。接合構造物は、要素と、介在する接着材を使用せずに要素に直接接合された受動電子部品と、を備えることができる。
いくつかの実施形態では、受動電子部品はキャパシタを備えることができる。キャパシタは、複数の誘電体層によって離間した3つ以上の金属層を備えることができる。キャパシタは、1~10の範囲の誘電率を有する誘電体層を備えることができる。受動電子部品は、第1の電極と、第2の電極と、第1の電極と第2の電極との間の誘電材料と、を備えることができ、誘電材料が高K誘電体を備える。高K誘電体は、チタン酸塩(BaxSr1-xTiO3、Bi4Ti3O12、PbZrxTi1-xO3)、ニオブ酸塩(LiNbO3)及び/又はジルコン酸塩(BaZrO3、CaZrO3)を備えることができる。第1の電極は貴金属を備えることができる。受動電子部品は、1nF/mm2~1μF/mm2の範囲内にある単位面積当たりのキャパシタンスを有することができる。受動電子部品は、5nF/mm2~400nF/mm2の範囲内にある単位面積当たりのキャパシタンスを有することができる。受動電子部品は、100nF/mm2~400nF/mm2の範囲内にある単位面積当たりのキャパシタンスを有することができる。受動電子部品は、400nF/mm2~1000nF/mm2の範囲内にある単位面積当たりのキャパシタンスを有することができる。接合構造物は、介在する接着材を使用せずに要素に直接接合された複数の受動電子部品を備えることができる。受動部品を、要素に直接接合された受動部品層内に設けることができ、受動部品層が要素の大部分を覆う。受動部品は、要素に直接接合された第1の表面と、第1の表面と反対側の第2の外面と、を備えることができる。接合構造物は、第2の外面上に複数の電気接点を備えることができ、複数の電気接点が、外部の構成要素に電気的に接続するように構成されている。接合構造物は、要素と複数の電気接点の第1の電気接点との間の電気経路を画定する相互接続構造物を備えることができる。相互接続構造物は、第1の表面から第1の電気接点まで延在する導電電気相互接続部を備えることができ、導電電気相互接続部が、第1の表面と第2の表面との間に配設された誘電体内に埋め込まれている。導電電気相互接続部は、第1の表面又は第1の表面の近くの第1の接触パッドから第1の電気接点まで延在する長手方向導電部分と、長手方向導電部分から横方向外側に延在する1つ以上の横方向導電部分と、を備えることができ、長手方向導電部分が抵抗性電気経路を画定し、1つ以上の横方向導電部分が抵抗性電気経路と並列の容量性電気経路を画定する。接合構造物は、第1の表面から複数の電気接点のうちの第2の電気接点まで延在する第2の導電電気相互接続部を備える第2の相互接続構造物を備えることができる。第2の導電電気相互接続部は、第1の表面又は第1の表面の近くの第2の接触パッドから第1の電気接点まで延在する第2の長手方向導電部分と、第2の長手方向導電部分から横方向外側に延在する1つ以上の第2の横方向導電部分と、を備えることができ、第2の長手方向導電部分が第2の抵抗性電気経路を画定し、1つ以上の第2の横方向導電部分が第2の抵抗性電気経路と並列の第2の容量性電気経路を画定する。1つ以上の横方向導電部分及び1つ以上の第2の横方向導電部分を、互いに交互配置し、介在する誘電材料によって分離することができる。相互接続構造物及び第2の相互接続構造物のうちの一方を、電源に接続するように構成することができ、相互接続構造物及び第2の相互接続構造物のうちの他方を、電気グランドに接続するように構成することができる。受動電子部品は、受動電子部品の対向する第1の表面と第2の表面との間に配設された複数の交互導電性及び誘電体特徴部を備えることができる。受動電子部品は、受動電子部品の第1の表面における、要素に直接接合された第1の電極と、受動電子部品の第2の外面における第2の電極と、介在する誘電体材料と、を備えることができ、第1の電極が、介在する誘電体を有する複数の接合パッドにパターン化されている。第2の電極は貴金属を備えることができる。貴金属は白金又はルテニウムを備えることができる。介在する誘電材料は高K誘電体を備える。介在する誘電材料は複合酸化物を備えることができる。介在する誘電材料は、チタン酸塩(BaxSr1-xTiO3、Bi4Ti3O12、PbZrxTi1-xO3)、ニオブ酸塩(LiNbO3)及び/又はジルコン酸塩(BaZrO3、CaZrO3)を備えることができる。
別の実施形態では、接合構造物が開示される。接合構造物は、要素の能動表面又は能動表面の近くに1つ以上の能動デバイスを有する要素と、要素に接合された受動電子部品と、を備えることができ、受動電子部品は、受動電子部品の厚さの少なくとも3倍の横方向の幅を有するシートを備え、シートは要素の能動表面の大部分を覆う。
いくつかの実施形態では、受動電子部品は、容量性シートを備えることができる。受動電子部品を、介在する接着材を使用せずに要素に直接接合することができる。
別の実施形態では、接合構造物を形成する方法が開示される。当該方法は、1つ以上の能動デバイスを有する要素を設けることを含むことができる。当該方法は、介在する接着材を使用せずに受動電子部品を要素に直接接合することを含むことができる。
いくつかの実施形態では、当該方法は、受動電子部品内の複数の誘電体層によって離間した3つ以上の金属層を形成することを含むことができる。受動電子部品は、受動電子部品の厚さの少なくとも3倍の横方向の幅を有するシートを備えることができ、当該方法は、要素の能動表面の大部分をシートで覆うことを含む。当該方法は、高融点金属と、第2の電極と、10より大きい誘電率を有する介在する誘電体層と、を備える第1の電極を含むように、受動電子部品を形成することを含むことができる。当該方法は、第2の電極をパターン化して、第2の電極の複数の部分を画定することを含むことができる。当該方法は、第1の設備で受動電子部品を形成することと、第1の設備とは異なる第2の設備で要素を形成することと、を含むことができる。
開示された実施形態と先行技術に対して達成される利点とを要約する目的で、特定の目的及び利点が本明細書に記載されている。当然のことながら、任意の特定の実施形態に従って、必ずしも全てのこのような目的又は利点が達成されない場合があることを理解されたい。したがって、例えば、当業者であれば、開示された実装形態が、本明細書で教示又は示唆され得る他の目的又は利点を必ずしも達成せずに、本明細書で教示又は示唆されるような1つの利点又は利点の群を達成又は最適化する方法で、具現化又は実施され得ることを認識するであろう。
これらの実施形態の全てが本開示の範囲内にあることが意図されている。これら及び他の実施形態は、添付の図面を参照した実施形態の以下の詳細な説明から当業者には容易に明らかになり、特許請求の範囲は、開示されたいずれかの特定の実施形態に限定されない。本明細書ではこの特定の実施形態及び実施例が開示されているが、開示された実装形態は、具体的に開示された実施形態を超えて他の代替的な実施形態及び/又はそれらの使用と明らかな変更及び均等物とに及ぶことが当業者によって理解されるであろう。加えて、いくつかの変形例が詳細に示され説明されているが、本開示に基づいて、当業者には他の変更が明白であろう。また、実施形態の特定の特徴及び態様の様々な組み合わせ又は部分的組み合わせが作製されてもよく、依然として範囲内にあり得ることが企図される。開示された実施形態の様々な特徴及び態様を、開示された実装形態の様々なモードを形成するために、互いに組み合わせるか又は互いに置換することができることを理解されたい。したがって、開示された本明細書における主題の範囲は、上述した特定の開示された実施形態によって限定されるべきではなく、以下の特許請求の範囲の正読によってのみ決定されるべきであることが意図されている。
Claims (28)
- マイクロ電子デバイスであって、
第1の絶縁基板と、
第1の表面と前記第1の表面と反対側の第2の表面とを有するキャパシタであって、前記キャパシタの前記第1の表面が前記第1の絶縁基板に機械的に結合された、キャパシタと、
第2の絶縁基板であって、前記キャパシタが前記第1の絶縁基板と前記第2の絶縁基板との間に配設されるように、前記キャパシタの前記第2の表面が前記第2の絶縁基板に機械的に結合された、第2の絶縁基板と、
前記第1の絶縁基板と前記第2の絶縁基板との間に配設された絶縁要素と、
前記キャパシタの第1の端子に電気的に接続するように前記第1の絶縁基板を貫いて延在する第1の相互接続部と、を備える、マイクロ電子デバイス。 - 前記キャパシタの前記第1の表面が、第1の接着材によって前記第1の絶縁基板に機械的に結合されている、請求項1に記載のマイクロ電子デバイス。
- 前記キャパシタの前記第2の表面が、第2の接着材によって前記第2の絶縁基板に機械的に結合されており、前記絶縁要素が前記第2の接着材を更に備える、請求項2に記載のマイクロ電子デバイス。
- 前記第1の接着材がはんだを備える、請求項2に記載のマイクロ電子デバイス。
- 前記絶縁要素が、前記キャパシタの部分の周りに配設された成形コンパウンドを備える、請求項1に記載のマイクロ電子デバイス。
- 前記絶縁要素が、前記第1の絶縁基板と前記第2の絶縁基板との間の前記キャパシタの周りに配設された第3の中間絶縁基板を備える、請求項1に記載のマイクロ電子デバイス。
- 前記第1の絶縁基板及び前記第2の絶縁基板のうちの1つ以上の熱膨張係数(CTE)が、5ppm/℃以下である、請求項1に記載のマイクロ電子デバイス。
- 前記マイクロ電子デバイスの全実効熱膨張係数(CTE)が、7ppm/℃以下である、請求項1に記載のマイクロ電子デバイス。
- 前記第1の絶縁基板を貫いて延在する第2の相互接続部を更に備え、前記第1の相互接続部が、前記キャパシタの第1の側において前記キャパシタの第1の端子に接続されており、前記第2の相互接続部が前記第1の側の第2の端子に接続されており、前記第1の端子が前記第2の端子とは異なる種類である、請求項1に記載のマイクロ電子デバイス。
- 前記キャパシタの第2の側の第3の端子と前記第2の側の第4の端子とを更に備え、前記第3の端子が前記第4の端子とは異なる種類である、請求項9に記載のマイクロ電子デバイス。
- 請求項1に記載のマイクロ電子デバイスと要素とを備え、前記要素が、介在する接着材を使用せずに前記マイクロ電子デバイスに直接接合されている、接合構造物。
- マイクロ電子デバイスであって、
第1の表面及び前記第1の表面と反対側の第2の表面を有する絶縁材料と、
前記第1の表面と前記第2の表面との間において、前記絶縁材料内に少なくとも部分的に埋め込まれたキャパシタと、
前記第1の表面上に配設されており、前記キャパシタの1つ以上の端子を、前記絶縁材料の前記第1の表面にある又は前記第1の表面を貫いて延在する1つ以上の相互接続部に電気的に結合するように構成された相互接続層と、を備える、マイクロ電子デバイス。 - 前記キャパシタが前記絶縁材料内に完全に埋め込まれている、請求項12に記載のマイクロ電子デバイス。
- 第1の絶縁基板を更に備え、前記キャパシタの第1の表面が第1の接着材によって前記第1の絶縁基板に機械的に結合されており、前記絶縁材料が前記第1の接着材を備える、請求項12に記載のマイクロ電子デバイス。
- 第2の絶縁基板を更に備え、前記キャパシタの前記第2の表面が第2の接着材によって前記第2の絶縁基板に機械的に結合されており、前記絶縁材料が前記第2の接着材を更に備える、請求項14に記載のマイクロ電子デバイス。
- 前記キャパシタの部分の周りに配設された成形コンパウンドを更に備え、前記絶縁材料が前記成形コンパウンドを更に備える、請求項12に記載のマイクロ電子デバイス。
- 接合構造物であって、
要素と、
前記要素に接合された第1の表面と、前記第1の表面と反対側の第2の表面と、を有する受動電子部品と、を備え、前記受動電子部品が、前記要素の対応する第2のアノード端子に接合された第1のアノード端子と、前記要素の対応する第2のカソード端子に接合された第1のカソード端子と、を備え、前記第1のアノード端子及び前記第1のカソード端子が、前記受動電子部品の前記第1の表面上に配設されている、接合構造物。 - 前記受動電子部品が、介在する接着材を使用せずに前記要素に直接接合されている、請求項17に記載の接合構造物。
- 前記受動電子部品がキャパシタを備える、請求項17に記載の接合構造物。
- 前記キャパシタの誘電材料が高K誘電体を備える、請求項19に記載の接合構造物。
- 前記キャパシタが、前記受動電子部品を貫いて延在する蛇行パターンを備える、請求項19に記載の接合構造物。
- 前記受動電子部品が、前記受動電子部品を貫いて延在する貫通信号導体を備える、請求項19に記載の接合構造物。
- 接合構造物であって、
要素と、
介在する接着材を使用せずに前記要素に直接接合された受動電子部品と、を備える接合構造物。 - 前記受動電子部品がキャパシタを備える、請求項23に記載の接合構造物。
- 前記キャパシタが、複数の誘電体層によって離間した3つ以上の金属層を備える、請求項24に記載の接合構造物。
- 前記受動電子部品が、第1の電極と、第2の電極と、前記第1の電極と前記第2の電極との間の誘電材料と、を備え、前記誘電材料が高K誘電体を備える、請求項23に記載の接合構造物。
- 前記高K誘電体が、チタン酸塩(BaxSr1-xTiO3、Bi4Ti3O12、PbZrxTi1-xO3)、ニオブ酸塩(LiNbO3)及び/又はジルコン酸塩(BaZrO3、CaZrO3)を備える、請求項26に記載の接合構造物。
- 前記受動電子部品が、前記受動電子部品を貫いて延在する貫通信号導体を備える、請求項23に記載の接合構造物。
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201662440161P | 2016-12-29 | 2016-12-29 | |
US62/440,161 | 2016-12-29 | ||
US201762518472P | 2017-06-12 | 2017-06-12 | |
US62/518,472 | 2017-06-12 | ||
JP2019535838A JP2020503692A (ja) | 2016-12-29 | 2017-12-28 | 集積された受動部品を有する接合構造物 |
PCT/US2017/068788 WO2018126052A1 (en) | 2016-12-29 | 2017-12-28 | Bonded structures with integrated passive component |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2019535838A Division JP2020503692A (ja) | 2016-12-29 | 2017-12-28 | 集積された受動部品を有する接合構造物 |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2023022078A true JP2023022078A (ja) | 2023-02-14 |
Family
ID=62708436
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2019535838A Pending JP2020503692A (ja) | 2016-12-29 | 2017-12-28 | 集積された受動部品を有する接合構造物 |
JP2022180925A Pending JP2023022078A (ja) | 2016-12-29 | 2022-11-11 | 集積された受動部品を有する接合構造物 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2019535838A Pending JP2020503692A (ja) | 2016-12-29 | 2017-12-28 | 集積された受動部品を有する接合構造物 |
Country Status (5)
Country | Link |
---|---|
US (2) | US11626363B2 (ja) |
JP (2) | JP2020503692A (ja) |
KR (2) | KR20230156179A (ja) |
TW (1) | TWI782939B (ja) |
WO (1) | WO2018126052A1 (ja) |
Families Citing this family (82)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6984571B1 (en) | 1999-10-01 | 2006-01-10 | Ziptronix, Inc. | Three dimensional device integration method and integrated device |
US7109092B2 (en) | 2003-05-19 | 2006-09-19 | Ziptronix, Inc. | Method of room temperature covalent bonding |
US7485968B2 (en) | 2005-08-11 | 2009-02-03 | Ziptronix, Inc. | 3D IC method and device |
US8735219B2 (en) | 2012-08-30 | 2014-05-27 | Ziptronix, Inc. | Heterogeneous annealing method and device |
US20150262902A1 (en) | 2014-03-12 | 2015-09-17 | Invensas Corporation | Integrated circuits protected by substrates with cavities, and methods of manufacture |
US11069734B2 (en) | 2014-12-11 | 2021-07-20 | Invensas Corporation | Image sensor device |
US9741620B2 (en) | 2015-06-24 | 2017-08-22 | Invensas Corporation | Structures and methods for reliable packages |
US10886250B2 (en) | 2015-07-10 | 2021-01-05 | Invensas Corporation | Structures and methods for low temperature bonding using nanoparticles |
US9953941B2 (en) | 2015-08-25 | 2018-04-24 | Invensas Bonding Technologies, Inc. | Conductive barrier direct hybrid bonding |
US9852988B2 (en) | 2015-12-18 | 2017-12-26 | Invensas Bonding Technologies, Inc. | Increased contact alignment tolerance for direct bonding |
US10446532B2 (en) | 2016-01-13 | 2019-10-15 | Invensas Bonding Technologies, Inc. | Systems and methods for efficient transfer of semiconductor elements |
US20170325327A1 (en) * | 2016-04-07 | 2017-11-09 | Massachusetts Institute Of Technology | Printed circuit board for high power components |
US10204893B2 (en) | 2016-05-19 | 2019-02-12 | Invensas Bonding Technologies, Inc. | Stacked dies and methods for forming bonded structures |
US10446487B2 (en) | 2016-09-30 | 2019-10-15 | Invensas Bonding Technologies, Inc. | Interface structures and methods for forming same |
US10762420B2 (en) | 2017-08-03 | 2020-09-01 | Xcelsis Corporation | Self repairing neural network |
US10580735B2 (en) | 2016-10-07 | 2020-03-03 | Xcelsis Corporation | Stacked IC structure with system level wiring on multiple sides of the IC die |
TWI822659B (zh) | 2016-10-27 | 2023-11-21 | 美商艾德亞半導體科技有限責任公司 | 用於低溫接合的結構和方法 |
US10002844B1 (en) | 2016-12-21 | 2018-06-19 | Invensas Bonding Technologies, Inc. | Bonded structures |
WO2018126052A1 (en) | 2016-12-29 | 2018-07-05 | Invensas Bonding Technologies, Inc. | Bonded structures with integrated passive component |
US10276909B2 (en) | 2016-12-30 | 2019-04-30 | Invensas Bonding Technologies, Inc. | Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein |
US10522499B2 (en) | 2017-02-09 | 2019-12-31 | Invensas Bonding Technologies, Inc. | Bonded structures |
US10629577B2 (en) | 2017-03-16 | 2020-04-21 | Invensas Corporation | Direct-bonded LED arrays and applications |
US10515913B2 (en) | 2017-03-17 | 2019-12-24 | Invensas Bonding Technologies, Inc. | Multi-metal contact structure |
US10508030B2 (en) | 2017-03-21 | 2019-12-17 | Invensas Bonding Technologies, Inc. | Seal for microelectronic assembly |
US10784191B2 (en) | 2017-03-31 | 2020-09-22 | Invensas Bonding Technologies, Inc. | Interface structures and methods for forming same |
US10269756B2 (en) | 2017-04-21 | 2019-04-23 | Invensas Bonding Technologies, Inc. | Die processing |
US10879212B2 (en) | 2017-05-11 | 2020-12-29 | Invensas Bonding Technologies, Inc. | Processed stacked dies |
US10446441B2 (en) | 2017-06-05 | 2019-10-15 | Invensas Corporation | Flat metal features for microelectronics applications |
US10217720B2 (en) | 2017-06-15 | 2019-02-26 | Invensas Corporation | Multi-chip modules formed using wafer-level processing of a reconstitute wafer |
US10840205B2 (en) | 2017-09-24 | 2020-11-17 | Invensas Bonding Technologies, Inc. | Chemical mechanical polishing for hybrid bonding |
US11195748B2 (en) | 2017-09-27 | 2021-12-07 | Invensas Corporation | Interconnect structures and methods for forming same |
US11031285B2 (en) | 2017-10-06 | 2021-06-08 | Invensas Bonding Technologies, Inc. | Diffusion barrier collar for interconnects |
US10923408B2 (en) | 2017-12-22 | 2021-02-16 | Invensas Bonding Technologies, Inc. | Cavity packages |
US11380597B2 (en) | 2017-12-22 | 2022-07-05 | Invensas Bonding Technologies, Inc. | Bonded structures |
US10727219B2 (en) | 2018-02-15 | 2020-07-28 | Invensas Bonding Technologies, Inc. | Techniques for processing devices |
US11169326B2 (en) | 2018-02-26 | 2021-11-09 | Invensas Bonding Technologies, Inc. | Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects |
US11056348B2 (en) | 2018-04-05 | 2021-07-06 | Invensas Bonding Technologies, Inc. | Bonding surfaces for microelectronics |
US10790262B2 (en) | 2018-04-11 | 2020-09-29 | Invensas Bonding Technologies, Inc. | Low temperature bonded structures |
US10964664B2 (en) | 2018-04-20 | 2021-03-30 | Invensas Bonding Technologies, Inc. | DBI to Si bonding for simplified handle wafer |
US11004757B2 (en) | 2018-05-14 | 2021-05-11 | Invensas Bonding Technologies, Inc. | Bonded structures |
US11276676B2 (en) | 2018-05-15 | 2022-03-15 | Invensas Bonding Technologies, Inc. | Stacked devices and methods of fabrication |
US10475786B1 (en) | 2018-05-23 | 2019-11-12 | Texas Instruments Incorporated | Packaged semiconductor device |
EP3807927A4 (en) | 2018-06-13 | 2022-02-23 | Invensas Bonding Technologies, Inc. | TSV AS A HIDEPAD |
US11393779B2 (en) | 2018-06-13 | 2022-07-19 | Invensas Bonding Technologies, Inc. | Large metal pads over TSV |
US10910344B2 (en) | 2018-06-22 | 2021-02-02 | Xcelsis Corporation | Systems and methods for releveled bump planes for chiplets |
US11355438B2 (en) * | 2018-06-29 | 2022-06-07 | Intel Corporation | Hybrid fan-out architecture with EMIB and glass core for heterogeneous die integration applications |
WO2020010056A1 (en) | 2018-07-03 | 2020-01-09 | Invensas Bonding Technologies, Inc. | Techniques for joining dissimilar materials in microelectronics |
US11158606B2 (en) | 2018-07-06 | 2021-10-26 | Invensas Bonding Technologies, Inc. | Molded direct bonded and interconnected stack |
US11462419B2 (en) | 2018-07-06 | 2022-10-04 | Invensas Bonding Technologies, Inc. | Microelectronic assemblies |
US11515291B2 (en) | 2018-08-28 | 2022-11-29 | Adeia Semiconductor Inc. | Integrated voltage regulator and passive components |
US11296044B2 (en) | 2018-08-29 | 2022-04-05 | Invensas Bonding Technologies, Inc. | Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes |
US11011494B2 (en) | 2018-08-31 | 2021-05-18 | Invensas Bonding Technologies, Inc. | Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics |
US11158573B2 (en) | 2018-10-22 | 2021-10-26 | Invensas Bonding Technologies, Inc. | Interconnect structures |
US11244920B2 (en) | 2018-12-18 | 2022-02-08 | Invensas Bonding Technologies, Inc. | Method and structures for low temperature device bonding |
KR20210104742A (ko) | 2019-01-14 | 2021-08-25 | 인벤사스 본딩 테크놀로지스 인코포레이티드 | 접합 구조체 |
US11901281B2 (en) | 2019-03-11 | 2024-02-13 | Adeia Semiconductor Bonding Technologies Inc. | Bonded structures with integrated passive component |
US10854578B2 (en) | 2019-03-29 | 2020-12-01 | Invensas Corporation | Diffused bitline replacement in stacked wafer memory |
US11830804B2 (en) | 2019-04-02 | 2023-11-28 | Invensas Llc | Over and under interconnects |
US11610846B2 (en) | 2019-04-12 | 2023-03-21 | Adeia Semiconductor Bonding Technologies Inc. | Protective elements for bonded structures including an obstructive element |
US11373963B2 (en) | 2019-04-12 | 2022-06-28 | Invensas Bonding Technologies, Inc. | Protective elements for bonded structures |
US11205625B2 (en) | 2019-04-12 | 2021-12-21 | Invensas Bonding Technologies, Inc. | Wafer-level bonding of obstructive elements |
US11355404B2 (en) | 2019-04-22 | 2022-06-07 | Invensas Bonding Technologies, Inc. | Mitigating surface damage of probe pads in preparation for direct bonding of a substrate |
US11574862B2 (en) * | 2019-04-23 | 2023-02-07 | Intel Corporation | Optimal signal routing performance through dielectric material configuration designs in package substrate |
US11385278B2 (en) | 2019-05-23 | 2022-07-12 | Invensas Bonding Technologies, Inc. | Security circuitry for bonded structures |
US11296053B2 (en) | 2019-06-26 | 2022-04-05 | Invensas Bonding Technologies, Inc. | Direct bonded stack structures for increased reliability and improved yield in microelectronics |
US11862602B2 (en) | 2019-11-07 | 2024-01-02 | Adeia Semiconductor Technologies Llc | Scalable architecture for reduced cycles across SOC |
US11762200B2 (en) | 2019-12-17 | 2023-09-19 | Adeia Semiconductor Bonding Technologies Inc. | Bonded optical devices |
US11876076B2 (en) | 2019-12-20 | 2024-01-16 | Adeia Semiconductor Technologies Llc | Apparatus for non-volatile random access memory stacks |
US11721653B2 (en) | 2019-12-23 | 2023-08-08 | Adeia Semiconductor Bonding Technologies Inc. | Circuitry for electrical redundancy in bonded structures |
CN115088068A (zh) | 2019-12-23 | 2022-09-20 | 伊文萨思粘合技术公司 | 用于接合结构的电冗余 |
US11742314B2 (en) | 2020-03-31 | 2023-08-29 | Adeia Semiconductor Bonding Technologies Inc. | Reliable hybrid bonded apparatus |
JP6967627B2 (ja) * | 2020-05-08 | 2021-11-17 | アオイ電子株式会社 | 半導体装置 |
WO2021236361A1 (en) | 2020-05-19 | 2021-11-25 | Invensas Bonding Technologies, Inc. | Laterally unconfined structure |
US11631647B2 (en) | 2020-06-30 | 2023-04-18 | Adeia Semiconductor Bonding Technologies Inc. | Integrated device packages with integrated device die and dummy element |
US11587894B2 (en) * | 2020-07-09 | 2023-02-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Package and method of fabricating the same |
US11764177B2 (en) | 2020-09-04 | 2023-09-19 | Adeia Semiconductor Bonding Technologies Inc. | Bonded structure with interconnect structure |
US11728273B2 (en) | 2020-09-04 | 2023-08-15 | Adeia Semiconductor Bonding Technologies Inc. | Bonded structure with interconnect structure |
US11264357B1 (en) | 2020-10-20 | 2022-03-01 | Invensas Corporation | Mixed exposure for large die |
CN112770542B (zh) * | 2020-12-10 | 2021-10-29 | 珠海越亚半导体股份有限公司 | 实现立体封装的基板制作方法 |
JP2022142498A (ja) * | 2021-03-16 | 2022-09-30 | キオクシア株式会社 | 半導体記憶装置および半導体記憶装置の製造方法 |
US20220406649A1 (en) * | 2021-06-22 | 2022-12-22 | Texas Instruments Incorporated | Passive component q factor enhancement with elevated resistance region of substrate |
WO2023145454A1 (ja) * | 2022-01-27 | 2023-08-03 | ローム株式会社 | コンデンサ装置および半導体装置 |
Family Cites Families (291)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0272642A (ja) | 1988-09-07 | 1990-03-12 | Nec Corp | 基板の接続構造および接続方法 |
JPH0344067A (ja) | 1989-07-11 | 1991-02-25 | Nec Corp | 半導体基板の積層方法 |
CA2083072C (en) | 1991-11-21 | 1998-02-03 | Shinichi Hasegawa | Method for manufacturing polyimide multilayer wiring substrate |
US6008126A (en) | 1992-04-08 | 1999-12-28 | Elm Technology Corporation | Membrane dielectric isolation IC fabrication |
US5471090A (en) | 1993-03-08 | 1995-11-28 | International Business Machines Corporation | Electronic structures having a joining geometry providing reduced capacitive loading |
JPH07193294A (ja) | 1993-11-01 | 1995-07-28 | Matsushita Electric Ind Co Ltd | 電子部品およびその製造方法 |
US5408053A (en) | 1993-11-30 | 1995-04-18 | Hughes Aircraft Company | Layered planar transmission lines |
KR960009074A (ko) | 1994-08-29 | 1996-03-22 | 모리시다 요이치 | 반도체 장치 및 그 제조방법 |
DE4433330C2 (de) | 1994-09-19 | 1997-01-30 | Fraunhofer Ges Forschung | Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur |
JP3979687B2 (ja) | 1995-10-26 | 2007-09-19 | アプライド マテリアルズ インコーポレイテッド | ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法 |
JP3210889B2 (ja) | 1997-01-14 | 2001-09-25 | シャープ株式会社 | 直交2偏波導波管入力装置およびそれを用いた衛星放送受信用のコンバータ |
US6221753B1 (en) | 1997-01-24 | 2001-04-24 | Micron Technology, Inc. | Flip chip technique for chip assembly |
JP4032454B2 (ja) | 1997-06-27 | 2008-01-16 | ソニー株式会社 | 三次元回路素子の製造方法 |
US6097096A (en) | 1997-07-11 | 2000-08-01 | Advanced Micro Devices | Metal attachment method and structure for attaching substrates at low temperatures |
JP3307307B2 (ja) * | 1997-12-19 | 2002-07-24 | 株式会社村田製作所 | 多層型高周波電子部品 |
JP2000100679A (ja) | 1998-09-22 | 2000-04-07 | Canon Inc | 薄片化による基板間微小領域固相接合法及び素子構造 |
JP3792445B2 (ja) | 1999-03-30 | 2006-07-05 | 日本特殊陶業株式会社 | コンデンサ付属配線基板 |
JP3532788B2 (ja) | 1999-04-13 | 2004-05-31 | 唯知 須賀 | 半導体装置及びその製造方法 |
JP2001102479A (ja) | 1999-09-27 | 2001-04-13 | Toshiba Corp | 半導体集積回路装置およびその製造方法 |
US6984571B1 (en) | 1999-10-01 | 2006-01-10 | Ziptronix, Inc. | Three dimensional device integration method and integrated device |
US6300161B1 (en) | 2000-02-15 | 2001-10-09 | Alpine Microsystems, Inc. | Module and method for interconnecting integrated circuits that facilitates high speed signal propagation with reduced noise |
US6902987B1 (en) | 2000-02-16 | 2005-06-07 | Ziptronix, Inc. | Method for low temperature bonding and bonded structure |
US6418029B1 (en) | 2000-02-28 | 2002-07-09 | Mckee James S. | Interconnect system having vertically mounted passive components on an underside of a substrate |
JP4322402B2 (ja) | 2000-06-22 | 2009-09-02 | 大日本印刷株式会社 | プリント配線基板及びその製造方法 |
JP3440057B2 (ja) | 2000-07-05 | 2003-08-25 | 唯知 須賀 | 半導体装置およびその製造方法 |
US6970362B1 (en) | 2000-07-31 | 2005-11-29 | Intel Corporation | Electronic assemblies and systems comprising interposer with embedded capacitors |
US6423640B1 (en) | 2000-08-09 | 2002-07-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Headless CMP process for oxide planarization |
JP2002353416A (ja) | 2001-05-25 | 2002-12-06 | Sony Corp | 半導体記憶装置およびその製造方法 |
JP2003043281A (ja) | 2001-07-26 | 2003-02-13 | Kyocera Chemical Corp | 光導波回路及びその製造方法 |
US6740922B2 (en) | 2001-08-14 | 2004-05-25 | Agere Systems Inc. | Interdigitated capacitor and method of manufacturing thereof |
TW506083B (en) | 2001-11-28 | 2002-10-11 | Ind Tech Res Inst | Method of using nano-tube to increase semiconductor device capacitance |
US6759692B1 (en) | 2002-02-04 | 2004-07-06 | Ixys Corporation | Gate driver with level shift circuit |
US6897492B2 (en) | 2002-02-04 | 2005-05-24 | Ixys Corporation | Power device with bi-directional level shift circuit |
US6638808B1 (en) | 2002-02-04 | 2003-10-28 | Ixys Corporation | Method of manufacturing gate driver with level shift circuit |
US6887769B2 (en) | 2002-02-06 | 2005-05-03 | Intel Corporation | Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same |
US6762076B2 (en) | 2002-02-20 | 2004-07-13 | Intel Corporation | Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices |
US6713871B2 (en) | 2002-05-21 | 2004-03-30 | Intel Corporation | Surface mount solder method and apparatus for decoupling capacitance and process of making |
US7105980B2 (en) | 2002-07-03 | 2006-09-12 | Sawtek, Inc. | Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics |
JP4083502B2 (ja) | 2002-08-19 | 2008-04-30 | 株式会社フジミインコーポレーテッド | 研磨方法及びそれに用いられる研磨用組成物 |
US7023093B2 (en) | 2002-10-24 | 2006-04-04 | International Business Machines Corporation | Very low effective dielectric constant interconnect Structures and methods for fabricating the same |
US7354798B2 (en) | 2002-12-20 | 2008-04-08 | International Business Machines Corporation | Three-dimensional device fabrication method |
US6962835B2 (en) | 2003-02-07 | 2005-11-08 | Ziptronix, Inc. | Method for room temperature metal direct bonding |
US6908027B2 (en) | 2003-03-31 | 2005-06-21 | Intel Corporation | Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process |
US7109092B2 (en) | 2003-05-19 | 2006-09-19 | Ziptronix, Inc. | Method of room temperature covalent bonding |
TWI275168B (en) | 2003-06-06 | 2007-03-01 | Sanyo Electric Co | Semiconductor device and method for making the same |
US6867073B1 (en) | 2003-10-21 | 2005-03-15 | Ziptronix, Inc. | Single mask via method and device |
US6943294B2 (en) | 2003-12-22 | 2005-09-13 | Intel Corporation | Integrating passive components on spacer in stacked dies |
US7132743B2 (en) | 2003-12-23 | 2006-11-07 | Intel Corporation | Integrated circuit package substrate having a thin film capacitor structure |
JP2005191156A (ja) * | 2003-12-25 | 2005-07-14 | Mitsubishi Electric Corp | 電気部品内蔵配線板およびその製造方法 |
JP4260650B2 (ja) | 2004-02-26 | 2009-04-30 | 新光電気工業株式会社 | 光電気複合基板及びその製造方法 |
US7842948B2 (en) | 2004-02-27 | 2010-11-30 | Nvidia Corporation | Flip chip semiconductor die internal signal access system and method |
TW200535878A (en) | 2004-04-16 | 2005-11-01 | Ind Tech Res Inst | Tunable passive device |
US7186625B2 (en) | 2004-05-27 | 2007-03-06 | International Business Machines Corporation | High density MIMCAP with a unit repeatable structure |
JP4657640B2 (ja) | 2004-07-21 | 2011-03-23 | 株式会社日立製作所 | 半導体装置 |
US20060057945A1 (en) | 2004-09-16 | 2006-03-16 | Chia-Lin Hsu | Chemical mechanical polishing process |
US20060076634A1 (en) | 2004-09-27 | 2006-04-13 | Lauren Palmateer | Method and system for packaging MEMS devices with incorporated getter |
US7680464B2 (en) | 2004-12-30 | 2010-03-16 | Valeo Radar Systems, Inc. | Waveguide—printed wiring board (PWB) interconnection |
GB0505680D0 (en) | 2005-03-22 | 2005-04-27 | Cambridge Display Tech Ltd | Apparatus and method for increased device lifetime in an organic electro-luminescent device |
JP2006324567A (ja) * | 2005-05-20 | 2006-11-30 | Matsushita Electric Ind Co Ltd | 部品内蔵基板とその製造方法 |
US7355836B2 (en) | 2005-06-07 | 2008-04-08 | Intel Corporation | Array capacitor for decoupling multiple voltage rails |
US7291842B2 (en) | 2005-06-14 | 2007-11-06 | Varian Medical Systems Technologies, Inc. | Photoconductor imagers with sandwich structure |
JP4572759B2 (ja) | 2005-07-06 | 2010-11-04 | セイコーエプソン株式会社 | 半導体装置及び電子機器 |
US7485968B2 (en) | 2005-08-11 | 2009-02-03 | Ziptronix, Inc. | 3D IC method and device |
JP4509972B2 (ja) * | 2005-09-01 | 2010-07-21 | 日本特殊陶業株式会社 | 配線基板、埋め込み用セラミックチップ |
US7705691B2 (en) | 2005-10-18 | 2010-04-27 | Agency For Science, Technology & Research | Capacitor interconnection |
US7193423B1 (en) | 2005-12-12 | 2007-03-20 | International Business Machines Corporation | Wafer-to-wafer alignments |
TWI305119B (en) | 2005-12-22 | 2009-01-01 | Phoenix Prec Technology Corp | Circuit board structure having capacitance array and embedded electronic component and method for fabricating the same |
TWI299552B (en) | 2006-03-24 | 2008-08-01 | Advanced Semiconductor Eng | Package structure |
US7972683B2 (en) | 2006-03-28 | 2011-07-05 | Innovative Micro Technology | Wafer bonding material with embedded conductive particles |
US7750488B2 (en) | 2006-07-10 | 2010-07-06 | Tezzaron Semiconductor, Inc. | Method for bonding wafers to produce stacked integrated circuits |
US7633112B2 (en) | 2006-08-24 | 2009-12-15 | Samsung Electronics Co., Ltd. | Metal-insulator-metal capacitor and method of manufacturing the same |
US20080124835A1 (en) | 2006-11-03 | 2008-05-29 | International Business Machines Corporation | Hermetic seal and reliable bonding structures for 3d applications |
US7803693B2 (en) | 2007-02-15 | 2010-09-28 | John Trezza | Bowed wafer hybridization compensation |
DE102007009383A1 (de) | 2007-02-20 | 2008-08-21 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Halbleiteranordnung und Verfahren zu deren Herstellung |
JP2008258258A (ja) | 2007-04-02 | 2008-10-23 | Sanyo Electric Co Ltd | 半導体装置 |
US7899407B2 (en) | 2007-05-01 | 2011-03-01 | Broadcom Corporation | High frequency signal combining |
JP2009048937A (ja) | 2007-08-22 | 2009-03-05 | Rohm Co Ltd | カーボンファイバー製造法、カーボンファイバー電子源およびフィールドエミッションディスプレイ装置 |
WO2009055140A1 (en) | 2007-10-26 | 2009-04-30 | Hvvi Semiconductors, Inc. | Semiconductor structure and method of manufacture |
US7924113B2 (en) | 2008-02-15 | 2011-04-12 | Realtek Semiconductor Corp. | Integrated front-end passive equalizer and method thereof |
JP2009239247A (ja) * | 2008-03-27 | 2009-10-15 | Ibiden Co Ltd | 多層プリント配線板の製造方法 |
US8349635B1 (en) | 2008-05-20 | 2013-01-08 | Silicon Laboratories Inc. | Encapsulated MEMS device and method to form the same |
US9893004B2 (en) | 2011-07-27 | 2018-02-13 | Broadpak Corporation | Semiconductor interposer integration |
JP2010103982A (ja) | 2008-09-25 | 2010-05-06 | Sony Corp | ミリ波伝送装置、ミリ波伝送方法、ミリ波伝送システム |
US8344503B2 (en) * | 2008-11-25 | 2013-01-01 | Freescale Semiconductor, Inc. | 3-D circuits with integrated passive devices |
US7854173B2 (en) | 2008-11-28 | 2010-12-21 | The Hong Kong Polytechnic University | Strain sensor |
KR100945800B1 (ko) | 2008-12-09 | 2010-03-05 | 김영혜 | 이종 접합 웨이퍼 제조방법 |
US20100178418A1 (en) | 2009-01-09 | 2010-07-15 | Enis Tuncer | Device fabrication method for high power density capacitors |
US8760342B2 (en) | 2009-03-31 | 2014-06-24 | Kyocera Corporation | Circuit board, high frequency module, and radar apparatus |
US8476165B2 (en) | 2009-04-01 | 2013-07-02 | Tokyo Electron Limited | Method for thinning a bonding wafer |
US8416079B2 (en) | 2009-06-02 | 2013-04-09 | 3M Innovative Properties Company | Switching radio frequency identification (RFID) tags |
US8482132B2 (en) | 2009-10-08 | 2013-07-09 | International Business Machines Corporation | Pad bonding employing a self-aligned plated liner for adhesion enhancement |
US8143135B2 (en) | 2009-10-08 | 2012-03-27 | International Business Machines Corporation | Embedded series deep trench capacitors and methods of manufacture |
FR2954585B1 (fr) | 2009-12-23 | 2012-03-02 | Soitec Silicon Insulator Technologies | Procede de realisation d'une heterostructure avec minimisation de contrainte |
US9219023B2 (en) * | 2010-01-19 | 2015-12-22 | Globalfoundries Inc. | 3D chip stack having encapsulated chip-in-chip |
WO2011114774A1 (ja) * | 2010-03-18 | 2011-09-22 | 日本電気株式会社 | 半導体素子内蔵基板およびその製造方法 |
WO2011121993A1 (ja) | 2010-03-30 | 2011-10-06 | 株式会社村田製作所 | 部品集合体 |
US9048112B2 (en) | 2010-06-29 | 2015-06-02 | Qualcomm Incorporated | Integrated voltage regulator with embedded passive device(s) for a stacked IC |
EP2592915B1 (en) | 2010-07-06 | 2022-01-26 | Fujikura, Ltd. | Manufacturing method for laminated wiring board |
JP5517800B2 (ja) | 2010-07-09 | 2014-06-11 | キヤノン株式会社 | 固体撮像装置用の部材および固体撮像装置の製造方法 |
US8405135B2 (en) | 2010-10-05 | 2013-03-26 | International Business Machines Corporation | 3D via capacitor with a floating conductive plate for improved reliability |
FR2966283B1 (fr) | 2010-10-14 | 2012-11-30 | Soi Tec Silicon On Insulator Tech Sa | Procede pour realiser une structure de collage |
US8377798B2 (en) | 2010-11-10 | 2013-02-19 | Taiwan Semiconductor Manufacturing Co., Ltd | Method and structure for wafer to wafer bonding in semiconductor packaging |
US8476146B2 (en) | 2010-12-03 | 2013-07-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reducing wafer distortion through a low CTE layer |
KR101141457B1 (ko) * | 2010-12-08 | 2012-05-04 | 삼성전기주식회사 | 적층 세라믹 콘덴서 및 그 제조방법 |
TWI405322B (zh) | 2010-12-29 | 2013-08-11 | Ind Tech Res Inst | 內藏電容基板模組 |
FR2970594B1 (fr) | 2011-01-13 | 2013-01-18 | Batscap Sa | Ensemble de stockage d'energie electrique a element empile en accordeon |
US8620164B2 (en) | 2011-01-20 | 2013-12-31 | Intel Corporation | Hybrid III-V silicon laser formed by direct bonding |
US8988299B2 (en) | 2011-02-17 | 2015-03-24 | International Business Machines Corporation | Integrated antenna for RFIC package applications |
US8686537B2 (en) | 2011-03-03 | 2014-04-01 | Skyworks Solutions, Inc. | Apparatus and methods for reducing impact of high RF loss plating |
US9160346B2 (en) | 2011-03-15 | 2015-10-13 | Rambus Inc. | Area and power efficient clock generation |
US8716105B2 (en) | 2011-03-31 | 2014-05-06 | Soitec | Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods |
US8501537B2 (en) | 2011-03-31 | 2013-08-06 | Soitec | Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods |
KR102235927B1 (ko) | 2011-05-24 | 2021-04-05 | 소니 주식회사 | 반도체 장치 |
JP5982748B2 (ja) | 2011-08-01 | 2016-08-31 | ソニー株式会社 | 半導体装置、半導体装置の製造方法、および電子機器 |
US20130063863A1 (en) | 2011-07-08 | 2013-03-14 | John P. Timler | Insulator Based Upon One or More Dielectric Structures |
US8697493B2 (en) | 2011-07-18 | 2014-04-15 | Soitec | Bonding surfaces for direct bonding of semiconductor structures |
US9324659B2 (en) | 2011-08-01 | 2016-04-26 | Stats Chippac, Ltd. | Semiconductor device and method of forming POP with stacked semiconductor die and bumps formed directly on the lower die |
US8441131B2 (en) | 2011-09-12 | 2013-05-14 | Globalfoundries Inc. | Strain-compensating fill patterns for controlling semiconductor chip package interactions |
TWI438882B (zh) | 2011-11-01 | 2014-05-21 | Unimicron Technology Corp | 嵌埋電容元件之封裝基板及其製法 |
JP5703206B2 (ja) | 2011-12-19 | 2015-04-15 | 株式会社日立製作所 | 半導体装置、信号伝送システム及び信号伝送方法 |
KR101326999B1 (ko) * | 2012-03-07 | 2013-11-13 | 엘지이노텍 주식회사 | 인쇄회로기판 및 그의 제조 방법 |
US20130265733A1 (en) | 2012-04-04 | 2013-10-10 | Texas Instruments Incorporated | Interchip communication using an embedded dielectric waveguide |
CN103377911B (zh) | 2012-04-16 | 2016-09-21 | 中国科学院微电子研究所 | 提高化学机械平坦化工艺均匀性的方法 |
US9142517B2 (en) | 2012-06-05 | 2015-09-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid bonding mechanisms for semiconductor wafers |
US8809123B2 (en) | 2012-06-05 | 2014-08-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers |
US8698323B2 (en) | 2012-06-18 | 2014-04-15 | Invensas Corporation | Microelectronic assembly tolerant to misplacement of microelectronic elements therein |
US9502424B2 (en) | 2012-06-29 | 2016-11-22 | Qualcomm Incorporated | Integrated circuit device featuring an antifuse and method of making same |
TWI497661B (zh) | 2012-08-15 | 2015-08-21 | Ind Tech Res Inst | 半導體基板 |
US9343393B2 (en) | 2012-08-15 | 2016-05-17 | Industrial Technology Research Institute | Semiconductor substrate assembly with embedded resistance element |
US8829673B2 (en) | 2012-08-17 | 2014-09-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bonded structures for package and substrate |
US8735219B2 (en) | 2012-08-30 | 2014-05-27 | Ziptronix, Inc. | Heterogeneous annealing method and device |
KR101420517B1 (ko) * | 2012-10-31 | 2014-07-16 | 삼성전기주식회사 | 적층 세라믹 캐패시터 및 이를 포함하는 인쇄회로기판 |
US9386701B2 (en) * | 2012-11-30 | 2016-07-05 | Samsung Electro-Mechanics Co., Ltd. | Electronic component embedded printed circuit board |
DE102012224310A1 (de) | 2012-12-21 | 2014-06-26 | Tesa Se | Gettermaterial enthaltendes Klebeband |
US20140175655A1 (en) | 2012-12-22 | 2014-06-26 | Industrial Technology Research Institute | Chip bonding structure and manufacturing method thereof |
KR101375938B1 (ko) | 2012-12-27 | 2014-03-21 | 한국과학기술원 | 저전력, 고속 멀티-채널 유전체 웨이브가이드를 이용한 칩-대-칩 인터페이스 |
KR101472638B1 (ko) | 2012-12-31 | 2014-12-15 | 삼성전기주식회사 | 수동소자 내장기판 |
US8916448B2 (en) | 2013-01-09 | 2014-12-23 | International Business Machines Corporation | Metal to metal bonding for stacked (3D) integrated circuits |
TWI518991B (zh) | 2013-02-08 | 2016-01-21 | Sj Antenna Design | Integrated antenna and integrated circuit components of the shielding module |
US9299649B2 (en) | 2013-02-08 | 2016-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | 3D packages and methods for forming the same |
US8946784B2 (en) | 2013-02-18 | 2015-02-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and apparatus for image sensor packaging |
US9263186B2 (en) | 2013-03-05 | 2016-02-16 | Qualcomm Incorporated | DC/ AC dual function Power Delivery Network (PDN) decoupling capacitor |
US9105485B2 (en) | 2013-03-08 | 2015-08-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bonding structures and methods of forming the same |
US9029983B2 (en) | 2013-03-12 | 2015-05-12 | Qualcomm Incorporated | Metal-insulator-metal (MIM) capacitor |
US9443796B2 (en) | 2013-03-15 | 2016-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Air trench in packages incorporating hybrid bonding |
US8802538B1 (en) | 2013-03-15 | 2014-08-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for hybrid wafer bonding |
US9728453B2 (en) | 2013-03-15 | 2017-08-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for hybrid wafer bonding integrated with CMOS processing |
JP2014192321A (ja) | 2013-03-27 | 2014-10-06 | Ibiden Co Ltd | 電子部品内蔵配線板およびその製造方法 |
US9064937B2 (en) | 2013-05-30 | 2015-06-23 | International Business Machines Corporation | Substrate bonding with diffusion barrier structures |
US9929050B2 (en) | 2013-07-16 | 2018-03-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure |
US9723716B2 (en) | 2013-09-27 | 2017-08-01 | Infineon Technologies Ag | Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure |
US9257399B2 (en) | 2013-10-17 | 2016-02-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | 3D integrated circuit and methods of forming the same |
JP2015115446A (ja) | 2013-12-11 | 2015-06-22 | 株式会社東芝 | 半導体装置の製造方法 |
US9437572B2 (en) | 2013-12-18 | 2016-09-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Conductive pad structure for hybrid bonding and methods of forming same |
US9508637B2 (en) | 2014-01-06 | 2016-11-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Protrusion bump pads for bond-on-trace processing |
US10090327B2 (en) | 2014-01-17 | 2018-10-02 | Taiwan Semiconductor Manufacturing Co., Ltd | Semiconductor device and method for forming the same |
KR20160114710A (ko) | 2014-01-31 | 2016-10-05 | 코닝 인코포레이티드 | 반도체칩을 상호연결하기 위한 인터포저를 제공하기 위한 방법 및 장치 |
US20150262902A1 (en) | 2014-03-12 | 2015-09-17 | Invensas Corporation | Integrated circuits protected by substrates with cavities, and methods of manufacture |
US9418924B2 (en) * | 2014-03-20 | 2016-08-16 | Invensas Corporation | Stacked die integrated circuit |
US9230941B2 (en) | 2014-03-28 | 2016-01-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bonding structure for stacked semiconductor devices |
US9299736B2 (en) | 2014-03-28 | 2016-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid bonding with uniform pattern density |
US9853361B2 (en) | 2014-05-02 | 2017-12-26 | The Invention Science Fund I Llc | Surface scattering antennas with lumped elements |
US9472458B2 (en) | 2014-06-04 | 2016-10-18 | Semiconductor Components Industries, Llc | Method of reducing residual contamination in singulated semiconductor die |
KR102275705B1 (ko) | 2014-07-11 | 2021-07-09 | 삼성전자주식회사 | 웨이퍼 대 웨이퍼 접합 구조 |
US9372316B2 (en) | 2014-09-11 | 2016-06-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Silicon interface for dielectric slab waveguide |
US9536848B2 (en) | 2014-10-16 | 2017-01-03 | Globalfoundries Inc. | Bond pad structure for low temperature flip chip bonding |
US9394161B2 (en) | 2014-11-14 | 2016-07-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | MEMS and CMOS integration with low-temperature bonding |
DE102014117723B4 (de) | 2014-12-02 | 2019-01-24 | Infineon Technologies Ag | Halbleitervorrichtung und Verfahren zum Herstellen einer Halbleitervorrichtung |
US11069734B2 (en) | 2014-12-11 | 2021-07-20 | Invensas Corporation | Image sensor device |
KR101793967B1 (ko) | 2014-12-24 | 2017-11-06 | 인텔 코포레이션 | 적층형 집적 회로 패키지에서의 통합형 수동 구성요소 장치 및 이를 포함한 컴퓨팅 디바이스 |
JP2016143853A (ja) | 2015-02-05 | 2016-08-08 | 富士通株式会社 | 積層型半導体装置 |
US20160254345A1 (en) | 2015-02-27 | 2016-09-01 | Globalfoundries Inc. | Metal-insulator-metal capacitor architecture |
US9537199B2 (en) | 2015-03-19 | 2017-01-03 | International Business Machines Corporation | Package structure having an integrated waveguide configured to communicate between first and second integrated circuit chips |
KR101681410B1 (ko) | 2015-04-20 | 2016-11-30 | 삼성전기주식회사 | 커패시터 부품 |
WO2016170894A1 (ja) | 2015-04-21 | 2016-10-27 | 株式会社村田製作所 | 配線基板及び積層チップコンデンサ |
US9741620B2 (en) | 2015-06-24 | 2017-08-22 | Invensas Corporation | Structures and methods for reliable packages |
US9656852B2 (en) | 2015-07-06 | 2017-05-23 | Taiwan Semiconductor Manufacturing Company Ltd. | CMOS-MEMS device structure, bonding mesa structure and associated method |
US10886250B2 (en) | 2015-07-10 | 2021-01-05 | Invensas Corporation | Structures and methods for low temperature bonding using nanoparticles |
WO2017011267A1 (en) | 2015-07-15 | 2017-01-19 | Dueweke Michael J | Tunable reactance devices, and methods of making and using the same |
US10075657B2 (en) | 2015-07-21 | 2018-09-11 | Fermi Research Alliance, Llc | Edgeless large area camera system |
US9728521B2 (en) | 2015-07-23 | 2017-08-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Hybrid bond using a copper alloy for yield improvement |
US9559081B1 (en) | 2015-08-21 | 2017-01-31 | Apple Inc. | Independent 3D stacking |
US9953941B2 (en) | 2015-08-25 | 2018-04-24 | Invensas Bonding Technologies, Inc. | Conductive barrier direct hybrid bonding |
US9627373B2 (en) | 2015-08-25 | 2017-04-18 | International Business Machines Corporation | CMOS compatible fuse or resistor using self-aligned contacts |
US9496239B1 (en) | 2015-12-11 | 2016-11-15 | International Business Machines Corporation | Nitride-enriched oxide-to-oxide 3D wafer bonding |
US9852988B2 (en) | 2015-12-18 | 2017-12-26 | Invensas Bonding Technologies, Inc. | Increased contact alignment tolerance for direct bonding |
US9881882B2 (en) | 2016-01-06 | 2018-01-30 | Mediatek Inc. | Semiconductor package with three-dimensional antenna |
US9923011B2 (en) | 2016-01-12 | 2018-03-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with stacked semiconductor dies |
US10446532B2 (en) | 2016-01-13 | 2019-10-15 | Invensas Bonding Technologies, Inc. | Systems and methods for efficient transfer of semiconductor elements |
US10636767B2 (en) | 2016-02-29 | 2020-04-28 | Invensas Corporation | Correction die for wafer/die stack |
WO2017159377A1 (ja) * | 2016-03-18 | 2017-09-21 | 株式会社村田製作所 | 積層コンデンサ内蔵基板 |
US10026716B2 (en) | 2016-04-15 | 2018-07-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | 3DIC formation with dies bonded to formed RDLs |
US10204893B2 (en) | 2016-05-19 | 2019-02-12 | Invensas Bonding Technologies, Inc. | Stacked dies and methods for forming bonded structures |
US10522400B2 (en) | 2016-05-27 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Embedded temperature control system for a biosensor |
KR102505856B1 (ko) | 2016-06-09 | 2023-03-03 | 삼성전자 주식회사 | 웨이퍼 대 웨이퍼 접합 구조체 |
US9941241B2 (en) | 2016-06-30 | 2018-04-10 | International Business Machines Corporation | Method for wafer-wafer bonding |
US9892961B1 (en) | 2016-08-09 | 2018-02-13 | International Business Machines Corporation | Air gap spacer formation for nano-scale semiconductor devices |
US10446487B2 (en) | 2016-09-30 | 2019-10-15 | Invensas Bonding Technologies, Inc. | Interface structures and methods for forming same |
US10580735B2 (en) | 2016-10-07 | 2020-03-03 | Xcelsis Corporation | Stacked IC structure with system level wiring on multiple sides of the IC die |
US10672663B2 (en) | 2016-10-07 | 2020-06-02 | Xcelsis Corporation | 3D chip sharing power circuit |
US10762420B2 (en) | 2017-08-03 | 2020-09-01 | Xcelsis Corporation | Self repairing neural network |
US10163750B2 (en) | 2016-12-05 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Package structure for heat dissipation |
US10453832B2 (en) | 2016-12-15 | 2019-10-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Seal ring structures and methods of forming same |
US10002844B1 (en) | 2016-12-21 | 2018-06-19 | Invensas Bonding Technologies, Inc. | Bonded structures |
EP3563411B1 (en) | 2016-12-28 | 2021-04-14 | Invensas Bonding Technologies, Inc. | Method of processing a substrate on a temporary substrate |
US20180182665A1 (en) | 2016-12-28 | 2018-06-28 | Invensas Bonding Technologies, Inc. | Processed Substrate |
WO2018126052A1 (en) | 2016-12-29 | 2018-07-05 | Invensas Bonding Technologies, Inc. | Bonded structures with integrated passive component |
US20180190583A1 (en) | 2016-12-29 | 2018-07-05 | Invensas Bonding Technologies, Inc. | Bonded structures with integrated passive component |
US10276909B2 (en) | 2016-12-30 | 2019-04-30 | Invensas Bonding Technologies, Inc. | Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein |
US10431614B2 (en) | 2017-02-01 | 2019-10-01 | Semiconductor Components Industries, Llc | Edge seals for semiconductor packages |
US10522499B2 (en) | 2017-02-09 | 2019-12-31 | Invensas Bonding Technologies, Inc. | Bonded structures |
US10629577B2 (en) | 2017-03-16 | 2020-04-21 | Invensas Corporation | Direct-bonded LED arrays and applications |
US10515913B2 (en) | 2017-03-17 | 2019-12-24 | Invensas Bonding Technologies, Inc. | Multi-metal contact structure |
US10508030B2 (en) | 2017-03-21 | 2019-12-17 | Invensas Bonding Technologies, Inc. | Seal for microelectronic assembly |
JP6640780B2 (ja) | 2017-03-22 | 2020-02-05 | キオクシア株式会社 | 半導体装置の製造方法および半導体装置 |
US10784191B2 (en) | 2017-03-31 | 2020-09-22 | Invensas Bonding Technologies, Inc. | Interface structures and methods for forming same |
US10269756B2 (en) | 2017-04-21 | 2019-04-23 | Invensas Bonding Technologies, Inc. | Die processing |
US10580823B2 (en) | 2017-05-03 | 2020-03-03 | United Microelectronics Corp. | Wafer level packaging method |
US10879212B2 (en) | 2017-05-11 | 2020-12-29 | Invensas Bonding Technologies, Inc. | Processed stacked dies |
US10446441B2 (en) | 2017-06-05 | 2019-10-15 | Invensas Corporation | Flat metal features for microelectronics applications |
US10217720B2 (en) | 2017-06-15 | 2019-02-26 | Invensas Corporation | Multi-chip modules formed using wafer-level processing of a reconstitute wafer |
US10840205B2 (en) | 2017-09-24 | 2020-11-17 | Invensas Bonding Technologies, Inc. | Chemical mechanical polishing for hybrid bonding |
US11195748B2 (en) | 2017-09-27 | 2021-12-07 | Invensas Corporation | Interconnect structures and methods for forming same |
US11031285B2 (en) | 2017-10-06 | 2021-06-08 | Invensas Bonding Technologies, Inc. | Diffusion barrier collar for interconnects |
US11251157B2 (en) | 2017-11-01 | 2022-02-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Die stack structure with hybrid bonding structure and method of fabricating the same and package |
US11011503B2 (en) | 2017-12-15 | 2021-05-18 | Invensas Bonding Technologies, Inc. | Direct-bonded optoelectronic interconnect for high-density integrated photonics |
US10923408B2 (en) | 2017-12-22 | 2021-02-16 | Invensas Bonding Technologies, Inc. | Cavity packages |
US11380597B2 (en) | 2017-12-22 | 2022-07-05 | Invensas Bonding Technologies, Inc. | Bonded structures |
US11127738B2 (en) | 2018-02-09 | 2021-09-21 | Xcelsis Corporation | Back biasing of FD-SOI circuit blocks |
US10727219B2 (en) | 2018-02-15 | 2020-07-28 | Invensas Bonding Technologies, Inc. | Techniques for processing devices |
US11169326B2 (en) | 2018-02-26 | 2021-11-09 | Invensas Bonding Technologies, Inc. | Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects |
US11256004B2 (en) | 2018-03-20 | 2022-02-22 | Invensas Bonding Technologies, Inc. | Direct-bonded lamination for improved image clarity in optical devices |
US10991804B2 (en) | 2018-03-29 | 2021-04-27 | Xcelsis Corporation | Transistor level interconnection methodologies utilizing 3D interconnects |
US11056348B2 (en) | 2018-04-05 | 2021-07-06 | Invensas Bonding Technologies, Inc. | Bonding surfaces for microelectronics |
US10790262B2 (en) | 2018-04-11 | 2020-09-29 | Invensas Bonding Technologies, Inc. | Low temperature bonded structures |
US10964664B2 (en) | 2018-04-20 | 2021-03-30 | Invensas Bonding Technologies, Inc. | DBI to Si bonding for simplified handle wafer |
US11398258B2 (en) | 2018-04-30 | 2022-07-26 | Invensas Llc | Multi-die module with low power operation |
US10403577B1 (en) | 2018-05-03 | 2019-09-03 | Invensas Corporation | Dielets on flexible and stretchable packaging for microelectronics |
US11004757B2 (en) | 2018-05-14 | 2021-05-11 | Invensas Bonding Technologies, Inc. | Bonded structures |
US11276676B2 (en) | 2018-05-15 | 2022-03-15 | Invensas Bonding Technologies, Inc. | Stacked devices and methods of fabrication |
US10923413B2 (en) | 2018-05-30 | 2021-02-16 | Xcelsis Corporation | Hard IP blocks with physically bidirectional passageways |
US11171117B2 (en) | 2018-06-12 | 2021-11-09 | Invensas Bonding Technologies, Inc. | Interlayer connection of stacked microelectronic components |
US11393779B2 (en) | 2018-06-13 | 2022-07-19 | Invensas Bonding Technologies, Inc. | Large metal pads over TSV |
EP3807927A4 (en) | 2018-06-13 | 2022-02-23 | Invensas Bonding Technologies, Inc. | TSV AS A HIDEPAD |
US10910344B2 (en) | 2018-06-22 | 2021-02-02 | Xcelsis Corporation | Systems and methods for releveled bump planes for chiplets |
WO2020010056A1 (en) | 2018-07-03 | 2020-01-09 | Invensas Bonding Technologies, Inc. | Techniques for joining dissimilar materials in microelectronics |
US11462419B2 (en) | 2018-07-06 | 2022-10-04 | Invensas Bonding Technologies, Inc. | Microelectronic assemblies |
US11158606B2 (en) | 2018-07-06 | 2021-10-26 | Invensas Bonding Technologies, Inc. | Molded direct bonded and interconnected stack |
US20200035641A1 (en) | 2018-07-26 | 2020-01-30 | Invensas Bonding Technologies, Inc. | Post cmp processing for hybrid bonding |
US11515291B2 (en) | 2018-08-28 | 2022-11-29 | Adeia Semiconductor Inc. | Integrated voltage regulator and passive components |
US11296044B2 (en) | 2018-08-29 | 2022-04-05 | Invensas Bonding Technologies, Inc. | Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes |
US11011494B2 (en) | 2018-08-31 | 2021-05-18 | Invensas Bonding Technologies, Inc. | Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics |
US10796990B2 (en) | 2018-09-19 | 2020-10-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure, package structure, and manufacturing method thereof |
US11158573B2 (en) | 2018-10-22 | 2021-10-26 | Invensas Bonding Technologies, Inc. | Interconnect structures |
US11244920B2 (en) | 2018-12-18 | 2022-02-08 | Invensas Bonding Technologies, Inc. | Method and structures for low temperature device bonding |
KR20210104742A (ko) | 2019-01-14 | 2021-08-25 | 인벤사스 본딩 테크놀로지스 인코포레이티드 | 접합 구조체 |
US11387202B2 (en) | 2019-03-01 | 2022-07-12 | Invensas Llc | Nanowire bonding interconnect for fine-pitch microelectronics |
US11901281B2 (en) | 2019-03-11 | 2024-02-13 | Adeia Semiconductor Bonding Technologies Inc. | Bonded structures with integrated passive component |
US10854578B2 (en) | 2019-03-29 | 2020-12-01 | Invensas Corporation | Diffused bitline replacement in stacked wafer memory |
US11373963B2 (en) | 2019-04-12 | 2022-06-28 | Invensas Bonding Technologies, Inc. | Protective elements for bonded structures |
US11610846B2 (en) | 2019-04-12 | 2023-03-21 | Adeia Semiconductor Bonding Technologies Inc. | Protective elements for bonded structures including an obstructive element |
US11205625B2 (en) | 2019-04-12 | 2021-12-21 | Invensas Bonding Technologies, Inc. | Wafer-level bonding of obstructive elements |
US11355404B2 (en) | 2019-04-22 | 2022-06-07 | Invensas Bonding Technologies, Inc. | Mitigating surface damage of probe pads in preparation for direct bonding of a substrate |
US11385278B2 (en) | 2019-05-23 | 2022-07-12 | Invensas Bonding Technologies, Inc. | Security circuitry for bonded structures |
US20200395321A1 (en) | 2019-06-12 | 2020-12-17 | Invensas Bonding Technologies, Inc. | Sealed bonded structures and methods for forming the same |
US11296053B2 (en) | 2019-06-26 | 2022-04-05 | Invensas Bonding Technologies, Inc. | Direct bonded stack structures for increased reliability and improved yield in microelectronics |
US20210098412A1 (en) | 2019-09-26 | 2021-04-01 | Invensas Bonding Technologies, Inc. | Direct gang bonding methods and structures |
US20210118864A1 (en) | 2019-10-21 | 2021-04-22 | Invensas Corporation | Non-Volatile Dynamic Random Access Memory |
US11862602B2 (en) | 2019-11-07 | 2024-01-02 | Adeia Semiconductor Technologies Llc | Scalable architecture for reduced cycles across SOC |
US11762200B2 (en) | 2019-12-17 | 2023-09-19 | Adeia Semiconductor Bonding Technologies Inc. | Bonded optical devices |
US11876076B2 (en) | 2019-12-20 | 2024-01-16 | Adeia Semiconductor Technologies Llc | Apparatus for non-volatile random access memory stacks |
US11721653B2 (en) | 2019-12-23 | 2023-08-08 | Adeia Semiconductor Bonding Technologies Inc. | Circuitry for electrical redundancy in bonded structures |
CN115088068A (zh) | 2019-12-23 | 2022-09-20 | 伊文萨思粘合技术公司 | 用于接合结构的电冗余 |
US20210242152A1 (en) | 2020-02-05 | 2021-08-05 | Invensas Bonding Technologies, Inc. | Selective alteration of interconnect pads for direct bonding |
CN115943489A (zh) | 2020-03-19 | 2023-04-07 | 隔热半导体粘合技术公司 | 用于直接键合结构的尺寸补偿控制 |
US11742314B2 (en) | 2020-03-31 | 2023-08-29 | Adeia Semiconductor Bonding Technologies Inc. | Reliable hybrid bonded apparatus |
WO2021236361A1 (en) | 2020-05-19 | 2021-11-25 | Invensas Bonding Technologies, Inc. | Laterally unconfined structure |
US11631647B2 (en) | 2020-06-30 | 2023-04-18 | Adeia Semiconductor Bonding Technologies Inc. | Integrated device packages with integrated device die and dummy element |
US11764177B2 (en) | 2020-09-04 | 2023-09-19 | Adeia Semiconductor Bonding Technologies Inc. | Bonded structure with interconnect structure |
US11728273B2 (en) | 2020-09-04 | 2023-08-15 | Adeia Semiconductor Bonding Technologies Inc. | Bonded structure with interconnect structure |
US11264357B1 (en) | 2020-10-20 | 2022-03-01 | Invensas Corporation | Mixed exposure for large die |
WO2022094587A1 (en) | 2020-10-29 | 2022-05-05 | Invensas Bonding Technologies, Inc. | Direct bonding methods and structures |
US20220139869A1 (en) | 2020-10-29 | 2022-05-05 | Invensas Bonding Technologies, Inc. | Direct bonding methods and structures |
TW202243181A (zh) | 2020-12-28 | 2022-11-01 | 美商英帆薩斯邦德科技有限公司 | 具有直通基板穿孔的結構以及形成此結構的方法 |
JP2024501016A (ja) | 2020-12-28 | 2024-01-10 | アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド | 基板貫通ビアを有する構造体及びそれを形成する方法 |
US20220208723A1 (en) | 2020-12-30 | 2022-06-30 | Invensas Bonding Technologies, Inc. | Directly bonded structures |
WO2022147459A1 (en) | 2020-12-30 | 2022-07-07 | Invensas Bonding Technologies, Inc. | Structure with conductive feature and method of forming same |
JP2024513304A (ja) | 2021-03-03 | 2024-03-25 | アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド | 直接接合のためのコンタクト構造 |
US20220320035A1 (en) | 2021-03-31 | 2022-10-06 | Invensas Bonding Technologies, Inc. | Direct bonding methods and structures |
KR20230164153A (ko) | 2021-03-31 | 2023-12-01 | 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 | 캐리어의 직접 결합 및 분리 |
KR20230163554A (ko) | 2021-03-31 | 2023-11-30 | 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 | 캐리어의 직접 결합 및 분리 |
-
2017
- 2017-12-28 WO PCT/US2017/068788 patent/WO2018126052A1/en active Application Filing
- 2017-12-28 US US15/856,391 patent/US11626363B2/en active Active
- 2017-12-28 KR KR1020237038076A patent/KR20230156179A/ko not_active Application Discontinuation
- 2017-12-28 TW TW106146265A patent/TWI782939B/zh active
- 2017-12-28 KR KR1020197021450A patent/KR20190092584A/ko not_active IP Right Cessation
- 2017-12-28 JP JP2019535838A patent/JP2020503692A/ja active Pending
-
2022
- 2022-11-11 JP JP2022180925A patent/JP2023022078A/ja active Pending
- 2022-12-29 US US18/148,001 patent/US20230317591A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
KR20190092584A (ko) | 2019-08-07 |
JP2020503692A (ja) | 2020-01-30 |
TW202312420A (zh) | 2023-03-16 |
TW201841336A (zh) | 2018-11-16 |
US11626363B2 (en) | 2023-04-11 |
KR20230156179A (ko) | 2023-11-13 |
WO2018126052A1 (en) | 2018-07-05 |
US20180190580A1 (en) | 2018-07-05 |
US20230317591A1 (en) | 2023-10-05 |
TWI782939B (zh) | 2022-11-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI782939B (zh) | 具有整合式被動構件的接合結構 | |
US20240128186A1 (en) | Bonded structures with integrated passive component | |
US20180190583A1 (en) | Bonded structures with integrated passive component | |
US9837299B2 (en) | Methods of forming 3-D circuits with integrated passive devices | |
US8847365B2 (en) | Inductors and methods for integrated circuits | |
TWI390644B (zh) | 形成整合被動元件模組的半導體裝置和方法 | |
EP4208896A1 (en) | Bonded structure with interconnect structure | |
TWI229890B (en) | Semiconductor device and method of manufacturing same | |
TWI489606B (zh) | 具有整合式被動元件的系統級封裝及其方法 | |
US20160181228A1 (en) | Semiconductor device and method for manufacturing same | |
TW201036104A (en) | Minimum cost method for forming high density passive capacitors for replacement of discrete board capacitors using a minimum cost 3D wafer-to-wafer modular integration scheme | |
TWI524505B (zh) | 半導體裝置及其製造方法 | |
JP7052824B2 (ja) | 薄膜型lc部品およびその実装構造 | |
TW200915937A (en) | Capacitor-embedded substrate and method of manufacturing the same | |
CN112864145A (zh) | 集成电路装置 | |
TWI837879B (zh) | 具有整合式被動構件的接合結構 | |
WO2011148445A1 (ja) | 半導体装置及びその製造方法 | |
US9640477B1 (en) | Semiconductor package and method of producing the semiconductor package | |
JP2020136573A (ja) | マルチチップモジュール、電子機器およびマルチチップモジュールの製造方法 | |
TWI832249B (zh) | 電容結構、半導體結構及其製造方法 | |
CN107564826B (zh) | 一种用于制作三维无源集成器件的键合体及器件制作方法 | |
TW202240714A (zh) | 半導體裝置及其製造方法 | |
KR20140088756A (ko) | 고집적의 다층 인터포저 및 그 제조방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20221212 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20231213 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20240109 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20240408 |