JP2012142380A - 原料供給装置及び成膜装置 - Google Patents

原料供給装置及び成膜装置 Download PDF

Info

Publication number
JP2012142380A
JP2012142380A JP2010293207A JP2010293207A JP2012142380A JP 2012142380 A JP2012142380 A JP 2012142380A JP 2010293207 A JP2010293207 A JP 2010293207A JP 2010293207 A JP2010293207 A JP 2010293207A JP 2012142380 A JP2012142380 A JP 2012142380A
Authority
JP
Japan
Prior art keywords
raw material
valve
liquid
flow path
material supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010293207A
Other languages
English (en)
Other versions
JP5573666B2 (ja
Inventor
Tamotsu Kazumura
有 和村
Haruhiko Furuya
治彦 古屋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2010293207A priority Critical patent/JP5573666B2/ja
Priority to US13/328,252 priority patent/US9080238B2/en
Priority to TW100148748A priority patent/TWI506151B/zh
Priority to KR1020110143384A priority patent/KR101463295B1/ko
Priority to CN201110460409.8A priority patent/CN102560430B/zh
Publication of JP2012142380A publication Critical patent/JP2012142380A/ja
Application granted granted Critical
Publication of JP5573666B2 publication Critical patent/JP5573666B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • B08B9/02Cleaning pipes or tubes or systems of pipes or tubes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4238With cleaner, lubrication added to fluid or liquid sealing at valve interface
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4238With cleaner, lubrication added to fluid or liquid sealing at valve interface
    • Y10T137/4245Cleaning or steam sterilizing
    • Y10T137/4259With separate material addition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Abstract

【課題】原料供給管を介して下方側から上方側に液体材料を供給するにあたり、当該原料供給管内から液体材料を容易に排出すること。
【解決手段】気化器11に近接して液抜き機構50を設けて、原料供給管15の上端をこの液抜き機構50の第2の原料供給バルブ74におけるバイパス流路54に接続すると共に、この第2の原料供給バルブ74の上端側からN2ガス及びオクタンが供給されるように各バルブ18を配置する。そして、原料供給管15の下方側に別の液抜き機構50を設けて、当該液抜き機構50に原料貯留部14から伸びる供給管14a及び液体材料を排出するための第1の原料排出管61を接続して、原料供給管15内の液抜きを行う場合には、当該原料供給管15内に対して上方側から下方側に向かってN2ガスやオクタンを供給する。
【選択図】図1

Description

本発明は、液体材料を供給するための原料供給装置及びこの原料供給装置を備えた成膜装置に関する。
半導体製造装置における成膜処理に用いられる処理ガスとしては、金属有機化合物などの液体原料を気化器にて気化したガスを用いる場合がある。成膜プロセスとしては、液体原料である例えばTEMAZ(テトラキスエチルメチルアミノジルコニウム)、TEMAH(テトラキスエチルメチルアミノハフニウム)、Sr(THD)(ストロンチウムビステトラメチルヘプタンジオナト)などを用いて、半導体ウエハ(以下「ウエハ」と言う)に対して高誘電率膜などを成膜する場合などが挙げられる。この装置では、成膜処理の行われる反応容器(反応管)内を真空排気する真空ポンプや液体材料の貯留部である原料タンクは、装置のフットプリントをできるだけ小さくするため、例えば気化器や反応管の下方側(作業者が作業する高さ位置または地下)に設けられる。そして、原料供給管及びバルブなどを備えた原料供給装置によって、上方側に向かって前記液体タンクから気化器に液体材料が供給される。
ここで、気化器のメンテナンスを行う場合や液体タンクを取り替える場合など、気化器や液体タンクを装置から取り外すことがある。この時、液体材料が大気に触れると、ジエチルアミン((C2H5)2NH)、ジメチルアミン((CH3)2NH)ガスなどの有毒ガスが発生したり、あるいは大気中の水分と液体材料との反応によって生成した生成物により原料供給管内が閉塞したりするおそれがある。そのため、気化器や液体タンクを装置から取り外す時には、原料供給管内から液体材料を予め除去している。具体的には、例えば下方側(液体タンク側)から窒素(N2)ガスなどの不活性ガスを原料供給管内にパージして液体材料を重力に逆らって上方側に押し出し、気化器側における原料供給管を介して、反応管の手前側(原料供給管側)に設けられたVENT配管より排出する。その後、例えばオクタンなどの洗浄液を下方側から原料供給管内に通流させて原料供給管及び気化器の内部を清浄化して、再度不活性ガスによって前記VENT配管から洗浄液を排出した後、気化器を介して原料供給管内を真空引きして乾燥させる。
しかしながら、既述の液体材料の比重が水などよりも大きい(重い)ので、ガスによって下方側から押し出そうとしても、液体材料が原料供給管内に留まって当該液体材料内をガスの気泡が上昇しようとして、いわばバブリング状態となってしまう。そのため、ガス圧を上げても原料供給管内から液体材料を除去しにくい。また、このような液体材料は水などよりも蒸気圧が低いので、例えば洗浄液を供給する前に原料供給管内を真空引きしても揮発しにくい。更に、原料供給管の内部が開放されていないので、原料供給管内から液体材料が除去しきれたことを直接確認できない。
原料供給管内に洗浄液を供給する時に液体材料が残っていると、当該洗浄液に僅かに含まれる水分と液体材料とが反応し、例えば原料供給管に介設されたバルブのダイヤフラム(弁体)の表面に反応生成物が付着(固着)してしまうこともある。この場合には、ダイヤフラムやバルブを頻繁に交換する必要があるため、装置のランニングコストが嵩んでしまう。
そこで、気化器や液体タンクを装置から取り外す時には、原料供給管内に残っている液体材料の量が僅か数十cc程度であっても、液体材料が排出される時間よりも長めに液抜き時間(ガスの供給時間)を確保するために、例えば数日間に亘ってガスを流し続けて原料供給管内の液体材料を取り除くようにしている。従って、原料供給管内の液抜きに要する時間の分だけ装置の稼働時間(成膜時間)が短くなってしまうし、窒素ガスの費用も嵩んでしまう。更に、このようにガスを長期間に亘って原料供給管内に供給しても、依然として液体材料が原料供給管内に残ってしまうことがある。また、洗浄液についても、同様に下方側から上方側に向かってガスにより押し出すことになるため、原料供給管内から排出しにくい。尚、原料供給管に対して上方側(気化器側)からガスにより液体材料を液体タンクに押し戻そうとすると、原料供給管の内壁面を介して液体タンク内の液体材料が汚染されてしまうおそれがある。
特許文献1、2には、上方側から下方側に向かって液体が流れるように原料供給管やバルブを配置する技術について記載されているが、既述の課題には触れられていない。
特開2005−129782号公報 特開平10−227368号公報
本発明はこのような事情に鑑みてなされたものであり、その目的は、原料供給管を介して下方側から上方側に液体材料を供給するにあたり、当該原料供給管内から液体材料を容易に排出できる原料供給装置及び成膜装置を提供することにある。
本発明の原料供給装置は、
貯留部内の液体原料を気化器にて気化させ、気化されたガスを半導体製造用の反応容器内に供給するための原料供給装置において、
上端側及び下端側に夫々前記気化器及び前記貯留部が接続されると共に上下に伸びる立ち上がり管路を有する原料供給管と、
前記立ち上がり管路の下端側から分岐して設けられた第1の原料排出管と、
前記原料供給管内の液体原料を押し出して前記第1の原料排出管に排出するために、前記立ち上がり管路の上端側に接続された、パージ用ガス及び洗浄液の一方を供給するための洗浄流体供給管と、
前記原料供給管における第1の原料排出管との接続部分よりも貯留部側、及び第1の原料排出管に夫々設けられた第1の原料供給バルブ、及び第1の原料排出バルブと、
前記洗浄流体供給管に設けられた洗浄流体供給バルブと、を備え、
液体原料を前記気化器に供給するときには、前記第1の原料供給バルブを開き、かつ第1の原料排出バルブ及び洗浄流体バルブを各々閉じた状態とし、また液体原料を排出するときには、前記第1の原料供給バルブを閉じ、かつ第1の原料排出バルブ及び洗浄流体供給バルブを各々開いた状態とするように操作されることを特徴とする。
前記原料供給装置は、以下のように構成しても良い。
上下方向に伸びる流路及び横方向に伸びる流路の合流点に設けられた三方弁からなる第2の原料供給バルブを備え、
前記原料供給管の立ち上がり管路の上端側は、前記第2の原料供給バルブの横方向の流路に接続され、
前記洗浄流体供給バルブは、前記上下方向に伸びる流路における前記第2の原料供給バルブよりも上方に設けられ、
前記第2の原料供給バルブは、液体原料を前記気化器に供給するときには、前記横方向に伸びる流路と下方に伸びる流路とを連通させ、液体原料を前記立ち上がり管路を介して排出するときには、前記横方向に伸びる流路と上方に伸びる流路とを連通させ、液体原料を気化器側に排出させるときには、前記上方向に伸びる流路と下方に伸びる流路とを連通させるように操作される構成。
前記上下方向に伸びる流路における前記第2の原料供給バルブの下方側には、当該上下方向に伸びる流路及び横方向に伸びる流路の合流点に位置する三方弁からなる第2の原料排出バルブを設け、
前記第2の原料排出バルブにおける横方向の流路には、気化器に向かう原料供給管が接続され、
前記第2の原料排出バルブから下方に伸びる流路は第2の原料排出管として構成され、
前記第2の原料排出バルブは、液体原料を前記気化器に供給するときには、前記第2の原料排出バルブから上方に伸びる流路と横方向に伸びる流路とを連通させ、液体原料を排出させるときには、前記第2の原料排出バルブから上方に伸びる流路と下方に伸びる流路とを連通させるように操作される構成。
上下方向に伸びる流路及び横方向に伸びる流路の合流点に設けられた三方弁からなり、配管中の液体を排出するためのガスを供給するためのガス供給バルブを備え、
前記ガス供給バルブから上方に伸びる流路は、前記洗浄流体供給バルブの上端側に接続され、
前記ガス供給バルブから横方向に伸びる流路は、前記ガスを供給するガス供給管が接続され、
前記ガス供給バルブから下方に伸びる流路は、配管を洗浄するための洗浄液を供給するための洗浄液供給管が接続されている構成。
前記ガス供給バルブから下方に伸びる流路には、当該下方側に伸びる流路及び横方向に伸びる流路の合流点に設けられた三方弁からなる洗浄液供給バルブが設けられ、
前記洗浄液供給バルブから横方向に伸びる流路には、洗浄液供給管が接続され、
前記洗浄液供給バルブから下方に伸びる流路には、洗浄液排出管が接続されている構成。
前記第1の原料供給バルブは、上下方向に伸びる流路及び横方向に伸びる流路の合流点に設けられた三方弁からなり、
前記第1の原料排出バルブは、前記第1の原料供給バルブよりも下方側に設けられると共に、当該第1の原料排出バルブの上端側が前記第1の原料供給バルブの下端側に接続され、
前記貯留部は、前記第1の原料供給バルブの横方向の流路に接続され、
前記第1の原料排出管は、前記第1の原料排出バルブの下端側に接続されている構成。
上下方向に伸びる流路及び横方向に伸びる流路の合流点に設けられた三方弁からなる第1の流体排出バルブと、
この流体排出バルブの下方側に設けられ、上下方向に伸びる流路及び横方向に伸びる流路の合流点に位置する三方弁からなる排液吸入バルブと、
この排液吸入バルブの下方側に設けられた第2の流体排出バルブと、を備え、
前記第1の流体排出バルブの上端側には、前記第1の原料排出管が接続され、
前記第1の流体排出バルブの側方側の流路には、前記第2の原料排出管及び前記洗浄液排出管が接続され、
前記排液吸入バルブの側方側の流路には、排気機構が接続され、
前記第2の流体排出バルブの下端側には、排液部が接続され、
液体原料、洗浄液及びパージガスの少なくとも1つを含む流体を前記排気機構により吸引する時は、前記排液吸入バルブの上方に伸びる流路と横方向に伸びる流路とを連通させ、かつ第2の流体排出バルブを閉じると共に、前記第1の流体排出バルブの下方に伸びる流路と上方に伸びる流路及び横方向に伸びる流路の少なくとも一方とを連通させ、
前記流体を前記排液部に排出する時は、前記排液吸入バルブの横方向の流路を閉じると共に、前記第2の流体排出バルブ及び前記排液吸入バルブの各々の上下方向に伸びる流路と、前記第1の流体排出バルブの下方に伸びる流路と上方に伸びる流路及び横方向に伸びる流路の少なくとも一方とを連通させる構成。
前記原料供給管、前記第1の原料排出管、前記第2の原料排出管及び前記洗浄液排出管の少なくとも1つの配管には、当該配管内の圧力を検出するための圧力検出部が設けられている構成。
本発明の成膜装置は、前記記載の原料供給装置と、
この原料供給装置から供給される液体材料を気化するための気化器と、
この気化器において液体材料を気化して得られた処理ガスを、内部に収納した基板に対して供給するための反応容器と、を備えたことを特徴とする。
本発明は、液体材料の貯留された貯留部から原料供給管を介して上方側の気化器に液体材料を供給するにあたり、貯留部側における原料供給管の下端側に排出管を設けると共に、原料供給管の上端側にパージ用ガス及び洗浄液の一方を供給する洗浄流体供給管を接続している。そして、原料供給管から液体材料を排出する時には、パージ用ガス及び洗浄液の一方によって原料供給管の上端側から排出管に液体材料を排出しているので、重力に逆らわずに上方側から下方側に向かって液体材料を押し出すことができ、そのため原料供給管内から液体材料を容易に排出できる。
本発明の原料供給装置を備えた縦型熱処理装置の一例を示す縦断面図である。 前記原料供給装置における第1の液抜き機構を示す縦断面図である。 前記第1の液抜き機構を模式的に示す模式図である。 前記原料供給装置に用いられる三方弁を模式的に示す断面図である。 前記三方弁の流路が開閉する様子を示す模式図である。 前記三方弁の流路が開閉する様子を示す模式図である。 前記原料供給装置における第2の液抜き機構を示す縦断面図である。 前記第2の液抜き機構を模式的に示す模式図である。 前記原料供給装置における第3の液抜き機構を示す縦断面図である。 前記第3の液抜き機構を模式的に示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における液抜き工程を示すフロー図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記原料供給装置における作用を示す模式図である。 前記縦型熱処理装置において得られる特性図である。 本発明と従来例とにおいてバルブのダイヤフラムを示す撮像写真である。
本発明の原料供給装置を適用した縦型熱処理装置の実施の形態の一例について、図1〜図10を参照して説明する。この縦型熱処理装置は、成膜種を含む液体材料例えばTEMAZ(テトラキスエチルメチルアミノジルコニウム)を気化するための気化器(ベーパライザ)11と、この気化器11において液体材料の気化によって得られた処理ガスをウエハWに供給して成膜処理を行うための反応管(反応容器)12と、を備えた成膜装置として構成されている。そして、原料供給装置13によって、気化器11及び反応管12よりも下方に設けられた原料貯留部14から、原料供給管(立ち上がり管路)15を介して気化器11に液体材料を供給している。この原料供給装置13は、後述するように、例えば気化器11のメンテナンスや原料貯留部14の交換などを行う時において原料供給管15内の液抜き(液体材料の除去)を行う場合には、原料供給管15から液体材料を容易にかつ速やかに排出できるように構成されている。
先ず、反応管12及び気化器11について簡単に説明する。反応管12は、図1に示すように、概略円筒形状に形成された石英によって構成されており、下端面が炉口として開口すると共に、上端面の中央部に、当該反応管12内の雰囲気を真空排気するための排気ポート12aが形成されている。また、反応管12は、ウエハWを棚状に積載したウエハボート21を下方側から気密に挿入できるように、下端面が床面レベルから例えば2m程度高い高さ位置となるようにベースプレート22に支持されている。反応管12の下端部のフランジ部には、処理ガスを反応管12内に供給するためのガスインジェクター23の一端側が気密に挿入されている。反応管12の外側には、当該反応管12内のウエハWを加熱するためのヒータ24が周方向に亘って設けられている。排気ポート12aから伸びる排気管25は、バタフライバルブ26などの圧力調整部を介して、反応管12の下方側例えば床面レベルよりも下方(地下)に設けられた真空排気装置である真空ポンプ27に接続されている。図1中28はウエハボート21を鉛直軸回りに回転させるためのモータである。尚、反応管12や気化器11については、図1では簡略化して描画している。
反応管12の側方側には、当該反応管12に隣接して概略円筒形状の気化器(ベーパライザ)11が設けられており、この気化器11の上端面には、当該気化器11内に液体材料や洗浄液を吐出(噴霧)するためのノズル(二流体ノズル)31が配置されている。このノズル31には、液体材料を供給する液体供給管41と、清浄ガス例えば窒素(N2)ガスを液体材料のキャリアガスとして供給するためのガス供給管42と、が外方側(上方側)から夫々バルブVを介して接続されている。この液体供給管41において、気化器11よりも上流側(原料貯留部14側)には、流量調整部(リキッドマスフローコントローラ)41aが介設されている。
気化器11の内壁面には、当該気化器11内に吐出される液体材料を気化させるための図示しないヒータが埋設されており、従って気化器11の内部領域は加熱室11aをなしている。また、気化器11の側面における下方側には、気化器11内にて液体材料の気化によって得られた処理ガスを取り出すための取り出しポート32が形成されており、この取り出しポート32から伸びる気体原料供給管35には、既述のガスインジェクター23の基端側が接続されている。この気体原料供給管35には、既述の排気管25に接続されるVENT配管36がバルブVを介して接続されている。気化器11内には、ノズル31に対向するように、内部に図示しないヒータの埋設された概略円筒形状の熱交換部33が設けられている。図1中、34は気化器11内において気化せずに当該気化器11の床面に落下した液滴を図示しない排出部に排出するためのドレイン口であり、Vはバルブである。また、図1中16aは流量調整部である。既述の気化器(ベーパライザ)11と、液体供給管41及びガス供給管42に各々設けられた気化器11側のバルブVと、流量調整部41aとにより気化システム30が構成されている。、
続いて、既述の原料供給装置13について詳述する。ここで、液体材料の貯留された原料貯留部14は、装置のフットプリントをできるだけ小さくするため、真空ポンプ27と同様に反応管12や気化器11の下方側例えば地下に設けられている。従って、原料供給装置13は、以下に説明するように、地下から上方側の気化器11に向かって送液できるように構成されている。この原料貯留部14には、既述の原料供給管15の下方側に接続される供給管14aが設けられており、この供給管14aの下端は、原料供給管15内の液面よりも下方側にて開口している。また、前記地下には、装置のメンテナンスなどを行う時において原料供給管15内の液抜きを容易にかつ速やかに行うために、当該原料供給管15内に供給される既述の清浄ガス(パージ用ガス)及びオクタンなどの洗浄液が夫々貯留されたガス貯留部16及び洗浄液貯留部(供給部)17が設けられている。そして、既述の供給管14aの内部に洗浄液を供給するために、洗浄液の貯留された補助貯槽17aが原料貯留部14に隣接して設けられている。図1中7は原料供給管15内の液面よりも上方側にHe(ヘリウム)ガスなどを供給して、液体材料を供給管14aに向かって圧送するための送液用ガスラインであり、8は補助貯槽17aから供給管14aに洗浄液を供給する補助洗浄液供給管である。また、図1中9はガス貯留部16から供給管14aに清浄ガスを供給する補助ガス供給管であり、図1中10は、前記清浄ガスに含まれる水分を除去するためのフィルターである。
原料供給装置13には、複数のバルブ18が組み合わされた液抜き機構50が複数箇所例えば4箇所に設けられている。この液抜き機構50は、図1に示すように、原料供給管15における原料貯留部14側及び気化器11側と、洗浄液貯留部17から気化器11に洗浄液を供給する洗浄液供給管(洗浄流体供給管)19における当該洗浄液貯留部17側と、液体材料や洗浄液の排出先である排液部60から上方側(気化器11側)に向かって伸びる第1の原料排出管61と、に各々設けられている。これら液抜き機構50について、原料貯留部14側と、気化器11側と、排液部60側と、を夫々「第1の液抜き機構50a」、「第2の液抜き機構50b」及び「第3の液抜き機構50c」と呼ぶことにする。また、洗浄液供給管19に設けられた液抜き機構50についても、前記第1の液抜き機構50aと同じ構成であるため、「第1の液抜き機構50a」と呼ぶことにする。尚、図1では、これら液抜き機構50のバルブ18については簡略化して模式的に(大きく)描画している。また、実際には第1の液抜き機構50aが床面付近に設けられているため、原料貯留部14と第1の液抜き機構50aとの間の供給管14aは、第1の液抜き機構50aから第2の液抜き機構50bに向かって伸びる原料供給管15よりも極めて短いが、図1ではこれら供給管14a及び原料供給管15の長さ寸法についても模式的に示している。
これら液抜き機構50に用いられるバルブ18としては、上下方向に伸びる流路53が開閉自在に構成された二方弁18aと、上下に伸びる流路53及び当該流路53に対して側方側から接続された別の流路(バイパス流路54)が形成された三方弁18bと、が設けられている。三方弁18bは、流路53及びバイパス流路54を互いに連通させる開放状態と、流路53の下側の開口端及びバイパス流路54の開口端のいずれか一方を閉止する閉止状態と、を切り替えられるように構成されている。これら二方弁18a及び三方弁18bは、後述の制御部1からの指示によって開閉自在な電磁弁として構成されている。
そして、第1の液抜き機構50aの各々には、2つの二方弁18a及び1つの三方弁18bが設けられており、第2の液抜き機構50bには、1つの二方弁18a及び5つの三方弁18bが設けられている。また、第3の液抜き機構50cには、1つの二方弁18a及び2つの三方弁18bが設けられている。尚、液抜き機構50以外の部分におけるバルブについては、既述のように「V」の符号を付して当該液抜き機構50を構成するバルブ18(後述のバルブ71〜82)と区別している。
続いて、各々の液抜き機構50における各バルブ18の配置レイアウトについて詳述する。始めに、第1の液抜き機構50aについて説明する。第1の液抜き機構50aでは、図2に示すように、上方側から下方側に向かって、二方弁18aからなるバルブ71、三方弁18bからなる第1の原料供給バルブ72及び二方弁18aからなる第1の原料排出バルブ73がこの順番で配置されている。これらバルブ71の上端及び第1の原料排出バルブ73の下端には、原料供給管15の一端側(下端側)及び第1の原料排出管61が夫々接続されている。尚、図3は、第1の液抜き機構50aを模式的に示している。
第1の原料供給バルブ72には、図4に模式的に示すように、当該第1の原料供給バルブ72の弁室51内を介して概略上下方向に伸びる流路53が形成されており、この流路53の上端及び下端に夫々既述のバルブ71及び第1の原料排出バルブ73が接続されている。また、この弁室51内には、バイパス流路54の一端側が弁体52によって開閉自在に開口しており、このバイパス流路54の他端側は、下方側に向かって屈曲して、第1の原料排出バルブ73よりも下方位置において供給管14aに接続されている。従って、第1の原料供給バルブ72は、閉止状態(前記バイパス流路54の開口端を弁体52によって塞いだ状態)では、図5に示すように供給管14aからの液体材料の供給が停止し、開放状態では、図6に示すように弁室51内に液体材料が供給される。そして、第1の原料供給バルブ72と共にバルブ71及び第1の原料排出バルブ73を開閉させることによって、後述するように、気化器11側(第2の液抜き機構50b側)への液体材料の供給や、気化器11からの液体材料の排出が行われる。尚、これらバルブ71の上端、第1の原料排出バルブ73の下端及びバイパス流路54の下端における開口端は、互いに同じ方向(図2では左側)を向くように配置されている。
この第1の液抜き機構50aは、洗浄液供給管19における洗浄液貯留部17側にも設けられており、バルブ71の上端及び第1の原料供給バルブ72のバイパス流路54には、夫々洗浄液供給管19及び洗浄液貯留部17から伸びる供給管19aが接続されている。第1の原料排出バルブ73の下端には、既述の第1の原料排出管61が接続されている。
次いで、第2の液抜き機構50bについて図7及び図8を参照して説明する。この第2の液抜き機構50bは、原料供給管15に対して上方側から清浄ガスを供給して、液体材料や洗浄液を下方側に排出できるように構成されている。即ち、第2の液抜き機構50bには、原料供給管15及び洗浄液供給管19と、ガス貯留部16から伸びるガス供給管43と、が接続されており、これら原料供給管15及び洗浄液供給管19の開口端よりも上方側にガス供給管43の開口端が位置するように、5つの三方弁18b及び1つの二方弁18aが配置されている。
具体的には、第2の液抜き機構50bは、既述の第1の原料供給バルブ72と同様に、上下に伸びる流路53と、この流路53に対して側方側から接続されたバイパス流路54と、が形成された三方弁18bからなる第2の原料供給バルブ74を備えている。この第2の原料供給バルブ74のバイパス流路54には、原料供給管15の他端側(上端側)が接続され、第2の原料供給バルブ74の流路53の上端には、二方弁18aからなる洗浄流体供給バルブ75の下端が接続されている。第2の原料供給バルブ74の流路53の下端には、三方弁18bからなる第2の原料排出バルブ76における流路53の上端が接続されている。第2の原料排出バルブ76におけるバイパス流路54には、既述の液体供給管41が吐出バルブVを介して接続されており、第2の原料排出バルブ76の流路53の下端には、第3の液抜き機構50cに向かって伸びる第2の原料排出管62が接続されている。
洗浄流体供給バルブ75の上端には、三方弁18bからなるガス供給バルブ77の流路53の一端側(上端側)が配管(洗浄流体供給管)63を介して接続されており、当該一端側が上方を向くように、前記配管63の両端が下方に向かって各々屈曲している。ガス供給バルブ77の流路53の他端側(下方側)には、三方弁18bからなる洗浄液供給バルブ78における流路53の上端が接続され、ガス供給バルブ77のバイパス流路54にはガス供給管43が接続されている。洗浄液供給バルブ78の流路53の下端には、三方弁18bからなるバルブ79における流路53の上端が接続され、洗浄液供給バルブ78のバイパス流路54には、洗浄液供給管19が接続されている。バルブ79の流路53の下端には、洗浄液排出管を兼用する既述の第2の原料排出管62が接続され、バルブ79のバイパス流路54には、液体供給管41から分岐して伸びる分岐管44が接続されている。これらバルブ74、77、78の各々は、開放状態では各々の流路53及びバイパス流路54が互いに連通し、閉止状態では各々のバイパス流路54が閉止するように構成されている。また、バルブ76、79の各々は、開放状態では各々の流路53及びバイパス流路54が互いに連通し、閉止状態では下方に向かう流路53が閉止する(流路53の上端とバイパス流路54とが連通する)ように構成されている。図8は、図7における第2の液抜き機構50bを模式的に示している。尚、図7において、第2の液抜き機構50bについて図1とは左右を逆に描画している。
続いて、第3の液抜き機構50cについて説明する。第3の液抜き機構50cは、三方弁18bからなる第1の流体排出バルブ80、三方弁18bからなる排液吸入バルブ81及び二方弁18aからなる第2の流体排出バルブ82が上方側から下方側に向かってこの順番で設けられており、各々のバルブ80〜82の流路53が上下方向に伸びるように形成されている。第1の流体排出バルブ80の上端には、第1の原料排出管61が接続されており、第1の流体排出バルブ80のバイパス流路54には、第2の液抜き機構50bから下方側に向かう第2の原料排出管62が接続されている。また、排液吸入バルブ81のバイパス流路54には、バタフライバルブなどの圧力調整部55を介して排気機構である真空ポンプ56が接続されている。第2の流体排出バルブ82の下端には、排液部60が接続されている。これらバルブ80、81の各々は、開放状態では流路53及びバイパス流路54が互いに連通し、閉止状態ではバイパス流路54が閉止されるように構成されている。図10は、第3の液抜き機構50cを模式的に示している。
この第3の液抜き機構50cと既述の第1の液抜き機構50aとの間における第1の原料排出管61には、バルブVが介設されており、このバルブVよりも第3の液抜き機構50c側及び第1の液抜き機構50a側には、当該第1の原料排出管61内の液体を排出するドレイン管64、64の一端側がバルブVを介して各々接続されている。これらドレイン管64、64の他端側は、ドレインタンク65に各々接続されている。また、これらドレイン管64、64よりも第3の液抜き機構50c側における第1の原料排出管61には、当該第1の原料排出管61内の圧力を測定するための圧力検出部66が設けられている。後述するように、この圧力検出部66の検出値により、原料供給管15、洗浄液供給管19、第1の原料排出管61及び第2の原料排出管62の内部の液抜きが完了したか否か(あるいはこれら原料供給管15、洗浄液供給管19、第1の原料排出管61及び第2の原料排出管62の各々の内部が個別に液抜きされたか否か)が制御部1にて判断される。尚、以上の液体材料や洗浄液あるいは排液などの液体は、実際には例えば反応管12や気化器11の下方側(地下)などに一箇所に纏められて配置されているが、既述の図1では個別に描画している。
この縦型熱処理装置には、各バルブ18(V)の開閉動作を行うための信号を出力するバルブ開閉装置5と、このバルブ開閉装置5を含む装置全体の動作のコントロールを行うためのコンピュータからなる制御部1とが設けられている。この制御部1のメモリ内には、液体材料を気化器11において気化させて得られた処理ガスを反応管12内に供給し、ウエハWに対して成膜処理を行うための成膜プログラムと、装置(気化器11)のメンテナンスを行う場合や原料貯留部14を取り替える場合など、原料供給管15から液抜きを行うための液抜きプログラムとが格納されている。これらプログラムは、ハードディスク、コンパクトディスク、光磁気ディスク、メモリカード、フレキシブルディスクなどの記憶媒体である記憶部2から制御部1内にインストールされる。
次に、上述実施の形態の作用について説明する。先ず、反応管12内においてウエハWに対して成膜処理を行う場合について説明する。始めに、反応管12の下方側に空のウエハボート21を位置させると共に、図示しない搬送アームによって複数枚のウエハWをウエハボート21に棚状に積載する。次いで、ウエハボート21を反応管12内に気密に挿入して、真空ポンプ27によって反応管12内を真空引きして処理圧力に設定すると共に、ウエハボート21を回転させながらウエハWを加熱する。また、気化器11内において液体材料が気化するように、当該気化器11内を加熱する。
続いて、図11に示すように、ガス貯留部16から気化器11の加熱室11aに清浄ガスをキャリアガスとして供給すると共に、送液用ガスライン7から原料貯留部14内にガスを供給して、第1の液抜き機構50a及び第2の液抜き機構50bを介して、原料供給管15によって液体材料をノズル31に供給する。即ち、第1の液抜き機構50aでは、図12に示すように、バルブ71及び第1の原料供給バルブ72が開放状態に設定されると共に、第1の原料排出バルブ73が閉止状態に設定される。従って、液体材料は、図12に太線で示したように、バイパス流路54、第1の原料供給バルブ72と第1の原料排出バルブ73との間における流路53及び第1の原料供給バルブ72からバルブ71の上端までに至る流路53に満たされた状態で、当該バルブ71の上端から第2の液抜き機構50bに向かって上昇していく。尚、図12においては、各バルブ71〜73の開放状態及び閉止状態について、丸印を付して「開」または「閉」として示しており、また図11及び図12において、流体(清浄ガスや液体材料)が通流している箇所を太線で描画している。また、図11は装置を簡略化して示している。以降の各図についても同様である。
第2の液抜き機構50bでは、図13に示すように、第2の原料供給バルブ74が開放状態に設定され、洗浄流体供給バルブ75及び第2の原料排出バルブ76が閉止状態に設定される。第1の液抜き機構50aからこの第2の液抜き機構50bに供給される液体材料は、第2の原料供給バルブ74から第2の原料排出バルブ76に向かって下降して、当該第2の原料排出バルブ76のバイパス流路54及び吐出バルブVを介してノズル31に向かって液体供給管41内を通流していく。こうしてノズル31から液体材料が気化器11内に噴霧されると、気化器11の内壁面に設けられた図示しないヒータなどの熱によって液体材料が気化して、キャリアガスと共に取り出しポート32及びガスインジェクター23を介して反応管12内に通流していく。そして、ウエハWの表面に処理ガスが接触すると、処理ガスが熱分解して、例えばZrO(酸化ジルコニウム膜)からなる薄膜がウエハWの表面に成膜される。
次いで、成膜処理が終了すると、気化器11への液体材料を停止して、即ち例えば第2の原料供給バルブ74を閉じると共に、気化器11と反応管12との間のバルブVを閉じて、反応管12内を真空引きして処理ガスを排出する。続いて、図示しないパージガス供給源から反応管12内に不活性ガスを供給して、当該反応管12内を大気雰囲気に戻す。そして、ウエハボート21を下降させて、図示しない搬送アームによってウエハボート21からウエハWを搬出する。こうしてバッチ処理(ウエハボート21へのウエハWの搬入、成膜処理及びウエハボート21からのウエハWの取り出し)を例えば複数回行った後、気化器11のメンテナンスや成膜種を切り替える(原料貯留部14を他の材料に交換する)場合など、以下に説明する液体材料の液抜きが行われる。この液抜き方法について、図14のフローチャートに基づいて以下に詳述する。
<気化器の液抜き>
先ず、図15に示すように、第2の液抜き機構50b及び気化器11から液体原料を洗浄液により排出する。即ち、第2の原料供給バルブ74を閉止することにより、第2の液抜き機構50bへの液体原料の供給を停止して(ステップS1)、気化器11と反応管12との間のバルブVを閉止すると共に、VENT配管36のバルブVを開放する。また、図16に示すように、洗浄流体供給バルブ75及び洗浄液供給バルブ78を各々開放して、第2の原料排出バルブ76、ガス供給バルブ77及びバルブ79を各々閉止する。第2の液抜き機構50b内に残っていた液体原料は、洗浄液供給管19から供給される洗浄液によりノズル31側へと押し出されて、この洗浄液と共に、ガス供給管42から供給される清浄ガス(キャリアガス)により気化器11に霧化される。これら液体原料及び洗浄液の気化により生成した混合ガスは、既述のVENT配管36を介して真空ポンプ27に向かって排気されていく。
続いて、図17及び図18に示すように、洗浄液供給バルブ78を閉止してガス供給バルブ77を開放し、ガス供給管43から供給される清浄ガスにより、第2の液抜き機構50bや気化器11内に残っている洗浄液をVENT配管36を介して真空ポンプ27に排出する。そして、図19及び図20に示すように、ノズル31の近傍におけるガス供給管42のバルブV及びガス供給バルブ77を閉止して、第2の液抜き機構50b内及び気化器11内を真空引きする。この時、洗浄流体供給バルブ75については開放していても良い。次いで、この第2の液抜き機構50b及び気化器11への清浄ガスの供給(図17及び図18)と、第2の液抜き機構50b及び気化器11内の真空引き(図19及び図20)と、を例えば4回程度繰り返す。こうして気化器11の内部と当該気化器11の近傍(気化器11の第2の液抜き機構50b側及び反応管12側)とから、洗浄液(あるいは洗浄液と液体原料の混合流体)が除去される。
次いで、気化器11内の液抜きが完了したか否かを確認する。具体的には、図21及び図22に示すように、VENT配管36のバルブVを閉止すると共に、第2の原料排出バルブ76及びバルブ79を各々開放する。また、ドレイン管64、64間における第1の原料排出管61のバルブVと、第3の液抜き機構50c側におけるドレイン管64のバルブVとを閉止する。そして、第3の液抜き機構50cにおいて、図23に示すように、第1の流体排出バルブ80及び排液吸入バルブ81を各々開放して、第2の流体排出バルブ82を閉止すると、第2の液抜き機構50bから第3の液抜き機構50cに至る第2の原料排出管62の内部を介して、第2の液抜き機構50b及び気化器11の内部が真空引きされる。こうして図24に示すように、排液吸入バルブ81を閉じると共に、圧力検出部66の第1の原料排出管61側に設けられた図示しないバルブを開放し、この圧力検出部66の検出値を読み取る。この時、前記検出値が時間の経過と共に上昇していく(真空度が悪くなっていく)場合には、例えば気化器11内に洗浄液が残っていることになり、前記検出値が上昇せずに落ち着く場合には、気化器11内の液抜きが完了したことが分かる(ステップS2)。以上の工程によって気化器11内及び当該気化器11の近傍には液体原料や洗浄液が残っていないので、液体供給管41における流量調整部41aよりも第2の液抜き機構50b側のバルブ(ハンドバルブ)Vを閉じた後、例えば気化器11を取り外したとしても、反応管12内及び第2の液抜き機構50b側の気密が保たれたままとなり、また液体原料や洗浄液が外部に流出しない。
<原料供給管の液抜き>
ここで、原料供給管15には、図25に示すように、液体原料が残っているので、以下のように当該原料供給管15から液抜きを行う。先ず、供給管14aのバルブVを閉じて、次いで図26に示すように、第2の液抜き機構50bにおける第2の原料排出バルブ76を開放する。また、第3の液抜き機構50cにおいて、図27に示すように、第1の流体排出バルブ80及び第2の流体排出バルブ82を各々開放すると共に、排液吸入バルブ81を閉止状態に設定する。
次いで、図28及び図29に示すように、ガス供給管43から第2の液抜き機構50bに向かって清浄ガスを供給すると共に、第2の液抜き機構50bにおける第2の原料供給バルブ74、ガス供給バルブ77及び洗浄流体供給バルブ75を各々開放し、洗浄液供給バルブ78、バルブ79及び第2の原料排出バルブ76を各々閉止する。ガス供給バルブ77に供給された清浄ガスは、このガス供給バルブ77の下方の流路(洗浄液供給バルブ78、バルブ79及び分岐管44における吐出バルブV)が閉じられているので、当該ガス供給バルブ77の上方側の配管63を介して洗浄流体供給バルブ75の上端側に回り込み、この洗浄流体供給バルブ75を介して第2の原料供給バルブ74に向かって通流して行く。そして、第2の原料排出バルブ76及び液体供給管41における吐出バルブVが閉じられているので、また第2の原料供給バルブ74が開放されているので、第2の原料供給バルブ74に上方側から到達した清浄ガスは、この第2の原料供給バルブ74のバイパス流路54を介して原料供給管15内を下方側(第1の液抜き機構50a側)に通流して行く。従って、例えば第2の原料供給バルブ74のバイパス流路54及び原料供給管15に満たされていた液体材料は、この清浄ガスによっていわば下方側に押し戻されて行く。
そして、第1の液抜き機構50aにおいて、図30に示すように、バルブ71及び第1の原料排出バルブ73を各々開放すると共に、第1の原料供給バルブ72を閉止しておくと、既述のように原料供給管15から下降する液体材料は、清浄ガスの圧力により、これらバルブ71〜73の流路53を介して下方側の第1の原料排出管61に排出されていく。ここで、第1の原料供給バルブ72を閉止しているので、当該第1の原料供給バルブ72のバイパス流路54には、液体材料が留まり、いわば液溜まりが形成される。
第3の液抜き機構50cでは、既述のように第2の流体排出バルブ82が開放され、第1の流体排出バルブ80及び排液吸入バルブ81が各々閉止されているので、第1の液抜き機構50aから第1の原料排出管61に押し出された液体材料は、図31に示すように、これらバルブ80〜82の流路53を介して排液部60に排出される。こうして第2の液抜き機構50bと第1の液抜き機構50aとの間における原料供給管15から、重力に逆らわずに上方側から下方側に液体材料が速やかに液抜きされる。
続いて、図32に示すように、洗浄液貯留部17から第1の液抜き機構50aを介して第2の液抜き機構50bに洗浄液を供給する。即ち、この第1の液抜き機構50aでは、既述の図12と同様にバルブ71〜73の開閉状態が設定される。また、第2の液抜き機構50bでは、図33に示すように、洗浄液供給バルブ78を開放すると共にガス供給バルブ77を閉止する。洗浄液は、洗浄液供給バルブ78から上方側のガス供給バルブ77及び洗浄流体供給バルブ75を回り込んで、第2の原料供給バルブ74に到達する。そして、洗浄液は、原料供給管15を介して既述の図30及び図31に示した経路で排液部60に排出される。そのため、原料供給管15の内壁面などに液体材料が付着していたとしても、洗浄液によって当該内壁面が清浄化される。
次いで、図34に示すように、第1の液抜き機構50a及び第2の液抜き機構50bから洗浄液を排出する。即ち、洗浄液の供給を停止(供給管19aのバルブVを閉止)した後、第2の液抜き機構50bにおけるガス供給バルブ77を開放して、第2の液抜き機構50bに清浄ガスを供給する。この清浄ガスは、図35に示すように、原料供給管15及び洗浄液供給管19を下方に向かって通流するので、これら原料供給管15及び洗浄液供給管19内の洗浄液が排液部60に排出される。ここで、図36に示すように、原料供給管15の第1の液抜き機構50aにおいて、第1の原料供給バルブ72を閉止状態に保っているので、当該第1の原料供給バルブ72のバイパス流路54には、液体材料が残ったままになる。
尚、第2の原料供給バルブ74及び洗浄液供給バルブ78の一方のバルブを始めに開放し、続いて当該一方のバルブを閉止すると共に他方のバルブを開放して、これら原料供給管15及び洗浄液供給管19に対して順番に清浄ガスを供給しても良い。また、装置から液体材料の液抜きだけを行う(洗浄液については装置に残す)場合には、洗浄液供給管19については液抜きしなくても良い。従って、洗浄液供給管19に洗浄液を残したままにする場合には、以降の工程においても、当該洗浄液供給管19内の真空引きは行われずに洗浄液供給管19には洗浄液が満たされたままとなる。
その後、図37に示すように、各々の液抜き機構50内を真空引きする。具体的には、図38に示すように、第2の液抜き機構50bにおいて、バルブ74、75、76、78、バルブ79を開放して、ガス供給バルブ77を閉止する。また、図39に示すように、第3の液抜き機構50cにおいて、バルブ80、81を開放して、第2の流体排出バルブ82を閉止する。そのため、例えば原料供給管15、洗浄液供給管19及び原料排出管61、62の内部に洗浄液が僅かに残っていたとしても、排液吸入バルブ81のバイパス流路54に接続された真空ポンプ56によって、第1の液抜き機構50a及び第2の液抜き機構50bを介して、当該内部が真空状態となって洗浄液が揮発する。これら原料供給管15、洗浄液供給管19及び原料排出管61、62から洗浄液が液抜きされた(揮発した)か否かは、圧力検出部66によって検出される。即ち、圧力検出部66の第1の原料排出管61側に設けられた図示しないバルブを開放し、この圧力検出部66の検出値を読み取る。そして、例えば排液吸入バルブ81を閉止して、前記検出値が時間の経過と共に上昇していく(真空度が悪くなっていく)場合には、例えば原料供給管15内に洗浄液が残っていることになり、前記検出値が上昇せずに落ち着く場合には、洗浄液が排出されたことになる。こうして第1の液抜き機構50a、第2の液抜き機構50b及び第3の液抜き機構50cの間における原料供給管15、洗浄液供給管19及び原料排出管61、62の内部からの液抜きが完了すると共に、当該内部が清浄化される(ステップS3)。
<原料貯留部側の液抜き>
次に、第1の液抜き機構50aよりも原料貯留部14側の供給管14a内の液抜き及び清浄化を行う(ステップS4)。即ち、図40及び図41に示すように、第1の液抜き機構50aにおけるバルブ73を閉止すると共に、第1の原料供給バルブ72開放する。また、既述の図29のように、第2の液抜き機構50bの各バルブ18(74〜79)の開閉状態を設定する。そして、原料貯留部14側の供給管14aのバルブVを開放すると、第1の原料供給バルブ72のバイパス流路54に残っていた液体材料は、清浄ガスの圧力によって、当該原料貯留部14側に押し戻されて行く。
そして、供給管14aのバルブVよりも原料貯留部14側に液体材料を押し戻した後、当該バルブVを閉じる。また、第1の液抜き機構50aにおいて、バルブ71を閉止して、第1の原料排出バルブ73を開放する。次いで、図42に示すように、第1の液抜き機構50a側におけるドレイン管64のバルブVを開放して、補助貯槽17aから、供給管14a、第1の原料排出管61及びドレイン管64を介してドレインタンク65に洗浄液を供給する。この洗浄液により、第1の原料供給バルブ72のバイパス流路54内が清浄化される。そして、図43に示すように、ドレイン管64、64の2つのバルブVのうち真空ポンプ56側の一方のバルブVを開放して、他方(第1の液抜き機構50a側)のバルブVを閉じる。また、ドレイン管64、64間における第1の原料排出管61に介設されたバルブVを閉じる。こうして真空ポンプ56を介してドレインタンク65内の液面よりも上方側の領域を真空状態にした後、図44に示すように、既述の図42のように各バルブVを切り替えて、ガス貯留部16から供給管14a、第1の原料排出管61及びドレイン管64を介してドレインタンク65に清浄ガスを供給する。この清浄ガスによって、図45に示すように、例えば第1の原料供給バルブ72のバイパス流路54内の洗浄液が排出される。その後、ドレイン管64のバルブVを閉じて、第1の原料排出管61のバルブVを開放する。そして、図46に示す供給管14a、第1の液抜き機構50a、第1の原料排出管61への清浄ガスの供給と、図47に示すこれら供給管14a、第1の液抜き機構50a、第1の原料排出管61の真空引きと、を複数回繰り返すと、供給管14aの内部が清浄化される。この場合においても、第1の原料排出管61からの液抜きが完了したか否かは、圧力検出部66において検出される検出値によって判断される。
その後、図48に示すように、補助貯槽17aから供給管14a及び原料供給管15を介して第2の液抜き機構50bへ洗浄液を供給して第2の原料排出管62から洗浄液を排出する工程と、これら供給管14a、原料供給管15及び第2の原料排出管62内の真空引きを行う工程と、を複数回繰り返すことにより、以上の一連の液抜き工程が完了する。しかる後、原料供給管15や気化器11を装置から取り外し、気化器11などのメンテナンスを行ったり、あるいは別の液体材料を供給するための原料貯留部14及び気化器11が装置に取り付けられたりすることになる。尚、第2の液抜き機構50bにおいて、バルブ79や第2の原料排出バルブ76よりも吐出バルブV側に液体材料や洗浄液が残っている場合には、当該吐出バルブV側に洗浄液や清浄ガスが供給され、気化器11を介してVENT配管36に排出される。
上述の実施の形態によれば、原料貯留部14から原料供給管15によって上方側の気化器11に液体材料を供給するにあたり、原料供給管15の下方側に第1の原料排出管61を設けると共に、原料供給管15の上方側から清浄ガス及び洗浄液を下方側に向かって供給している。そして、液体材料や洗浄液の夫々供給される第2の原料供給バルブ74及び洗浄液供給バルブ78よりも上方側に、清浄ガスの供給されるガス供給バルブ77を配置している。また、各液抜き機構50a、50b、50cにおいて、液溜まりが形成されないように、あるいは液溜まりが極力少なくなるように、各バルブ18を配置している。そのため、液体材料や洗浄液が重力に逆らわずに上方側から下方側に排出されるので、液体材料が既述のように水よりも比重が大きく揮発しにくいTEMAZなどであっても、当該原料供給管15から液体材料を速やかに且つ容易に排出できる。即ち、原料供給管15に対して下方側から上方側に向かって清浄ガスを供給して液抜きを行っていた従来の手法では、液抜きに数日程度必要であったが、更には洗浄が不十分となる(洗浄度が低い)場合もあったが、本発明では、当該液抜きに要する時間を半分程度に短縮でき、また液抜きした部位の清浄度を極めて高めることができる。
そのため、装置の稼働時間(成膜時間)を長く取ることができる。また、原料供給管15を取り外した時に、液体材料が大気に接触しにくくなるので、あるいは接触しないので、ジエチルアミン((C2H5)2NH)、ジメチルアミン((CH3)2NH)などの有毒ガスの発生を抑えることができる。また、液体材料と大気中の水分との反応による反応生成物の生成を抑えることができるので、当該反応生成物による原料供給管15内の閉塞を抑制できる。従って、バルブ18の弁体52への反応生成物の付着を抑えることができ、そのためバルブ18のロングライフ化を図ることができる。また、清浄ガスの使用量も抑えることができる。
また、原料供給管15から液抜きを行った時に、第1の液抜き機構50aの液体材料が残る部位が第1の原料供給バルブ72のバイパス流路54だけとなるようにバルブ18を配置しているので、当該部位からの液抜きや清浄化を容易に且つ速やかに行うことができる。
また、気化器11のメンテナンスを行うために既述のステップS1の液抜きを行う場合、従来の手法では液抜きに十数時間程度必要であったが、本発明では数時間程度に短縮できる。
以上のように液抜き機構50を設けるにあたり、各液抜き機構50ではバルブ18を概略上下方向に配置しているので、また第1の液抜き機構50aではバイパス流路54が第1の原料排出バルブ73の下方にて開口する三方弁18bを用いているので、バルブ18を水平方向に並べる場合に比べて、液体材料の広がる(接触する)領域を少なくすることができる。そのため、液抜きや洗浄に要する時間を短縮できるし、各々の液抜き機構50のフットプリントを最小限に留めることができる。また、これら液抜き機構50のフットプリントを小さく抑えた分、既述のように圧力検出部66やフィルター10を設けるスペースを確保することができ、液抜きの終点検出や清浄ガスからの水分の除去(原料供給管15内での反応生成物の生成の抑制)を行うことができる。従って、例えば気化器11を取り外した場合、当該気化器11内部から残留液(液体原料や洗浄液)が漏れ出すことがない。また、第1の原料排出管61や第2の原料排出管62から液体材料及び洗浄液を排出するにあたって、真空ポンプ56によって直接真空引きせずに、排液部60に一度排出しているので、当該真空ポンプ56の劣化(負荷)を抑えてロングライフ化を図ることができる。
また、例えば第2の液抜き機構50bにおいて、1つのバルブ18を介して液体材料と洗浄液とが対向しないようにしている。即ち、液体材料の供給される第2の原料供給バルブ74と、洗浄液の供給される洗浄液供給バルブ78との間に、洗浄流体供給バルブ75及びガス供給バルブ77を介設している。そのため、例えば洗浄液供給バルブ78を介して洗浄液が液体材料側に僅かに漏出しても、当該洗浄液に含まれる水分と液体材料の反応を抑えることができ、バルブ18内部への反応生成物の付着を抑制できる。
更に、第2の液抜き機構50bに第2の原料排出バルブ76を設けて、第2の液抜き機構50bにおける液体材料を第3の液抜き機構50cに排出しているので、当該液体材料をノズル31を介して気化器11側に排出する場合に比べて、液抜きを速やかに行うことができる。また、既述の図45において第1の原料供給バルブ72のバイパス流路54から洗浄液を排出するにあたって、当該第1の原料供給バルブ72の上方側に近接するバルブ71を閉止しているので、原料供給管15側への洗浄液の流出を抑えることができる。更に、例えば従来の下方側から上方側に液体材料を排出するバルブ構成では、原料供給管15内や洗浄液供給管19内に残る洗浄液を揮発させるために、これら供給管15、19の外側にヒータを巻回している場合もあったが、本発明ではこのようなヒータを設けずに省スペース化を図ることもできる。
ここで、図49は、配管やバルブが従来の接続構成の場合(原料供給管15に対して下方側から清浄ガスを供給する構成)と、本発明の構成とにおいて、実際に気化器11において気化した液体材料を用いて反応管12で各ウエハWに対して成膜処理を行った時に、反応管12内の上部、中部及び下部の各々のウエハWに付着するパーティクルの数量について測定した結果を示している。図49から、パーティクルの数量は、本発明では従来とほぼ同程度となっていた。即ち、原料供給装置13を設けても、ウエハWの特性には悪影響が見られないことが分かった。尚、図49において、従来及び本発明について同じプロセス条件に設定しており、詳細なプロセス条件は省略する。
また、従来の構成におけるバルブと、本発明の構成におけるバルブと、を夫々の構成において長期間に亘って使用したところ、従来の構成では弁体(ダイヤフラム)の表面に白色の付着物が見られており、この付着物はZr−O系の化合物であった。一方、本発明の構成では、ダイヤフラム表面には付着物はほとんど見られなかった。図50は、本発明と従来例とにおいて使用後のダイヤフラムを実際に撮像した写真を示しており、本発明の写真には各バルブの名称を併記している。また、図50において、従来例では左側の写真は液体原料に触れるバルブのダイヤフラムであり、この左側のバルブから右側に向かって当該バルブから洗浄液の通流する流路側に離間したバルブのダイヤフラムを示している。従来例では、いずれのダイヤフラムにおいても白色の付着物が確認されたが、本発明ではいずれのバルブにおいても付着物がほとんど見られなかった。
ここで、原料供給管15内から液体材料を清浄ガスにより排出したが、洗浄液によって排出しても良い。即ち、成膜処理を停止した後、原料供給管15に対して上方側から洗浄液を供給しても良い。この場合には、液体材料を洗浄液によって排出した後、清浄ガスによって洗浄液を排出し、その後更に原料供給管15内に洗浄液を供給しても良い。また、このように液体材料を洗浄液により排出する場合には、洗浄液を原料供給管15内に供給した後、気化器11及び反応管12内の雰囲気を介して原料供給管15内を真空排気して、当該原料供給管15内に残った洗浄液を揮発させるようにしても(清浄ガスを供給しなくても)良い。
第1の液抜き機構50aでは、バイパス流路54が第1の原料排出バルブ73よりも下方にて開口する三方弁18bを用いたが、第2の液抜き機構50b及び第3の液抜き機構50cのように、側方側において開口する三方弁18bを用いても良い。また、これら第2の液抜き機構50b及び第3の液抜き機構50cの三方弁18bについて、第1の液抜き機構50aと同じ構成の三方弁18bを用いても良い。
更に、既述の例において原料供給管15内の液抜きを行うにあたり、気化器11のメンテナンスや原料貯留部14を他の材料に交換する場合について説明したが、この原料貯留部14を同じ原料の貯留された原料貯留部14に交換する(入れ替える)場合においても、原料供給管15内の液抜きが行われる。この場合には、既述のように原料供給管15内からの液体材料の液抜き及び清浄化を行った後、第1の原料供給バルブ72のバイパス流路54内の液体材料を清浄ガスによって原料貯留部14に押し戻す。続いて、図42〜図47の工程を行った後、原料貯留部14が交換される。しかる後、大気雰囲気となっている供給管14aの内部を真空ポンプ56によって真空排気する。
ここで、既述のステップS1の気化器11内の液抜きを行うにあたり、図15〜図24の手法に代えて、第2の原料供給バルブ74、洗浄液供給バルブ78及び液体供給管41における流量調整部41aよりもノズル31側のバルブVを各々閉止すると共に、ガス供給バルブ77、第2の原料排出バルブ76、第1の流体排出バルブ80及び排液吸入バルブ81を開放して、第2の原料排出管62を介して真空ポンプ56によって液体原料を清浄ガスと共に排出しても良い。
既述の例では、圧力検出部66を第1の原料排出管61に設けたが、この圧力検出部66は、第1の原料排出管61に代えて、原料供給管15及び第2の原料排出管62のいずれかに設けても良いし、あるいはこれら第1の原料排出管61、原料供給管15及び第2の原料排出管62の少なくとも1箇所に設けても良い。
また、既述の例では液体材料としてTEMAZを用いたが、他の液体材料例えばTEMAH(テトラキスエチルメチルアミノハフニウム)、Sr(THD)(ストロンチウムビステトラメチルヘプタンジオナト)などであっても良い。洗浄液としては、オクタン以外にも、ヘキサンやアルコール系の液体を用いても良い。
また、気化器11及び原料供給装置13を各々2組ずつ設けて、互いに異なる液体材料をウエハWに交互に供給して積層膜を形成しても良い。更に、以上の例では、液抜き機構50を4箇所に設けたが、少なくとも第2の液抜き機構50bを設けておけば良い。
また、既述の例においては、制御部1を介して原料供給管15内の液抜きや清浄化を行ったが、作業者がマニュアル作業でこれら液抜きや清浄化を行っても良い。この場合には、作業者は、バルブ18(V)の開閉順序の記載された作業手順書などに基づいて、操作画面や操作パネルに配置された各バルブ18(V)に対応するバルブ開閉ボタン(図示せず)を押して、既述の各ステップを進行させることになる。
W ウエハ
11 気化器
12 反応管
13 原料供給装置
14 原料貯留部
15 原料供給管
16 ガス貯留部
17 洗浄液貯留部
71〜82 バルブ
19 洗浄液供給管
50 液抜き機構

Claims (9)

  1. 貯留部内の液体原料を気化器にて気化させ、気化されたガスを半導体製造用の反応容器内に供給するための原料供給装置において、
    上端側及び下端側に夫々前記気化器及び前記貯留部が接続されると共に上下に伸びる立ち上がり管路を有する原料供給管と、
    前記立ち上がり管路の下端側から分岐して設けられた第1の原料排出管と、
    前記原料供給管内の液体原料を押し出して前記第1の原料排出管に排出するために、前記立ち上がり管路の上端側に接続された、パージ用ガス及び洗浄液の一方を供給するための洗浄流体供給管と、
    前記原料供給管における第1の原料排出管との接続部分よりも貯留部側、及び第1の原料排出管に夫々設けられた第1の原料供給バルブ、及び第1の原料排出バルブと、
    前記洗浄流体供給管に設けられた洗浄流体供給バルブと、を備え、
    液体原料を前記気化器に供給するときには、前記第1の原料供給バルブを開き、かつ第1の原料排出バルブ及び洗浄流体バルブを各々閉じた状態とし、また液体原料を排出するときには、前記第1の原料供給バルブを閉じ、かつ第1の原料排出バルブ及び洗浄流体供給バルブを各々開いた状態とするように操作されることを特徴とする原料供給装置。
  2. 上下方向に伸びる流路及び横方向に伸びる流路の合流点に設けられた三方弁からなる第2の原料供給バルブを備え、
    前記原料供給管の立ち上がり管路の上端側は、前記第2の原料供給バルブの横方向の流路に接続され、
    前記洗浄流体供給バルブは、前記上下方向に伸びる流路における前記第2の原料供給バルブよりも上方に設けられ、
    前記第2の原料供給バルブは、液体原料を前記気化器に供給するときには、前記横方向に伸びる流路と下方に伸びる流路とを連通させ、液体原料を前記立ち上がり管路を介して排出するときには、前記横方向に伸びる流路と上方に伸びる流路とを連通させ、液体原料を気化器側に排出させるときには、前記上方向に伸びる流路と下方に伸びる流路とを連通させるように操作されることを特徴とする請求項1記載の原料供給装置。
  3. 前記上下方向に伸びる流路における前記第2の原料供給バルブの下方側には、当該上下方向に伸びる流路及び横方向に伸びる流路の合流点に位置する三方弁からなる第2の原料排出バルブを設け、
    前記第2の原料排出バルブにおける横方向の流路には、気化器に向かう原料供給管が接続され、
    前記第2の原料排出バルブから下方に伸びる流路は第2の原料排出管として構成され、
    前記第2の原料排出バルブは、液体原料を前記気化器に供給するときには、前記第2の原料排出バルブから上方に伸びる流路と横方向に伸びる流路とを連通させ、液体原料を排出させるときには、前記第2の原料排出バルブから上方に伸びる流路と下方に伸びる流路とを連通させるように操作されることを特徴とする請求項2記載の原料供給装置。
  4. 上下方向に伸びる流路及び横方向に伸びる流路の合流点に設けられた三方弁からなり、配管中の液体を排出するためのガスを供給するためのガス供給バルブを備え、
    前記ガス供給バルブから上方に伸びる流路は、前記洗浄流体供給バルブの上端側に接続され、
    前記ガス供給バルブから横方向に伸びる流路は、前記ガスを供給するガス供給管が接続され、
    前記ガス供給バルブから下方に伸びる流路は、配管を洗浄するための洗浄液を供給するための洗浄液供給管が接続されていることを特徴とする請求項2または3に記載の原料供給装置。
  5. 前記ガス供給バルブから下方に伸びる流路には、当該下方側に伸びる流路及び横方向に伸びる流路の合流点に設けられた三方弁からなる洗浄液供給バルブが設けられ、
    前記洗浄液供給バルブから横方向に伸びる流路には、洗浄液供給管が接続され、
    前記洗浄液供給バルブから下方に伸びる流路には、洗浄液排出管が接続されていることを特徴とする請求項4に記載の原料供給装置。
  6. 前記第1の原料供給バルブは、上下方向に伸びる流路及び横方向に伸びる流路の合流点に設けられた三方弁からなり、
    前記第1の原料排出バルブは、前記第1の原料供給バルブよりも下方側に設けられると共に、当該第1の原料排出バルブの上端側が前記第1の原料供給バルブの下端側に接続され、
    前記貯留部は、前記第1の原料供給バルブの横方向の流路に接続され、
    前記第1の原料排出管は、前記第1の原料排出バルブの下端側に接続されていることを特徴とする請求項1ないし5のいずれか一つに記載の原料供給装置。
  7. 上下方向に伸びる流路及び横方向に伸びる流路の合流点に設けられた三方弁からなる第1の流体排出バルブと、
    この流体排出バルブの下方側に設けられ、上下方向に伸びる流路及び横方向に伸びる流路の合流点に位置する三方弁からなる排液吸入バルブと、
    この排液吸入バルブの下方側に設けられた第2の流体排出バルブと、を備え、
    前記第1の流体排出バルブの上端側には、前記第1の原料排出管が接続され、
    前記第1の流体排出バルブの側方側の流路には、前記第2の原料排出管及び前記洗浄液排出管が接続され、
    前記排液吸入バルブの側方側の流路には、排気機構が接続され、
    前記第2の流体排出バルブの下端側には、排液部が接続され、
    液体原料、洗浄液及びパージガスの少なくとも1つを含む流体を前記排気機構により吸引する時は、前記排液吸入バルブの上方に伸びる流路と横方向に伸びる流路とを連通させ、かつ第2の流体排出バルブを閉じると共に、前記第1の流体排出バルブの下方に伸びる流路と上方に伸びる流路及び横方向に伸びる流路の少なくとも一方とを連通させ、
    前記流体を前記排液部に排出する時は、前記排液吸入バルブの横方向の流路を閉じると共に、前記第2の流体排出バルブ及び前記排液吸入バルブの各々の上下方向に伸びる流路と、前記第1の流体排出バルブの下方に伸びる流路と上方に伸びる流路及び横方向に伸びる流路の少なくとも一方とを連通させることを特徴とする請求項5に記載の原料供給装置。
  8. 前記原料供給管、前記第1の原料排出管、前記第2の原料排出管及び前記洗浄液排出管の少なくとも1つの配管には、当該配管内の圧力を検出するための圧力検出部が設けられていることを特徴とする請求項7に記載の原料供給装置。
  9. 請求項1ないし8のいずれか一つに記載の原料供給装置と、
    この原料供給装置から供給される液体材料を気化するための気化器と、
    この気化器において液体材料を気化して得られた処理ガスを、内部に収納した基板に対して供給するための反応容器と、を備えたことを特徴とする成膜装置。
JP2010293207A 2010-12-28 2010-12-28 原料供給装置及び成膜装置 Active JP5573666B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2010293207A JP5573666B2 (ja) 2010-12-28 2010-12-28 原料供給装置及び成膜装置
US13/328,252 US9080238B2 (en) 2010-12-28 2011-12-16 Raw material supplying device and film forming apparatus
TW100148748A TWI506151B (zh) 2010-12-28 2011-12-27 原料供應裝置及成膜裝置
KR1020110143384A KR101463295B1 (ko) 2010-12-28 2011-12-27 원료 공급 장치 및 성막 장치
CN201110460409.8A CN102560430B (zh) 2010-12-28 2011-12-28 原料供给装置及成膜装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010293207A JP5573666B2 (ja) 2010-12-28 2010-12-28 原料供給装置及び成膜装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2014124663A Division JP5880627B2 (ja) 2014-06-17 2014-06-17 原料供給装置及び半導体製造装置

Publications (2)

Publication Number Publication Date
JP2012142380A true JP2012142380A (ja) 2012-07-26
JP5573666B2 JP5573666B2 (ja) 2014-08-20

Family

ID=46315167

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010293207A Active JP5573666B2 (ja) 2010-12-28 2010-12-28 原料供給装置及び成膜装置

Country Status (5)

Country Link
US (1) US9080238B2 (ja)
JP (1) JP5573666B2 (ja)
KR (1) KR101463295B1 (ja)
CN (1) CN102560430B (ja)
TW (1) TWI506151B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018155415A1 (ja) * 2017-02-21 2018-08-30 株式会社アルバック 成膜方法、成膜装置、素子構造体の製造方法、及び素子構造体の製造装置
WO2021054135A1 (ja) * 2019-09-19 2021-03-25 株式会社フジキン 気化供給装置

Families Citing this family (372)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5837869B2 (ja) * 2012-12-06 2015-12-24 株式会社フジキン 原料気化供給装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP6078335B2 (ja) * 2012-12-27 2017-02-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、気化システム、気化器およびプログラム
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10504758B2 (en) * 2014-02-14 2019-12-10 Taiwan Semiconductor Manufacturing Company Ltd. Nozzle having real time inspection functions
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP6560924B2 (ja) * 2015-07-29 2019-08-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
JP6487574B2 (ja) * 2015-12-18 2019-03-20 株式会社Kokusai Electric 貯留装置、気化器、基板処理装置および半導体装置の製造方法
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6695701B2 (ja) * 2016-02-03 2020-05-20 株式会社Screenホールディングス 処理液気化装置と基板処理装置
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102326377B1 (ko) * 2016-06-07 2021-11-15 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
KR102506307B1 (ko) * 2016-06-29 2023-03-07 (주)지오엘리먼트 케미컬 회수 시스템
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR20180027780A (ko) * 2016-09-07 2018-03-15 주성엔지니어링(주) 기화기
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102464636B1 (ko) 2018-02-07 2022-11-09 삼성전자주식회사 세정 조성물, 세정 장치, 및 그를 이용한 반도체 소자의 제조 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220058632A (ko) 2019-09-24 2022-05-09 도쿄엘렉트론가부시키가이샤 원료 공급 장치 및 원료 공급 방법
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7365946B2 (ja) * 2020-03-18 2023-10-20 東京エレクトロン株式会社 基板処理装置及びクリーニング方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002336677A (ja) * 2001-03-08 2002-11-26 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 洗浄機能を有する原料液供給装置
JP2005129782A (ja) * 2003-10-24 2005-05-19 Hitachi Kokusai Electric Inc 基板処理装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10135154A (ja) * 1996-11-05 1998-05-22 Fujitsu Ltd 薄膜気相成長方法
JP3997338B2 (ja) 1997-02-14 2007-10-24 忠弘 大見 流体制御装置
JPH11229149A (ja) * 1998-02-18 1999-08-24 Nissin Electric Co Ltd 液体原料気化成膜装置と液体原料気化成膜方法
JP2000271471A (ja) * 1999-03-24 2000-10-03 Nippon M K S Kk 液体ソース供給システム及びその洗浄方法、気化器
KR100649852B1 (ko) * 1999-09-09 2006-11-24 동경 엘렉트론 주식회사 기화기 및 이것을 이용한 반도체 제조 시스템
JP3907955B2 (ja) * 2001-02-14 2007-04-18 株式会社ルネサステクノロジ 半導体の製造方法及び半導体の製造装置
US7487806B2 (en) * 2001-11-15 2009-02-10 L'air Liquide, Societe Anonyme A Directorie Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Source liquid supply apparatus having a cleaning function
JP4626956B2 (ja) * 2004-10-18 2011-02-09 東京エレクトロン株式会社 半導体製造装置、液量監視装置、半導体製造装置の液体材料監視方法、及び、液量監視方法
JP4256884B2 (ja) * 2006-06-23 2009-04-22 東京エレクトロン株式会社 気化器への原料液供給ユニット
JP5200551B2 (ja) * 2008-01-18 2013-06-05 東京エレクトロン株式会社 気化原料供給装置、成膜装置及び気化原料供給方法
JP2010040845A (ja) * 2008-08-06 2010-02-18 Hitachi Kokusai Electric Inc 基板処理装置
US8544500B2 (en) * 2010-05-18 2013-10-01 Ckd Corporation Coupling apparatus for chemical fluid flow channel

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002336677A (ja) * 2001-03-08 2002-11-26 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 洗浄機能を有する原料液供給装置
JP2005129782A (ja) * 2003-10-24 2005-05-19 Hitachi Kokusai Electric Inc 基板処理装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018155415A1 (ja) * 2017-02-21 2018-08-30 株式会社アルバック 成膜方法、成膜装置、素子構造体の製造方法、及び素子構造体の製造装置
CN110268091A (zh) * 2017-02-21 2019-09-20 株式会社爱发科 成膜方法、成膜装置、元件结构体的制造方法及元件结构体的制造装置
JPWO2018155415A1 (ja) * 2017-02-21 2019-11-07 株式会社アルバック 成膜方法、成膜装置、素子構造体の製造方法、及び素子構造体の製造装置
CN110268091B (zh) * 2017-02-21 2021-10-12 株式会社爱发科 成膜方法、成膜装置、元件结构体的制造方法及元件结构体的制造装置
WO2021054135A1 (ja) * 2019-09-19 2021-03-25 株式会社フジキン 気化供給装置
CN114269966A (zh) * 2019-09-19 2022-04-01 株式会社富士金 气化供给装置

Also Published As

Publication number Publication date
JP5573666B2 (ja) 2014-08-20
CN102560430A (zh) 2012-07-11
CN102560430B (zh) 2015-01-28
US20120160172A1 (en) 2012-06-28
TWI506151B (zh) 2015-11-01
TW201247911A (en) 2012-12-01
KR20120075420A (ko) 2012-07-06
KR101463295B1 (ko) 2014-11-18
US9080238B2 (en) 2015-07-14

Similar Documents

Publication Publication Date Title
JP5573666B2 (ja) 原料供給装置及び成膜装置
TWI610395B (zh) 支持體構造、處理容器構造及處理設備
JP5720406B2 (ja) ガス供給装置、熱処理装置、ガス供給方法及び熱処理方法
KR101403982B1 (ko) 배기 유닛, 기판 처리 장치 및 반도체 장치의 제조 방법
KR101060633B1 (ko) 반도체 디바이스의 제조 방법 및 기판 처리 장치
JP5820731B2 (ja) 基板処理装置および固体原料補充方法
US20080168946A1 (en) Liquid supplying unit and method, facility for treating substrates with the unit, and method for treating substrates
KR101232688B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법 및 액체 유량 제어 장치의 동작 확인 방법
KR101656790B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 기록 매체
CN106098591A (zh) 衬底处理装置及半导体器件的制造方法
KR100950400B1 (ko) 기판 처리 시스템
KR102122786B1 (ko) 저류 장치, 기화기, 기판 처리 장치 및 반도체 장치의 제조 방법
TW200941620A (en) Substrate processing apparatus and method for manufacturing semiconductor device
JP5880627B2 (ja) 原料供給装置及び半導体製造装置
JP2007227471A (ja) 基板処理装置
JP5060375B2 (ja) 基板処理装置および半導体装置の製造方法
WO2024095760A1 (ja) 基板処理装置および基板処理方法
US11673075B2 (en) Degassing apparatus and substrate treating apparatus
JP5373161B2 (ja) 気化ユニットの洗浄方法
JP2017022215A (ja) 真空処理装置
KR20230020905A (ko) 기판 수증기 처리 방법 및 기판 수증기 처리 시스템
JP2009272355A (ja) 基板処理システム
JPH0422125A (ja) 半導体ウエハの湿式処理方法
KR20070024761A (ko) 반도체 제조 장치
JP2012049324A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130708

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140311

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140512

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140603

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140616

R150 Certificate of patent or registration of utility model

Ref document number: 5573666

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250