CN102560430B - 原料供给装置及成膜装置 - Google Patents

原料供给装置及成膜装置 Download PDF

Info

Publication number
CN102560430B
CN102560430B CN201110460409.8A CN201110460409A CN102560430B CN 102560430 B CN102560430 B CN 102560430B CN 201110460409 A CN201110460409 A CN 201110460409A CN 102560430 B CN102560430 B CN 102560430B
Authority
CN
China
Prior art keywords
raw material
valve
mentioned
stream
pipe
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201110460409.8A
Other languages
English (en)
Other versions
CN102560430A (zh
Inventor
和村有
古屋治彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102560430A publication Critical patent/CN102560430A/zh
Application granted granted Critical
Publication of CN102560430B publication Critical patent/CN102560430B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • B08B9/02Cleaning pipes or tubes or systems of pipes or tubes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4238With cleaner, lubrication added to fluid or liquid sealing at valve interface
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4238With cleaner, lubrication added to fluid or liquid sealing at valve interface
    • Y10T137/4245Cleaning or steam sterilizing
    • Y10T137/4259With separate material addition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Abstract

本发明提供原料供给装置及成膜装置。该原料供给装置用于利用气化器使储存部内的液体原料气化并将气化而成的气体供给到半导体制造用的反应容器内,该原料供给装置包括原料供给管、第1原料排出管、清洗流体供给管、第1原料供给阀及第1原料排出阀、清洗流体供给阀,该原料供给装置以如下方式进行操作,即,在将液体原料供给到上述气化器时,使上述第1原料供给阀为打开的状态、且使第1原料排出阀及清洗流体阀分别为关闭的状态,另外,在排出液体原料时,使上述第1原料供给阀为关闭的状态、且使第1原料排出阀及清洗流体供给阀分别为打开的状态。

Description

原料供给装置及成膜装置
技术领域
本发明涉及用于供给液体材料的原料供给装置及包括该原料供给装置的成膜装置。
背景技术
作为在半导体制造装置中进行的成膜处理所使用的处理气体,有时使用利用气化器将金属有机化合物等液体原料气化而成的气体。作为成膜工艺,可列举出使用作为液体原料的例如TEMAZ(四(乙基甲基氨基)锆:Tetrakis(ethylmethylamino)zirconium)、TEMAH(四(乙基甲基氨基)铪:Tetrakis[ethylmethylamido]hafnium)、Sr(THD)2(双(四甲基庚二酮酸)锶:Strontiumbis-Tetramethylheptanedionate)等在半导体晶圆(以下,称为“晶圆”)上形成高介电常数膜等的情况等。在该装置中,为了尽可能减小装置的占有面积,对用于进行成膜处理的反应容器(反应管)内进行真空排气的真空泵、作为液体材料的储存部的原料罐例如被设在气化器、反应管的下方侧(作业者进行作业的高度位置或者地下)。并且,利用具有原料供给管及阀等的原料供给装置将液体材料从上述液体罐朝向上方侧供给到气化器中。
在此,在进行气化器的维护时、更换液体罐时等,有时将气化器、液体罐从装置卸下。此时,如果液体材料与大气接触,则有可能产生二乙胺((C2H5)2NH)、二甲胺((CH3)2NH)气体等有毒气体、或者因大气中的水分与液体材料的反应而生成的生成物导致原料供给管内被堵塞。因此,在欲将气化器、液体罐从装置卸下时,预先将液体材料从原料供给管内除去。具体而言,例如将氮气(N2)等非活性气体从下方侧(液体罐侧)吹扫到原料供给管内而将液体材料克服重力地压出到上方侧,经由气化器侧的原料供给管,从设在反应管的跟前侧(靠原料供给管侧)的排放管(VENT)排出。然后,使例如辛烷(octane)等清洗液从下方侧流入原料供给管内而使原料供给管及气化器的内部清洁化,再次利用非活性气体使清洗液从上述排放管排出之后,经由气化器对原料供给管内进行抽真空而使原料供给管内干燥。
但是,上述的液体材料的比重比水等的比重大(重),因此,即使想要利用气体从下方侧向上方侧压出,液体材料也会成为下述状态、即:残留在原料供给管内而气体的气泡在该液体材料内上升,譬如说起泡状态。因此,即使提高气压也难以将液体材料从原料供给管内除去。另外,这样的液体材料的蒸气压比水等的蒸气压低,因此,即使例如在供给清洗液之前对原料供给管内进行抽真空也不易挥发。并且,原料供给管的内部为不敞开的状态,因此,不能直接确认是否将液体材料从原料供给管内完全除去。
如果在将清洗液供给到原料供给管内时在原料供给管内残留有液体材料,则有时该清洗液所略微含有的水分会与液体材料反应,反应生成物附着(固着)于例如设于原料供给管的阀的阀芯(diaphragm)的表面。在此情况下,需要频繁地更换阀芯、阀,因此,装置的运转成本增加。
因此,在将气化器、液体罐从装置卸下时,即使残留在原料供给管内的液体材料的量仅为数十cc左右,也因为要确保除液时间(气体的供给时间)比用于排出液体材料的时间长,因此也会在例如数日期间内持续流动气体,以除去原料供给管内的液体材料。因而,由于原料供给管内的除液所需要的时间,装置的运转时间(进行成膜时间)会相应地变短,氮气的费用也增加。并且,即使这样在长时间内将气体供给到原料供给管内,有时液体材料也仍然会残留在原料供给管内。另外,对于清洗液,也同样利用气体从下方侧朝向上方侧压出,因此,难以从原料供给管内排出。另外,若利用气体从上方侧(气化器侧)经由原料供给管将液体材料压回到液体罐中,则有可能使液体罐内的液体材料因经过原料供给管的内壁面而被污染。
与此相关的以往技术记载有以液体从上方侧朝向下方侧流动的方式配置原料供给管、阀的技术,但是,未提及上述的问题。
发明内容
本发明的原料供给装置是用于利用气化器使储存部内的液体原料气化并将气化而成的气体供给到半导体制造用的反应容器内的原料供给装置,其特征在于,
该原料供给装置包括:
原料供给管,其具有上升管路,该上升管路的上端侧与上述气化器连接,该上升管路的下端侧与上述储存部连接,并且,该上升管路在上下方向上延伸;
第1原料排出管,其被设为自上述上升管路的下端侧分支出来;
清洗流体供给管,其与上述上升管路的上端侧连接,用于供给吹扫用气体及清洗液中的一者,以将上述原料供给管内的液体原料压出而排出到上述第1原料排出管中;
第1原料供给阀及第1原料排出阀,该第1原料供给阀设于上述原料供给管的比该原料供给管与第1原料排出管之间的连接部分靠储存部侧处,该第1原料排出阀设于第1原料排出管;
清洗流体供给阀,其设于上述清洗流体供给管,
该原料供给装置以下述方式进行操作,即:在将液体原料供给到上述气化器中时,使上述第1原料供给阀为打开的状态、且使第1原料排出阀及清洗流体阀分别为关闭的状态,并且,在将液体原料排出时,使上述第1原料供给阀为关闭的状态、且使第1原料排出阀及清洗流体供给阀分别为打开的状态。
本发明的成膜装置的特征在于,包括:上述的原料供给装置;用于使从该原料供给装置被供给来的液体材料气化的气化器;用于对基板供给处理气体的反应容器,该处理气体是利用该气化器使液体材料气化而得到的,该基板被收容在反应容器的内部。
附图说明
图1是表示包括本发明的原料供给装置的立式热处理装置的一例的纵剖视图。
图2是表示上述原料供给装置的第1除液机构的纵剖视图。
图3是示意性地表示上述第1除液机构的示意图。
图4是示意性地表示上述原料供给装置所使用的三通阀的剖视图。
图5是表示上述三通阀的流路被阻断的状态的示意图。
图6是表示上述三通阀的流路被导通的状态的示意图。
图7是表示上述原料供给装置的第2除液机构的纵剖视图。
图8是示意性地表示上述第2除液机构的示意图。
图9是表示上述原料供给装置的第3除液机构的纵剖视图。
图10是示意性地表示上述第3除液机构的示意图。
图11是表示上述原料供给装置的作用的示意图。
图12是表示上述原料供给装置的作用的示意图。
图13是表示上述原料供给装置的作用的示意图。
图14是表示上述原料供给装置的除液工序的流程图。
图15是表示上述原料供给装置的作用的示意图。
图16是表示上述原料供给装置的作用的示意图。
图17是表示上述原料供给装置的作用的示意图。
图18是表示上述原料供给装置的作用的示意图。
图19是表示上述原料供给装置的作用的示意图。
图20是表示上述原料供给装置的作用的示意图。
图21是表示上述原料供给装置的作用的示意图。
图22是表示上述原料供给装置的作用的示意图。
图23是表示上述原料供给装置的作用的示意图。
图24是表示上述原料供给装置的作用的示意图。
图25是表示上述原料供给装置的作用的示意图。
图26是表示上述原料供给装置的作用的示意图。
图27是表示上述原料供给装置的作用的示意图。
图28是表示上述原料供给装置的作用的示意图。
图29是表示上述原料供给装置的作用的示意图。
图30是表示上述原料供给装置的作用的示意图。
图31是表示上述原料供给装置的作用的示意图。
图32是表示上述原料供给装置的作用的示意图。
图33是表示上述原料供给装置的作用的示意图。
图34是表示上述原料供给装置的作用的示意图。
图35是表示上述原料供给装置的作用的示意图。
图36是表示上述原料供给装置的作用的示意图。
图37是表示上述原料供给装置的作用的示意图。
图38是表示上述原料供给装置的作用的示意图。
图39是表示上述原料供给装置的作用的示意图。
图40是表示上述原料供给装置的作用的示意图。
图41是表示上述原料供给装置的作用的示意图。
图42是表示上述原料供给装置的作用的示意图。
图43是表示上述原料供给装置的作用的示意图。
图44是表示上述原料供给装置的作用的示意图。
图45是表示上述原料供给装置的作用的示意图。
图46是表示上述原料供给装置的作用的示意图。
图47是表示上述原料供给装置的作用的示意图。
图48是表示上述原料供给装置的作用的示意图。
图49是根据上述立式热处理装置得到的特性图。
图50A~图50G是表示在本发明和以往例中的阀的阀芯的拍摄照片。
具体实施方式
参照图1~图10,针对应用了本发明的原料供给装置的立式热处理装置的实施方式的一例进行说明。该立式热处理装置由成膜装置构成,该成膜装置包括:气化器(vaporizer)11,其用于将包括成膜种的液体材料、例如TEMAZ(四(乙基甲基氨基)锆)气化;反应管(反应容器)12,其用于将在该气化器11中利用液体材料的气化得到的处理气体向晶圆W供给而进行成膜处理。另外,利用原料供给装置13将液体材料从设置得比气化器11及反应管12靠下方的原料储存部14经由原料供给管(上升管路)15供给到气化器11中。如下所述,该原料供给装置13构成为,在进行例如气化器11的维护、原料储存部14的更换等时对原料供给管15内进行除液(除去液体材料)的情况下、能够将液体材料从原料供给管15容易且迅速地排出。
首先,简单地说明反应管12及气化器11。如图1所示,反应管12由被形成为大致圆筒形状的石英构成,下端面开口为炉口、并且在上端面的中央部形成有用于对该反应管12内的气氛气体进行真空排气的排气部12a。另外,反应管12被底板(baseplate)22支承为下端面位于距地面例如2m左右较高的高度位置,使得呈架状地装载有晶圆W的晶圆舟皿21能够从下方侧气密地插入。用于将处理气体供给到反应管12内的气体喷射器23的一端侧气密地插入反应管12的下端部的凸缘部。反应管12的外侧的整个周向上设有用于对该反应管12内的晶圆W进行加热的加热器24。从排气部12a延伸出的排气管25经由蝶形阀26等压力调整部与设于比反应管12的下方侧、例如地面靠下方(地下)的、作为真空排气装置的真空泵27连接。图1中的附图标记28是用于使晶圆舟皿21绕铅垂轴线旋转的电动机。另外,在图1中简化地图示了反应管12、气化器11。
在反应管12的侧方侧设有与该反应管12邻接的大致圆筒形状的气化器11,用于将液体材料、清洗液喷射(喷雾)到该气化器11内的喷嘴(双流体喷嘴)31被配置于该气化器11的上端面。该喷嘴31从其外方侧(上方侧)分别经由阀V与用于供给液体材料的液体供给管41、用于将清洁气体例如氮气(N2)作为液体材料的载气进行供给的气体供给管42连接。在该液体供给管41的比气化器11靠上游侧(靠原料储存部14侧)处设有流量调整部(液体质量流量控制器)41a。
气化器11的内壁面埋设有用于使被喷射到该气化器11内的液体材料气化的未图示的加热器,因而,气化器11的内部区域构成加热室11a。另外,在气化器11的侧面的下方侧形成有用于将在气化器11内利用液体材料的气化得到的处理气体取出的取出部32,从该取出部32延伸出的气体原料供给管35与上述的气体喷射器23的基端侧连接。该气体原料供给管35经由阀V与被连接于上述的排气管25的排放管36连接。在气化器11内以与喷嘴31相对的方式设有在内部埋设有未图示的加热器的大致圆筒形状的热交换部33。在图1中,附图标记34是用于将在气化器11内未气化而下落到该气化器11的底面的液滴排出到未图示的排出部的排液口,附图标记V是阀。另外,在图1中,附图标记16a是流量调整部。利用上述的气化器11、流量调整部41a、分别设于液体供给管41的靠气化器11侧的阀V及设于气体供给管42的靠气化器11侧的阀V构成了气化系统30。
接着,详细说明上述的原料供给装置13。在此,为了尽可能减小装置的占有面积,与真空泵27同样将储存有液体材料的原料储存部14设在反应管12、气化器11的下方侧、例如地下。因而,原料供给装置13如以下说明的那样构成为能够从地下朝向上方侧的气化器11进行送液。该原料储存部14设有与上述的原料供给管15的下方侧连接的供给管14a,该供给管14a的下端在比原料供给管15内的液面靠下方侧处开口。另外,为了在进行装置的维护等时容易且迅速地进行原料供给管15内的除液,在上述地下设有储存有用于供给到该原料供给管15内的上述的清洁气体(吹扫用气体)的气体储存部16及储存有用于供给到该原料供给管15内的辛烷等清洗液的清洗液储存部(供给部)17。另外,为了将清洗液供给到上述的供给管14a的内部,与原料储存部14邻接地设有储存有清洗液的辅助储槽17a。在图1中,附图标记7是用于将He(氦)气体等供给到比原料供给管15内的液面靠上方侧处而将液体材料朝向供给管14a压送的送液用气体管线,附图标记8是用于将清洗液从辅助储槽17a供给到供给管14a中的辅助清洗液供给管。另外,在图1中,附图标记9是用于将清洁气体从气体储存部16供给到供给管14a中的辅助气体供给管,在图1中,附图标记10是用于将上述清洁气体所含有的水分除去的过滤器。
原料供给装置13在多个部位、例如4个部位设有由多个阀组合而成的除液机构。如图1所示,该除液机构分别被设于原料供给管15的靠原料储存部14侧处及靠气化器11侧处、用于将清洗液从清洗液储存部17供给到气化器11中的清洗液供给管(清洗流体供给管)19的靠该清洗液储存部17侧处、从作为液体材料、清洗液的排出目的地的排液部60朝向上方侧(靠气化器11侧)延伸的第1原料排出管61。对于这些除液机构,将被设于靠原料储存部14侧处的除液机构称为“第1除液机构50a”,将被设于靠气化器11侧处的除液机构称为“第2除液机构50b”,将被设于靠排液部60侧处的除液机构称为“第3除液机构50c”。另外,被设于清洗液供给管19的除液机构是与上述第1除液机构50a相同的结构,因此,也称为“第1除液机构50a”。另外,在图1中,简略、示意性地(放大)图示了这些除液机构的阀。另外,实际上第1除液机构50a设在地面附近,因此,原料储存部14与第1除液机构50a之间的供给管14a相比从第1除液机构50a朝向第2除液机构50b延伸的原料供给管15极短,在图1中,对于该供给管14a及原料供给管15的长度尺寸也是示意性地进行表示的。
作为这些除液机构所使用的阀,设有二通换向阀18a和三通阀18b,该二通换向阀18a开闭自如地构成有在上下方向上延伸的流路53,该三通阀18b形成有在上下方向上延伸的流路53及从侧方侧与该流路53连接的其他的流路(旁路流路54)。三通阀18b构成为能够在使流路53及旁路流路54彼此连通的打开状态与使流路53的下侧的开口端及旁路流路54的开口端中的任意一者被阻断的关闭状态之间进行切换。这些二通换向阀18a及三通阀18b由按照来自下述的控制部1的指示开闭自如的电磁阀构成。
另外,各个第1除液机构50a设有两个二通换向阀18a及1个三通阀18b,第2除液机构50b设有1个二通换向阀18a及5个三通阀18b。另外,第3除液机构50c设有1个二通换向阀18a及两个三通阀18b。另外,对于除液机构以外的部分的阀,如上述那样标注“V”的附图标记来与用于构成该除液机构的阀(下述的阀71~82)相区别。
接着,详细说明各个除液机构的各阀的配置布局。首先,说明第1除液机构50a。如图2所示,在第1除液机构50a中,从上方侧朝向下方侧按以下顺序配置有由二通换向阀18a构成的阀71、由三通阀18b构成的第1原料供给阀72及由二通换向阀18a构成的第1原料排出阀73。该阀71的上端与原料供给管15的一端侧(下端侧)连接,该第1原料排出阀73的下端与第1原料排出管61连接。另外,图3示意性地表示第1除液机构50a。
如图4示意性所示,第1原料供给阀72经由该第1原料供给阀72的阀室51内形成有在大致上下方向上延伸的流路53,该流路53的上端与上述的阀71连接,该流路53的下端与第1原料排出阀73连接。另外,旁路流路54的一端侧利用阀芯52开闭自如地在该阀室51内开口,该旁路流路54的另一端侧朝向下方侧弯曲并在比第1原料排出阀73靠下方的位置与供给管14a连接。因而,在第1原料供给阀72中,在关闭状态(利用阀芯52堵住上述旁路流路54的开口端的状态)下,如图5所示,来自供给管14a的液体材料的供给停止,在打开状态下,如图6所示,液体材料被供给到阀室51内。另外,如下所述,通过使第1原料供给阀72与阀71及第1原料排出阀73开闭,进行液体材料的向气化器11侧(第2除液机构50b侧)的供给、来自气化器11的液体材料的排出。另外,该阀71的上端、第1原料排出阀73的下端及旁路流路54的下端的开口端被配置为朝向彼此相同的方向(在图2为左侧)。
该第1除液机构50a还被设于清洗液供给管19的靠清洗液储存部17侧处,阀71的上端与清洗液供给管19连接,第1原料供给阀72的旁路流路54与从清洗液储存部17延伸出的供给管19a连接。第1原料排出阀73的下端与上述的第1原料排出管61连接。
接着,参照图7及图8说明第2除液机构50b。该第2除液机构50b构成为能够从上方侧向原料供给管15供给清洁气体、从而将液体材料、清洗液排出到原料供给管15的下方侧。即,第2除液机构50b与原料供给管15、清洗液供给管19、从气体储存部16延伸出的气体供给管43连接,以使气体供给管43的开口端位于比该原料供给管15及清洗液供给管19的开口端靠上方侧处的方式配置有5个三通阀18b及1个二通换向阀18a。
具体而言,第2除液机构50b具有由三通阀18b构成的第2原料供给阀74,该第2原料供给阀74与上述的第1原料供给阀72同样地形成有在上下方向上延伸的流路53、从侧方侧与该流路53连接的旁路流路54。该第2原料供给阀74的旁路流路54与原料供给管15的另一端侧(上端侧)连接,第2原料供给阀74的流路53的上端与由二通换向阀18a构成的清洗流体供给阀75的下端连接。第2原料供给阀74的流路53的下端与由三通阀18b构成的第2原料排出阀76的流路53的上端连接。第2原料排出阀76的旁路流路54经由喷射阀V与上述的液体供给管41连接,第2原料排出阀76的流路53的下端与朝向第3除液机构50c延伸的第2原料排出管62连接。
清洗流体供给阀75的上端经由配管(清洗流体供给管)63与由三通阀18b构成的气体供给阀77的流路53的一端侧(上端侧)连接,为了使该一端侧朝向上方,上述配管63的两端分别朝向下方弯曲。气体供给阀77的流路53的另一端侧(下方侧)与由三通阀18b构成的清洗液供给阀78的流路53的上端连接,气体供给阀77的旁路流路54与气体供给管43连接。清洗液供给阀78的流路53的下端与由三通阀18b构成的阀79的流路53的上端连接,清洗液供给阀78的旁路流路54与清洗液供给管19连接。阀79的流路53的下端与兼用作清洗液排出管的上述的第2原料排出管62连接,阀79的旁路流路54与从液体供给管41分支、延伸出的分支管44连接。这些阀74、77、78分别构成为,在打开状态下各自的流路53及旁路流路54彼此连通、在关闭状态下各自的旁路流路54被阻断。另外,阀76、79构成为,在打开状态下各自的流路53及旁路流路54彼此连通、在关闭状态下朝向下方的流路53被阻断(流路53的上端与旁路流路54连通)。图8示意性地表示第2除液机构50b。
接着,参照图9说明第3除液机构50c。第3除液机构50c从上方侧朝向下方侧按照以下顺序设有由三通阀18b构成的第1流体排出阀80、由三通阀18b构成的排液吸入阀81及由二通换向阀18a构成的第2流体排出阀82,各个阀80~82的流路53以在上下方向上延伸的方式形成。第1流体排出阀80的上端与第1原料排出管61连接,第1流体排出阀80的旁路流路54与从第2除液机构50b朝向下方侧的第2原料排出管62连接。另外,排液吸入阀81的旁路流路54经由蝶形阀等压力调整部55与作为排气机构的真空泵56连接。第2流体排出阀82的下端与排液部60连接。这些阀80、81分别构成为,在打开状态下流路53及旁路流路54彼此连通、在关闭状态下旁路流路54被阻断。图10示意性地表示第3除液机构50c。
在该第3除液机构50c与上述的第1除液机构50a之间的第1原料排出管61上设有阀V,该第1原料排出管61的比该阀V靠第3除液机构50c侧处及比该阀V靠第1除液机构50a侧处分别经由阀V与用于将该第1原料排出管61内的液体排出的排液管64、64的一端侧连接。这些排液管64、64的另一端侧分别与排液罐65连接。另外,在第1原料排出管61的比这些排液管64、64靠第3除液机构50c侧处设有用于对该第1原料排出管61内的压力进行测量的压力检测部66。如下所述,利用控制部1,根据该压力检测部66的检测值来对原料供给管15、清洗液供给管19、第1原料排出管61及第2原料排出管62的内部的除液是否完了(或者这些原料供给管15、清洗液供给管19、第1原料排出管61及第2原料排出管62各自的内部是否被单独地进行了除液)进行判断。另外,以上的液体材料、清洗液或者排液等液体实际上被配置在例如反应管12、气化器11的下方侧(地下)等集中于一个部位,但是,在上述的图1中图示成彼此分开。
该立式热处理装置设有阀开闭装置5和控制部1,该阀开闭装置5用于输出信号,该信号用于进行各阀的开闭动作;该控制部1由计算机构成,该控制部1用于对包括该阀开闭装置5在内的装置整体的动作进行控制。在该控制部1的存储器内存储有成膜程序和除液程序,该成膜程序用于将通过使液体材料在气化器11中气化而得到的处理气体供给到反应管12内、对晶圆W进行成膜处理;该除液程序用于在进行装置(气化器11)的维护时、更换原料储存部14时等、对原料供给管15进行除液。这些程序从作为硬盘、光盘、光磁盘、存储卡、软盘等存储介质的存储部2被安装到控制部1内。
接着,说明上述实施方式的作用。首先,对在反应管12内对晶圆W进行成膜处理的情况进行说明。首先,使空的晶圆舟皿21位于反应管12的下方侧,并且利用未图示的输送臂将多张晶圆W呈架状地装载于晶圆舟皿21。接着,将晶圆舟皿21气密地插入到反应管12内,利用真空泵27对反应管12内进行抽真空而将反应管12内设定成处理压力,并且一边使晶圆舟皿21旋转一边加热晶圆W。另外,为了使液体材料在气化器11内气化,对该气化器11内进行加热。
接着,如图11所示,将清洁气体作为载气从气体储存部16供给到气化器11的加热室11a中,并且将气体从送液用气体管线7供给到原料储存部14内,利用原料供给管15经由第1除液机构50a及第2除液机构50b将液体材料供给到喷嘴31。即,如图12所示,在第1除液机构50a中,阀71及第1原料供给阀72被设定成打开状态,并且第1原料排出阀73被设定成关闭状态。因而,如在图12中以粗线所示,液体材料以被充满在旁路流路54、第1原料供给阀72与第1原料排出阀73之间的流路53、从第1原料供给阀72到阀71的上端为止的流路53中的状态从该阀71的上端朝向第2除液机构50b上升。另外,在图12中,对于各阀71~73的打开状态及关闭状态,通过标注圆形记号而表示“打开”或者“关闭”,另外,在图11及图12中,以粗线对流体(清洁气体、液体材料)流通的部位进行描画。另外,图11将装置简化表示。以下的各图也同样。
如图13所示,在第2除液机构50b中,第2原料供给阀74被设定成打开状态,并且清洗流体供给阀75及第2原料排出阀76被设定成关闭状态。从第1除液机构50a被供给到该第2除液机构50b的液体材料从第2原料供给阀74朝向第2原料排出阀76下降,经由该第2原料排出阀76的旁路流路54及喷射阀V朝向喷嘴31地在液体供给管41内流过。这样,液体材料从喷嘴31以喷雾的方式喷射到气化器11内时,液体材料由于设于气化器11的内壁面的未图示的加热器等的热量而气化,与载气一起经由取出部32及气体喷射器23向反应管12内流去。然后,处理气体与晶圆W的表面接触时,处理气体热分解,从而使由例如ZrO(氧化锆膜)构成的薄膜形成于晶圆W的表面。
接着,成膜处理结束时,停止液体材料的向气化器11的供给,即,例如,关闭第2原料供给阀74,并且关闭气化器11与反应管12之间的阀V,对反应管12内进行抽真空而排出处理气体。接着,从未图示的吹扫气体供给源将非活性气体供给到反应管12内,使该反应管12内恢复成大气气氛。然后,使晶圆舟皿21下降,利用未图示的输送臂将晶圆W从晶圆舟皿21输出。这样进行例如数次批量处理(晶圆W的向晶圆舟皿21的输入、成膜处理及晶圆W的从晶圆舟皿21的取出)之后,在气化器11的维护、切换成膜种(对原料储存部14更换其他的材料)时等,进行以下所说明的液体材料的除液。以下,基于图14的流程图对该除液方法进行详述。
<气化器的除液>
首先,如图15所示,利用清洗液将液体原料从第2除液机构50b及气化器11排出。即,通过关闭第2原料供给阀74,使液体原料的向第2除液机构50b的供给停止(步骤S1),关闭气化器11与反应管12之间的阀V,并且打开排放管36的阀V。另外,如图16所示,分别打开清洗流体供给阀75及清洗液供给阀78,分别关闭第2原料排出阀76、气体供给阀77及阀79。利用从清洗液供给管19供给的清洗液将残留在第2除液机构50b内的液体原料向喷嘴31侧压出,利用从气体供给管42供给的清洁气体(载气)使该液体原料和该清洗液一起在气化器11中雾化。由这些液体原料及清洗液的气化而生成的混合气体经由上述的排放管36朝向真空泵27排出。
接着,如图17及图18所示,关闭清洗液供给阀78并打开气体供给阀77,利用从气体供给管43供给的清洁气体使残留在第2除液机构50b、气化器11内的清洗液经由排放管36排出到真空泵27中。然后,如图19及图20所示,关闭气体供给管42的在喷嘴31的附近的阀V及气体供给阀77,对第2除液机构50b内及气化器11内进行抽真空。此时,也可以打开清洗流体供给阀75。接着,反复进行例如4次左右清洁气体的向该第2除液机构50b及气化器11的供给(图17及图18)、第2除液机构50b及气化器11内的抽真空(图19及图20)。这样,将清洗液(或者清洗液和液体原料的混合流体)从气化器11的内部和该气化器11的附近(气化器11的靠第2除液机构50b侧及靠反应管12侧)除去。
接着,对气化器11内的除液是否完了进行确认。具体而言,如图21及图22所示,关闭排放管36的阀V,并且分别打开第2原料排出阀76及阀79。另外,关闭第1原料排出管61的排液管64、64间的阀V和靠第3除液机构50c侧的排液管64的阀V。然后,如图23所示,在第3除液机构50c中,分别打开第1流体排出阀80及排液吸入阀81,关闭第2流体排出阀82时,经由从第2除液机构50b到第3除液机构50c的第2原料排出管62的内部对第2除液机构50b及气化器11的内部进行抽真空。这样,如图24所示,关闭排液吸入阀81,并且打开压力检测部66的设于靠第1原料排出管61侧处的未图示的阀,读取该压力检测部66的检测值。此时,在上述检测值随着时间的经过而上升(真空度变差)的情况下,可知清洗液例如在气化器11内有残留,在上述检测值不上升而稳定的情况下,可知气化器11内的除液完了(步骤S2)。利用以上的工序使气化器11内及该气化器11的附近不会残留有液体原料、清洗液,因此,关闭液体供给管41的比流量调整部41a靠第2除液机构50b侧的阀(手动阀)V之后,例如,即使将气化器11卸下,反应管12内及第2除液机构50b侧的气密性也会保持不变,并且,液体原料、清洗液不会流出到外部。
<原料供给管的除液>
在此,如图25所示,液体原料残存在原料供给管15中,因此,如下述那样从该原料供给管15进行除液。首先,关闭供给管14a的阀V,接着,如图26所示,打开第2除液机构50b的第2原料排出阀76。另外,如图27所示,在第3除液机构50c中,分别打开第1流体排出阀80及第2流体排出阀82,并且将排液吸入阀81设定成关闭状态。
接着,如图28及图29所示,从气体供给管43向第2除液机构50b供给清洁气体,并且分别打开第2除液机构50b的第2原料供给阀74、气体供给阀77及清洗流体供给阀75,分别关闭清洗液供给阀78、阀79及第2原料排出阀76。由于气体供给阀77的下方的流路(清洗液供给阀78、阀79及分支管44的喷射阀V)被阻断,因此,被供给到该气体供给阀77的清洁气体经由该气体供给阀77的上方侧的配管63蔓延到清洗流体供给阀75的上端侧,经由该清洗流体供给阀75朝向第2原料供给阀74流去。另外,第2原料排出阀76及液体供给管41的喷射阀V被关闭,并且第2原料供给阀74被打开,因此,从上方侧到达第2原料供给阀74的清洁气体经由该第2原料供给阀74的旁路流路54在原料供给管15内向下方侧(第1除液机构50a侧)流去。因而,充满在例如第2原料供给阀74的旁路流路54及原料供给管15中的液体材料被该清洁气体压回到譬如说下方侧。
另外,如图30所示,在第1除液机构50a中,分别打开阀71及第1原料排出阀73,并且关闭第1原料供给阀72时,如上所述,从原料供给管15下降的液体材料在清洁气体的压力的作用下经由这些阀71~73的流路53被排出到下方侧的第1原料排出管61中。在此,关闭了第1原料供给阀72,因此,在该第1原料供给阀72的旁路流路54中残留有液体材料,形成譬如说积液。
在第3除液机构50c中,如上所述,第2流体排出阀82被打开,并且第1流体排出阀80及排液吸入阀81分别被关闭,因此,如图31所示,从第1除液机构50a被压出到第1原料排出管61中的液体材料经由这些阀80~82的流路53被排出到排液部60中(参照图28)。这样,从位于第2除液机构50b与第1除液机构50a之间的原料供给管15顺应重力地将液体材料从上方侧迅速地排出到下方侧。
如图32所示,接着,从清洗液储存部17经由第1除液机构50a将清洗液供给到第2除液机构50b中。即,在该第1除液机构50a中,与上述的图12同样地设定阀71~73的开闭状态。另外,如图33所示,在第2除液机构50b中,打开清洗液供给阀78并关闭气体供给阀77。清洗液从清洗液供给阀78流经上方侧的气体供给阀77及清洗流体供给阀75,到达第2原料供给阀74。然后,清洗液经由原料供给管15流经上述的图30及图31所示的路径被排出到排液部60。因此,即使液体材料附着于原料供给管15的内壁面等,也能够利用清洗液使该内壁面清洁化。
接着,如图34所示,将清洗液从第1除液机构50a及第2除液机构50b排出。即,停止清洗液的供给(关闭供给管19a的阀V)之后,打开第2除液机构50b的气体供给阀77,将清洁气体供给到第2除液机构50b中。如图35所示,该清洁气体在原料供给管15及清洗液供给管19中朝向下方流通,因此,该原料供给管15及清洗液供给管19内的清洗液被排出到排液部60中。在此,如图36所示,在原料供给管15的第1除液机构50a中,将第1原料供给阀72保持成关闭状态,因此,该第1原料供给阀72的旁路流路54中仍然残留有液体材料。
另外,也可以这样进行:最初,打开第2原料供给阀74及清洗液供给阀78中的一个阀,接着,关闭该一个阀并且打开另一个阀,依次对原料供给管15及清洗液供给管19供给清洁气体。另外,在仅从装置除去液体材料(清洗液残留在装置中)的情况下,也可以不对清洗液供给管19进行除液。因而,在清洗液残留在清洗液供给管19中的状态的情况下,在以下的工序中,也不对该清洗液供给管19内进行抽真空,清洗液供给管19成为被清洗液充满的状态。
然后,如图37所示,对各个除液机构内进行抽真空。具体而言,如图38所示,在第2除液机构50b中,打开阀74、75、76、78、79,关闭气体供给阀77。另外,如图39所示,在第3除液机构50c中,打开阀80、81,关闭第2流体排出阀82。因此,例如,即使清洗液略微残留在原料供给管15、清洗液供给管19及原料排出管61、62的内部,也能利用与排液吸入阀81的旁路流路54连接的真空泵56,经由第1除液机构50a及第2除液机构50b使上述各管的内部成为真空状态,使清洗液挥发。利用压力检测部66对清洗液是否从该原料供给管15、清洗液供给管19及原料排出管61、62被除液(挥发)进行检测。即,打开压力检测部66的设置在靠第1原料排出管61侧的未图示的阀,读取该压力检测部66的检测值。然后,关闭例如排液吸入阀81,在上述检测值随着时间的经过而上升(真空度变差)的情况下,则为清洗液残留在例如原料供给管15内,在上述检测值不上升而稳定的情况下,则为清洗液已被排出。这样,从第1除液机构50a、第2除液机构50b及第3除液机构50c这三者之间的原料供给管15、清洗液供给管19及原料排出管61、62的内部的除液完了,并且该内部被清洁化(步骤S3)。
<原料储存部侧的除液>
接着,也利用第1除液机构50a来进行靠原料储存部14侧的供给管14a内的除液及清洁化(步骤S4)。即,如图40及图41所示,关闭第1除液机构50a的阀73,并且打开第1原料供给阀72。另外,如上述的图29那样设定第2除液机构50b的各阀(74~79)的开闭状态。然后,打开供给管14a的靠原料储存部14侧的阀V时,残留在第1原料供给阀72的旁路流路54中的液体材料在清洁气体的压力的作用下被压回到该原料储存部14侧。
然后,在将液体材料压回到比供给管14a的阀V靠原料储存部14侧之后,关闭该阀V。另外,在第1除液机构50a中,关闭阀71,打开第1原料排出阀73。接着,如图42所示,打开靠第1除液机构50a侧的排液管64的阀V,将清洗液从辅助储槽17a经由供给管14a、第1原料排出管61及排液管64供给到排液罐65中。利用该清洗液使第1原料供给阀72的旁路流路54内清洁化。然后,如图43所示,打开排液管64、64的两个阀V中的靠真空泵56侧的一方的阀V,关闭另一个(靠第1除液机构50a侧)的阀V。另外,将第1原料排出管61的设于排液管64、64间的阀V关闭。这样,利用真空泵56使比排液罐65内的液面靠上方侧的区域成为真空状态之后,如图44所示,如上述的图42所示那样切换各阀V,从气体储存部16经由供给管14a、第1原料排出管61及排液管64将清洁气体供给到排液罐65中。如图45所示,利用该清洁气体将例如第1原料供给阀72的旁路流路54内的清洗液排出。然后,关闭排液管64的阀V,打开第1原料排出管61的阀V。然后,多次重复进行清洁气体的向图46所示的供给管14a、第1除液机构50a、第1原料排出管61的供给、图47所示的对该供给管14a、第1除液机构50a、第1原料排出管61进行的抽真空后,供给管14a的内部被清洁化。在此情况下,也利用由压力检测部66检测到的检测值来对第1原料排出管61的除液是否完了进行判断。
然后,如图48所示,多次重复进行从辅助储槽17a经由供给管14a及原料供给管15向第2除液机构50b供给清洗液并从第2原料排出管62排出清洗液的工序、对该供给管14a、原料供给管15及第2原料排出管62内进行抽真空的工序,由此,以上的一系列的除液工序完了。然后,将原料供给管15、气化器11从装置卸下,进行气化器11等的维护,或者将用于供给其他的液体材料的原料储存部14及气化器11安装于装置。另外,在第2除液机构50b中,在比阀79、第2原料排出阀76靠喷射阀V侧残留有液体材料、清洗液的情况下,将清洗液、清洁气体供给到该喷射阀V侧,经由气化器11排出到排放管36中。
采用上述的实施方式,利用原料供给管15从原料储存部14将液体材料供给到上方侧的气化器11中时,在原料供给管15的下方侧设置第1原料排出管61,并且从原料供给管15的上方侧朝向下方侧供给清洁气体及清洗液。另外,在比用于供给液体材料的第2原料供给阀74及用于供给清洗液的清洗液供给阀78靠上方侧配置用于供给清洁气体的气体供给阀77。另外,在各除液机构50a、50b、50c中,以不形成积液或者极力减少积液的方式配置各阀。因此,液体材料、清洗液顺应重力地从上方侧被排出到下方侧,因此,即使液体材料是如上述那样比重比水的比重大且难以挥发的TEMAZ等,也能够将液体材料从该原料供给管15迅速且容易地排出。即,在从下方侧朝向上方侧供给清洁气体而对原料供给管15进行除液的以往的方法中,除液需要数天左右,而且有时清洗不充分(清洗度较低),但是,在本发明中,能够将该除液所需要的时间缩短一半左右,并且能够极大地提高进行了除液的部位的清洁度。
因此,能够使装置的运转时间(进行成膜时间)变长。另外,在卸下原料供给管15时,液体材料不易与大气接触,或者不与大气接触,因此,能够抑制二乙胺((C2H5)2NH)、二甲胺((CH3)2NH)等有毒气体的产生。另外,能够抑制因液体材料和大气中的水分反应而生成的反应生成物,因此,能够抑制因该反应生成物引起的原料供给管15内的堵塞。因而,能够抑制反应生成物向阀的阀芯52附着,因此,能够谋求增加阀的使用寿命。另外,也能够抑制清洁气体的使用量。
另外,以对从原料供给管15进行除液时、第1除液机构50a的残留有液体材料部位仅为第1原料供给阀72的旁路流路54的方式配置阀,因此,能够容易且迅速地进行对该部位的除液、清洁化。
另外,为了进行气化器11的维护而进行上述的步骤S1的除液时,在以往的方法中,除液需要十多个小时左右,但是,在本发明中,能够缩短到数小时左右。
如以上那样设置除液机构时,在各除液机构中,沿大致上下方向配置阀,并且在第1除液机构50a中,使用了旁路流路54在第1原料排出阀73的下方开口的三通阀18b,因此,与将阀沿水平方向排列的情况相比,能够减少液体材料的扩散(接触)区域。因此,能够缩短除液、清洗所需要的时间,并且能够使各个除液机构的占有面积为最小限度。另外,与将这些除液机构的占有面积抑制得较小相对应地,能够如上述那样确保用于设置压力检测部66、过滤器10的空间,从而能够进行除液的完了检测、从清洁气体除去水分(抑制反应生成物在原料供给管15内的生成)。因而,例如,卸下气化器11时,不会从该气化器11内部泄漏出残留液(液体原料、清洗液)。另外,在从第1原料排出管61及第2原料排出管62排出液体材料及清洗液时,不直接利用真空泵56进行抽真空,而是先向排液部60排出,因此,能够抑制该真空泵56的劣化(负荷)而能够谋求增加使用寿命。
另外,例如,在第2除液机构50b中,利用一个阀隔开而使液体材料和清洗液不冲突。即,在用于供给液体材料的第2原料供给阀74与用于供给清洗液的清洗液供给阀78之间设有清洗流体供给阀75及气体供给阀77。因此,例如,即使清洗液经由清洗液供给阀78略微漏出到液体材料侧,也能够抑制该清洗液所含有的水分与液体材料的反应,从而能够抑制反应生成物向阀内部附着。
另外,在第2除液机构50b中设有第2原料排出阀76,将第2除液机构50b的液体材料排出到第3除液机构50c,因此,与将该液体材料经由喷嘴31排出到气化器11侧的情况相比,能够迅速地进行除液。另外,在上述的图45中,在将清洗液从第1原料供给阀72的旁路流路54排出时,近接该第1原料供给阀72的上方侧的阀71被关闭,因此,能够抑制清洗液向原料供给管15侧流出。另外,例如,在以往的将液体材料从下方侧排出到上方侧的阀结构中,为了使残留在原料供给管15内、清洗液供给管19内的清洗液挥发,有时也在该供给管15、19的外侧卷绕加热器,但是,在本发明中,也能够不设置这样的加热器而谋求节省空间。
在此,图49表示配管、阀为以往的连接结构的情况(从下方侧对原料供给管15供给清洁气体的结构)下和在本发明的结构中对在实际上使用在气化器11气化了的液体材料在反应管12中对各晶圆W进行成膜处理时、附着于反应管12内的上部、中部及下部的各个晶圆W的微粒的数量进行测量的结果。根据图49可知:微粒的数量在本发明中和以往的情况为大致同等程度。即,可知即使设置原料供给装置13,也未发现对晶圆W的特性有不良影响。另外,在图49中,对于以往的连接结构的情况及本发明设定为相同的工艺条件,省略详细的工艺条件的说明。
图50A~图50G分别表示实际拍摄到的在本发明和以往例中的使用后的阀芯(diaphragm)照片,在本发明的照片中同时记载有各阀的名称。详细而言,图50A~图50D分别是表示本发明的清洗流体供给阀75、第2原料供给阀74、气体供给阀77及清洗液供给阀78的照片。另外,图50E~图50G分别是表示以往例的阀芯的照片,图50E是与液体原料接触的阀的阀芯,图50F及图50G分别表示从图50E的阀朝向右侧地向供清洗液流通的流路侧依次远离该图50E的阀的各阀的阀芯。对于以往的结构的阀和本发明的结构的阀的各结构,经长时间使用后,在以往的结构中,如图50E~图50G所示,在阀芯(diaphragm)的表面可以看到白色的附着物A1、A2、A3,这些附着物A1、A2、A3为Zr-O类的化合物。另一方面,在本发明的结构中,如图50A~图50D所示,在阀芯表面几乎未发现附着物。在以往例中,在所有的阀芯上都确认有白色的附着物,但是,在本发明中,在所有的阀中都几乎未发现附着物。
在此,利用清洁气体将液体材料从原料供给管15内排出,但是,也可以利用清洗液排出。即,也可以在停止成膜处理之后,从上方侧对原料供给管15供给清洗液。在此情况下,也可以在利用清洗液将液体材料排出之后,利用清洁气体将清洗液排出,然后,再将清洗液到供给原料供给管15内。另外,在这样利用清洗液将液体材料排出的情况下,也可以在将清洗液供给到原料供给管15内之后,利用气化器11及反应管12内的气氛对原料供给管15内进行真空排气,使残留在该原料供给管15内的清洗液挥发(也可以不供给清洁气体)。
在第1除液机构50a中,使用了旁路流路54在比第1原料排出阀73靠下方处开口的三通阀18b,但是,也可以如第2除液机构50b及第3除液机构50c那样,使用在侧方侧开口的三通阀18b。另外,对于该第2除液机构50b及第3除液机构50c的三通阀18b,也可以使用与第1除液机构50a相同结构的三通阀18b。
另外,在上述的例中,说明了在进行原料供给管15内的除液后、进行气化器11的维护、对原料储存部14更换其他的材料的情况,但是,在将该原料储存部14更换(替换)成储存有相同的原料的原料储存部14的情况下,也进行原料供给管15内的除液。在此情况下,如上述那样在从原料供给管15内排出液体材料及使原料供给管15内清洁化之后,利用清洁气体将第1原料供给阀72的旁路流路54内的液体材料压回到原料储存部14中。接着,在进行了图42~图47的工序之后,更换原料储存部14。然后,利用真空泵56对为大气气氛的供给管14a的内部进行真空排气。
在此,在进行上述的步骤S 1的气化器11内的除液时,也可以这样进行:代替图15~图24的方法,而分别将第2原料供给阀74、清洗液供给阀78及比液体供给管41的流量调整部41a靠喷嘴31侧的阀V关闭、并且打开气体供给阀77、第2原料排出阀76、第1流体排出阀80及排液吸入阀81,利用真空泵56经由第2原料排出管62将液体原料与清洁气体一起排出。
在上述的例中,将压力检测部66设于第1原料排出管61,但是,也可以不将该压力检测部66设于第1原料排出管61,而将该压力检测部66设于原料供给管15及第2原料排出管62中的任意一者,或者设于该第1原料排出管61、原料供给管15及第2原料排出管62中的至少1处。
另外,在上述的例中,将TEMAZ用作液体材料,但是,也可以是其他的液体材料、例如TEMAH(四(乙基甲基氨基)铪)、Sr(THD)2(双(四甲基庚二酮酸)锶)等。作为清洗液,除了辛烷以外,还可以使用乙烷(hexane)、乙醇类的液体。
另外,也可以分别设置两组气化器11及原料供给装置13、将互不相同的液体材料交替地向晶圆W供给而形成层叠膜。另外,在以上的例中,设置了4处除液机构,但是,只要至少设有第2除液机构50b即可。
另外,在上述的例子中,在控制部1的控制下进行了原料供给管15内的除液、清洁化,但是,也可以由作业者通过手动作业进行该除液、清洁化。在此情况下,作业者按照记载有阀的开闭顺序的操作步骤手册等按下被配置于操作窗口、操作面板的与各阀对应的阀开闭按钮(未图示),从而进行上述的各步骤。
在本发明中,在从存储有液体材料的存储部经由原料供给管向上方侧的气化器供给液体材料时,在原料供给管的靠存储部侧的下端侧设置排出管,并且在原料供给管的上端侧连接有用于供给吹扫用气体及清洗液中的一者的清洗流体供给管。另外,在将液体材料从原料供给管排出时,利用吹扫用气体及清洗液中的一者将液体材料从原料供给管的上端侧排出到排出管中,因此,能够顺应重力地从上方侧朝向下方侧压出液体材料,因此,能够易于从原料供给管内排出液体材料。
本申请基于在2010年12月28日提出的日本发明专利申请特愿2010-293207号的优先权。因此,要求该申请的优先权。在本申请中引用上述日本申请的全部内容作为参照文献。

Claims (9)

1.一种原料供给装置,其用于利用气化器使储存部内的液体原料气化并将气化而成的气体供给到半导体制造用的反应容器内,其特征在于,
该原料供给装置包括:
原料供给管,其具有上升管路,该上升管路的上端侧与上述气化器连接,该上升管路的下端侧与上述储存部连接,并且,该上升管路在上下方向上延伸;
第1原料排出管,其被设为自上述上升管路的下端侧分支出来;
清洗流体供给管,其与上述上升管路的上端侧连接,用于供给吹扫用气体及清洗液中的一者,以将上述原料供给管内的液体原料压出而排出到上述第1原料排出管中;
第1原料供给阀及第1原料排出阀,该第1原料供给阀设于上述原料供给管,该第1原料排出阀设于上述第1原料排出管,该第1原料供给阀在上述储存部至该第1原料排出管之间的流路上比该第1原料排出阀靠近该储存部;
清洗流体供给阀,其设于上述清洗流体供给管,
该原料供给装置以如下方式进行操作,即,在将液体原料供给到上述气化器时,使上述第1原料供给阀为打开的状态、且使第1原料排出阀及清洗流体供给阀分别为关闭的状态,另外,在排出液体原料时,使上述第1原料供给阀为关闭的状态、且使第1原料排出阀及清洗流体供给阀分别为打开的状态。
2.根据权利要求1所述的原料供给装置,其特征在于,
该原料供给装置具有第2原料供给阀,该第2原料供给阀由设于在上下方向上延伸的流路和在横向上延伸的流路的汇合点的三通阀构成;
上述原料供给管的上升管路的上端侧与上述第2原料供给阀的横向的流路连接;
上述清洗流体供给阀设于上述在上下方向上延伸的流路的比上述第2原料供给阀靠上方处;
以下述方式对上述第2原料供给阀进行操作,即,在将液体原料供给到上述气化器中时,使上述在横向上延伸的流路与向下方延伸的流路连通,在将液体原料经由上述上升管路排出时,使上述在横向上延伸的流路与向上方延伸的流路连通,在使液体原料排出到气化器侧时,使上述向上方向延伸的流路与向下方延伸的流路连通。
3.根据权利要求2所述原料供给装置,其特征在于,
在上述在上下方向上延伸的流路的上述第2原料供给阀的下方侧设置第2原料排出阀,该第2原料排出阀由位于在该上下方向上延伸的流路和在横向上延伸的流路的汇合点的三通阀构成;
上述第2原料排出阀的横向的流路与去往气化器的原料供给管连接;
从上述第2原料排出阀向下方延伸的流路构成第2原料排出管;
以下述方式对上述第2原料排出阀进行操作,即,在将液体原料供给到上述气化器中时,使从上述第2原料排出阀向上方延伸的流路与从上述第2原料排出阀沿横向延伸的流路连通,在使液体原料排出时,使从上述第2原料排出阀向上方延伸的流路与从上述第2原料排出阀向下方延伸的流路连通。
4.根据权利要求2所述的原料供给装置,其特征在于,
该原料供给装置具有气体供给阀,该气体供给阀由设于在上下方向上延伸的流路和在横向上延伸的流路的汇合点的三通阀构成,该气体供给阀用于供给气体,该气体用于将配管中的液体排出;
从上述气体供给阀向上方延伸的流路与上述清洗流体供给阀的上端侧连接;
从上述气体供给阀沿横向延伸的流路与用于供给上述气体的气体供给管连接;
从上述气体供给阀向下方延伸的流路与用于供给清洗液的清洗液供给管连接,该清洗液用于清洗配管。
5.根据权利要求4所述的原料供给装置,其特征在于,
在从上述气体供给阀向下方延伸的流路上设有清洗液供给阀,该清洗液供给阀由设于该向下方侧延伸的流路和在横向上延伸的流路的汇合点的三通阀构成;
从上述清洗液供给阀沿横向延伸的流路与清洗液供给管连接;
从上述清洗液供给阀向下方延伸的流路与清洗液排出管连接。
6.根据权利要求1所述的原料供给装置,其特征在于,
上述第1原料供给阀由设于在上下方向上延伸的流路和在横向上延伸的流路的汇合点的三通阀构成;
上述第1原料排出阀设于比上述第1原料供给阀靠下方侧处,并且该第1原料排出阀的上端侧与上述第1原料供给阀的下端侧连接;
上述储存部与上述第1原料供给阀的横向的流路连接;
上述第1原料排出管与上述第1原料排出阀的下端侧连接。
7.根据权利要求5所述的原料供给装置,其特征在于,
该原料供给装置包括:
第1流体排出阀,其由设于在上下方向上延伸的流路和在横向上延伸的流路的汇合点的三通阀构成;
排液吸入阀,其设于该流体排出阀的下方侧,由位于在上下方向上延伸的流路和在横向上延伸的流路的汇合点的三通阀构成;
第2流体排出阀,其设于该排液吸入阀的下方侧,
上述第1流体排出阀的上端侧与上述第1原料排出管连接,
上述第1流体排出阀的侧方侧的流路与上述第2原料排出管及上述清洗液排出管连接,
上述排液吸入阀的侧方侧的流路与排气机构连接,
上述第2流体排出阀的下端侧与排液部连接,
利用上述排气机构对包括液体原料、清洗液及吹扫气体中的至少1者的流体进行吸引时,使上述排液吸入阀的向上方延伸的流路与上述排液吸入阀的在横向上延伸的流路连通,且关闭第2流体排出阀,并且,使上述第1流体排出阀的向上方延伸的流路及上述第1流体排出阀的在横向上延伸的流路中的至少一条流路与上述第1流体排出阀的向下方延伸的流路连通;
在将上述流体排出到上述排液部时,将上述排液吸入阀的横向的流路阻断,并且,使上述第1流体排出阀的向上方延伸流路及上述第1流体排出阀的在横向上延伸的流路中的至少一条流路与上述第1流体排出阀的向下方延伸的流路、上述第2流体排出阀及上述排液吸入阀的各自的在上下方向上延伸的流路连通。
8.根据权利要求7所述的原料供给装置,其特征在于,
在上述原料供给管、上述第1原料排出管、上述第2原料排出管及上述清洗液排出管中的至少1条配管上设有用于对该配管内的压力进行检测的压力检测部。
9.一种成膜装置,其特征在于,
该成膜装置包括:
权利要求1所述的原料供给装置;
用于使从该原料供给装置供给来的液体材料气化的气化器;
用于对基板供给处理气体的反应容器,该处理气体是利用该气化器使液体材料气化而得到的,该基板被收容在反应容器的内部。
CN201110460409.8A 2010-12-28 2011-12-28 原料供给装置及成膜装置 Active CN102560430B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010293207A JP5573666B2 (ja) 2010-12-28 2010-12-28 原料供給装置及び成膜装置
JP2010-293207 2010-12-28

Publications (2)

Publication Number Publication Date
CN102560430A CN102560430A (zh) 2012-07-11
CN102560430B true CN102560430B (zh) 2015-01-28

Family

ID=46315167

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110460409.8A Active CN102560430B (zh) 2010-12-28 2011-12-28 原料供给装置及成膜装置

Country Status (5)

Country Link
US (1) US9080238B2 (zh)
JP (1) JP5573666B2 (zh)
KR (1) KR101463295B1 (zh)
CN (1) CN102560430B (zh)
TW (1) TWI506151B (zh)

Families Citing this family (368)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5837869B2 (ja) * 2012-12-06 2015-12-24 株式会社フジキン 原料気化供給装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP6078335B2 (ja) * 2012-12-27 2017-02-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、気化システム、気化器およびプログラム
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10504758B2 (en) * 2014-02-14 2019-12-10 Taiwan Semiconductor Manufacturing Company Ltd. Nozzle having real time inspection functions
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP6560924B2 (ja) * 2015-07-29 2019-08-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
WO2017104485A1 (ja) * 2015-12-18 2017-06-22 株式会社日立国際電気 貯留装置、気化器、基板処理装置および半導体装置の製造方法
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6695701B2 (ja) * 2016-02-03 2020-05-20 株式会社Screenホールディングス 処理液気化装置と基板処理装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6616895B2 (ja) * 2016-06-07 2019-12-04 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法並びにプログラム
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
KR102506307B1 (ko) * 2016-06-29 2023-03-07 (주)지오엘리먼트 케미컬 회수 시스템
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR20180027780A (ko) * 2016-09-07 2018-03-15 주성엔지니어링(주) 기화기
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6653408B2 (ja) * 2017-02-21 2020-02-26 株式会社アルバック 成膜方法、成膜装置、素子構造体の製造方法、及び素子構造体の製造装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102464636B1 (ko) 2018-02-07 2022-11-09 삼성전자주식회사 세정 조성물, 세정 장치, 및 그를 이용한 반도체 소자의 제조 방법
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN114269966A (zh) * 2019-09-19 2022-04-01 株式会社富士金 气化供给装置
KR20220058632A (ko) * 2019-09-24 2022-05-09 도쿄엘렉트론가부시키가이샤 원료 공급 장치 및 원료 공급 방법
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7365946B2 (ja) * 2020-03-18 2023-10-20 東京エレクトロン株式会社 基板処理装置及びクリーニング方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1585676A (zh) * 2001-11-15 2005-02-23 液体空气乔治洛德方法利用和研究的具有监督和管理委员会的有限公司 具有清洁功能的原料液供应装置
CN1993805A (zh) * 2004-10-18 2007-07-04 东京毅力科创株式会社 液量监视装置、搭载液量监视装置的半导体制造装置、以及液体材料和液量的监视方法
US7332040B1 (en) * 1999-09-09 2008-02-19 Tokyo Electron Limited Semiconductor manufacturing system having a vaporizer which efficiently vaporizes a liquid material

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10135154A (ja) * 1996-11-05 1998-05-22 Fujitsu Ltd 薄膜気相成長方法
JP3997338B2 (ja) 1997-02-14 2007-10-24 忠弘 大見 流体制御装置
JPH11229149A (ja) * 1998-02-18 1999-08-24 Nissin Electric Co Ltd 液体原料気化成膜装置と液体原料気化成膜方法
JP2000271471A (ja) * 1999-03-24 2000-10-03 Nippon M K S Kk 液体ソース供給システム及びその洗浄方法、気化器
JP3907955B2 (ja) * 2001-02-14 2007-04-18 株式会社ルネサステクノロジ 半導体の製造方法及び半導体の製造装置
JP4440505B2 (ja) * 2001-03-08 2010-03-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 洗浄機能を有する原料液供給装置
JP2005129782A (ja) * 2003-10-24 2005-05-19 Hitachi Kokusai Electric Inc 基板処理装置
JP4256884B2 (ja) * 2006-06-23 2009-04-22 東京エレクトロン株式会社 気化器への原料液供給ユニット
JP5200551B2 (ja) * 2008-01-18 2013-06-05 東京エレクトロン株式会社 気化原料供給装置、成膜装置及び気化原料供給方法
JP2010040845A (ja) * 2008-08-06 2010-02-18 Hitachi Kokusai Electric Inc 基板処理装置
US8544500B2 (en) * 2010-05-18 2013-10-01 Ckd Corporation Coupling apparatus for chemical fluid flow channel

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7332040B1 (en) * 1999-09-09 2008-02-19 Tokyo Electron Limited Semiconductor manufacturing system having a vaporizer which efficiently vaporizes a liquid material
CN1585676A (zh) * 2001-11-15 2005-02-23 液体空气乔治洛德方法利用和研究的具有监督和管理委员会的有限公司 具有清洁功能的原料液供应装置
CN1993805A (zh) * 2004-10-18 2007-07-04 东京毅力科创株式会社 液量监视装置、搭载液量监视装置的半导体制造装置、以及液体材料和液量的监视方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
JP特开2002-241942A 2002.08.28 *
JP特开平11-229149A 1999.08.24 *

Also Published As

Publication number Publication date
TW201247911A (en) 2012-12-01
JP5573666B2 (ja) 2014-08-20
KR101463295B1 (ko) 2014-11-18
CN102560430A (zh) 2012-07-11
KR20120075420A (ko) 2012-07-06
US9080238B2 (en) 2015-07-14
TWI506151B (zh) 2015-11-01
US20120160172A1 (en) 2012-06-28
JP2012142380A (ja) 2012-07-26

Similar Documents

Publication Publication Date Title
CN102560430B (zh) 原料供给装置及成膜装置
TWI515159B (zh) 用於微環境之可供滌洗氣穿透之多孔壁
US20080168946A1 (en) Liquid supplying unit and method, facility for treating substrates with the unit, and method for treating substrates
EP3093367B1 (en) Storage and delivery method
CN104073780A (zh) 成膜装置、气体供给装置以及成膜方法
KR101750647B1 (ko) 액 공급 장치 및 기판 처리 장치
KR100589053B1 (ko) 소스 공급 장치, 소스 공급 방법 및 이를 이용한 원자층증착 방법
TWI573628B (zh) A liquid automatic supply mechanism and a coating device provided with the same
US9778571B2 (en) Processing liquid supplying apparatus, processing liquid supplying method and storage medium
JP2012533082A (ja) 液滴吐出装置
JP2019192814A (ja) 処理液供給装置及び処理液供給方法
US20060280867A1 (en) Apparatus and method for depositing tungsten nitride
TWI500802B (zh) 用於沉積製程之前驅物之容器
KR101323125B1 (ko) 반도체 소자 제조용 수직형 확산로의 가스 분사 시스템
KR102204607B1 (ko) 분산수단을 포함하는 액상전구체 디개서
JP4946718B2 (ja) バッファタンク、原料ガスの供給システム及びこれを用いた成膜装置
KR20100046836A (ko) 약액 공급장치 및 방법
JP5880627B2 (ja) 原料供給装置及び半導体製造装置
KR100253271B1 (ko) 수직형 반도체 저압화학기상증착장치
KR102313828B1 (ko) 카트리지형 약액 공급 장치
KR200453135Y1 (ko) 증착물질의 유출 방지수단을 구비하는 소스가스 공급장치
JP3727146B2 (ja) 液体原料供給装置
JPH09294944A (ja) 液体原料用気化容器
CN110042367A (zh) 一种连续提供前驱体源的方法及装置
CN116892687A (zh) 液体化学品供应装置及液体化学品供应系统

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant