KR101463295B1 - 원료 공급 장치 및 성막 장치 - Google Patents

원료 공급 장치 및 성막 장치 Download PDF

Info

Publication number
KR101463295B1
KR101463295B1 KR1020110143384A KR20110143384A KR101463295B1 KR 101463295 B1 KR101463295 B1 KR 101463295B1 KR 1020110143384 A KR1020110143384 A KR 1020110143384A KR 20110143384 A KR20110143384 A KR 20110143384A KR 101463295 B1 KR101463295 B1 KR 101463295B1
Authority
KR
South Korea
Prior art keywords
raw material
valve
flow path
liquid
pipe
Prior art date
Application number
KR1020110143384A
Other languages
English (en)
Other versions
KR20120075420A (ko
Inventor
유우 와무라
하루히꼬 후루야
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20120075420A publication Critical patent/KR20120075420A/ko
Application granted granted Critical
Publication of KR101463295B1 publication Critical patent/KR101463295B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • B08B9/02Cleaning pipes or tubes or systems of pipes or tubes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4238With cleaner, lubrication added to fluid or liquid sealing at valve interface
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4238With cleaner, lubrication added to fluid or liquid sealing at valve interface
    • Y10T137/4245Cleaning or steam sterilizing
    • Y10T137/4259With separate material addition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Abstract

본 발명의 목적은, 원료 공급관을 통해 하방측으로부터 상방측에 액체 재료를 공급할 때에, 당해 원료 공급관 내로부터 액체 재료를 용이하게 배출하는 것으로, 기화기(11)에 근접하여 액 제거 기구를 설치하여, 원료 공급관(15)의 상단부를 이 액 제거 기구의 제2 원료 공급 밸브(74)에 있어서의 바이패스 유로(54)에 접속하는 동시에, 이 제2 원료 공급 밸브(74)의 상단부측으로부터 N2 가스 및 옥탄이 공급되도록 각 밸브를 배치한다. 그리고, 원료 공급관(15)의 하방측에 다른 액 제거 기구를 설치하여, 당해 액 제거 기구에 원료 저류부(14)로부터 신장되는 공급관(14a) 및 액체 재료를 배출하기 위한 제1 원료 배출관(61)을 접속하여, 원료 공급관(15) 내의 액 제거를 행하는 경우에는, 당해 원료 공급관(15) 내에 대하여 상방측으로부터 하방측을 향해 N2 가스나 옥탄을 공급한다.

Description

원료 공급 장치 및 성막 장치{RAW MATERIAL SUPPLYING DEVICE AND FILM FORMING APPARATUS}
본 발명은, 액체 재료를 공급하기 위한 원료 공급 장치 및 이 원료 공급 장치를 구비한 성막 장치에 관한 것이다.
반도체 제조 장치에 있어서의 성막 처리에 사용되는 처리 가스로서는, 금속 유기 화합물 등의 액체 원료를 기화기로 기화한 가스를 사용하는 경우가 있다. 성막 프로세스로서는, 액체 원료인 예를 들어 TEMAZ(테트라키스에틸메틸아미노지르코늄), TEMAH(테트라키스에틸메틸아미노하프늄), Sr(THD)2(스트론튬비스테트라메틸헵탄디오나토) 등을 사용하여, 반도체 웨이퍼(이하 「웨이퍼」라고 함)에 대하여 고유전율막 등을 성막하는 경우 등을 들 수 있다. 이 장치에서는, 성막 처리가 행해지는 반응 용기(반응관) 내를 진공 배기하는 진공 펌프나 액체 재료의 저류부인 원료 탱크는, 장치의 풋 프린트를 가능한 한 작게 하기 위해, 예를 들어 기화기나 반응관의 하방측(작업자가 작업하는 높이 위치 또는 지하)에 설치된다. 그리고, 원료 공급관 및 밸브 등을 구비한 원료 공급 장치에 의해서, 상방측을 향해 상기 액체 탱크로부터 기화기에 액체 재료가 공급된다.
여기서, 기화기의 메인터넌스를 행하는 경우나 액체 탱크를 바꾸는 경우 등, 기화기나 액체 탱크를 장치로부터 제거하는 경우가 있다. 이때, 액체 재료가 대기에 접촉하면, 디에틸아민((C2H5)2NH), 디메틸아민((CH3)2NH) 가스 등의 유독 가스가 발생하거나, 혹은 대기 중의 수분과 액체 재료의 반응에 의해서 생성된 생성물에 의해 원료 공급관 내가 폐색되거나 할 우려가 있다. 그로 인해, 기화기나 액체 탱크를 장치로부터 제거할 때에는, 원료 공급관 내로부터 액체 재료를 미리 제거하고 있다. 구체적으로는, 예를 들어 하방측(액체 탱크측)으로부터 질소(N2) 가스 등의 불활성 가스를 원료 공급관 내에 퍼지하여 액체 재료를 중력에 역행하여 상방측으로 압출하고, 기화기측에 있어서의 원료 공급관을 통해, 반응관의 전방측(원료 공급관측)에 설치된 VENT 배관으로부터 배출한다. 그 후, 예를 들어 옥탄 등의 세정액을 하방측으로부터 원료 공급관 내에 통류시켜 원료 공급관 및 기화기의 내부를 청정화하여, 다시 불활성 가스에 의해서 상기 VENT 배관으로부터 세정액을 배출한 후, 기화기를 통해 원료 공급관 내를 진공화하여 건조시킨다.
그러나, 상술한 액체 재료의 비중이 물 등보다도 크므로(무거우므로), 가스에 의해서 하방측으로부터 압출하려고 해도, 액체 재료가 원료 공급관 내에 머물러 당해 액체 재료 내를 가스의 기포가 상승하려고 해도, 말하자면 버블링 상태로 되게 된다. 그로 인해, 가스압을 올려도 원료 공급관 내로부터 액체 재료를 제거하기 어렵다. 또한, 이와 같은 액체 재료는 물 등보다도 증기압이 낮으므로, 예를 들어 세정액을 공급하기 전에 원료 공급관 내를 진공화해도 휘발하기 어렵다. 또한, 원료 공급관의 내부가 개방되어 있지 않으므로, 원료 공급관 내로부터 액체 재료가 전부 제거된 것을 직접 확인할 수 없다.
원료 공급관 내에 세정액을 공급할 때에 액체 재료가 남아 있으면, 당해 세정액에 약간 포함되는 수분과 액체 재료가 반응하고, 예를 들어 원료 공급관에 개재 설치된 밸브의 다이어프램(밸브체)의 표면에 반응 생성물이 부착(고착)하게 되는 경우도 있다. 이 경우에는, 다이어프램이나 밸브를 빈번하게 교환할 필요가 있기 때문에, 장치의 러닝 코스트가 높아지게 된다.
따라서, 기화기나 액체 탱크를 장치로부터 제거할 때에는, 원료 공급관 내에 남아있는 액체 재료의 양이 불과 수십cc 정도라도, 액체 재료가 배출되는 시간보다도 약간 길게 액 제거 시간(가스의 공급 시간)을 확보하기 위해, 예를 들어 수일간에 걸쳐서 가스를 계속해서 흘려 원료 공급관 내의 액체 재료를 제거하도록 하고 있다. 따라서, 원료 공급관 내의 액 제거에 필요로 하는 시간의 분만큼 장치의 가동 시간(성막 시간)이 짧아지게 되고, 질소 가스의 비용도 높아지게 된다. 또한, 이와 같이 가스를 장기간에 걸쳐서 원료 공급관 내에 공급해도, 여전히 액체 재료가 원료 공급관 내에 남게 되는 경우가 있다. 또한, 세정액에 대해서도, 마찬가지로 하방측으로부터 상방측을 향해 가스에 의해 압출하게 되므로, 원료 공급관 내로부터 배출하기 어렵다. 또한, 원료 공급관에 대하여 상방측(기화기측)으로부터 가스에 의해서 액체 재료를 액체 탱크로 복귀시키려고 하면, 원료 공급관의 내벽면을 통해 액체 탱크 내의 액체 재료가 오염되게 될 우려가 있다.
특허 문헌 1, 2에는, 상방측으로부터 하방측을 향해 액체가 흐르도록 원료 공급관이나 밸브를 배치하는 기술에 대해서 기재되어 있지만, 상술한 과제에는 언급되어 있지 않다.
일본 특허 출원 공개 제2005-129782호 공보 일본 특허 출원 공개 평10-227368호 공보
본 발명은 이러한 사정을 감안하여 이루어진 것이며, 그 목적은, 원료 공급관을 통해 하방측으로부터 상방측에 액체 재료를 공급할 때에, 당해 원료 공급관 내로부터 액체 재료를 용이하게 배출할 수 있는 원료 공급 장치 및 성막 장치를 제공하는 데 있다.
본 발명의 원료 공급 장치는,
저류부 내의 액체 원료를 기화기로 기화시키고, 기화된 가스를 반도체 제조용의 반응 용기 내에 공급하기 위한 원료 공급 장치에 있어서,
상단부측 및 하단부측에 각각 상기 기화기 및 상기 저류부가 접속되는 동시에 상하로 신장되는 상승 관로를 갖는 원료 공급관과,
상기 상승 관로의 하단부측으로부터 분기하여 설치된 제1 원료 배출관과,
상기 원료 공급관 내의 액체 원료를 압출하여 상기 제1 원료 배출관에 배출하기 위해, 상기 상승 관로의 상단부측에 접속된, 퍼지용 가스 및 세정액의 한쪽을 공급하기 위한 세정 유체 공급관과,
상기 원료 공급관에 있어서의 제1 원료 배출관과의 접속 부분보다도 저류부측 및 제1 원료 배출관에 각각 설치된 제1 원료 공급 밸브 및 제1 원료 배출 밸브와,
상기 세정 유체 공급관에 설치된 세정 유체 공급 밸브를 구비하고,
액체 원료를 상기 기화기에 공급할 때에는, 상기 제1 원료 공급 밸브를 개방하고, 또한 제1 원료 배출 밸브 및 세정 유체 공급 밸브를 각각 폐쇄한 상태로 하고, 또한 액체 원료를 배출할 때에는, 상기 제1 원료 공급 밸브를 폐쇄하고, 또한 제1 원료 배출 밸브 및 세정 유체 공급 밸브를 각각 개방한 상태로 하도록 조작되는 것을 특징으로 한다.
상기 원료 공급 장치는, 이하와 같이 구성해도 된다.
상하 방향으로 신장되는 유로 및 횡방향으로 신장되는 유로의 합류점에 설치된 3방향 밸브로 이루어지는 제2 원료 공급 밸브를 구비하고,
상기 원료 공급관의 상승 관로의 상단부측은, 상기 제2 원료 공급 밸브의 횡방향의 유로에 접속되고,
상기 세정 유체 공급 밸브는, 상기 상하 방향으로 신장되는 유로에 있어서의 상기 제2 원료 공급 밸브보다도 상방에 설치되고,
상기 제2 원료 공급 밸브는, 액체 원료를 상기 기화기에 공급할 때에는, 상기 횡방향으로 신장되는 유로와 하방으로 신장되는 유로를 연통시키고, 액체 원료를 상기 상승 관로를 통해 배출할 때에는, 상기 횡방향으로 신장되는 유로와 상방으로 신장되는 유로를 연통시키고, 액체 원료를 기화기측으로 배출시킬 때에는, 상기 상방으로 신장되는 유로와 하방으로 신장되는 유로를 연통시키도록 조작되는 구성.
상기 상하 방향으로 신장되는 유로에 있어서의 상기 제2 원료 공급 밸브의 하방측에는, 당해 상하 방향으로 신장되는 유로 및 횡방향으로 신장되는 유로의 합류점에 위치하는 3방향 밸브로 이루어지는 제2 원료 배출 밸브를 설치하고,
상기 제2 원료 배출 밸브에 있어서의 횡방향의 유로에는, 기화기를 향하는 원료 공급관이 접속되고,
상기 제2 원료 배출 밸브로부터 하방으로 신장되는 유로는 제2 원료 배출관으로 구성되고, 상기 제2 원료 배출 밸브는, 액체 원료를 상기 기화기에 공급할 때에는, 상기 제2 원료 배출 밸브로부터 상방으로 신장되는 유로와 횡방향으로 신장되는 유로를 연통시키고, 액체 원료를 배출시킬 때에는, 상기 제2 원료 배출 밸브로부터 상방으로 신장되는 유로와 하방으로 신장되는 유로를 연통시키도록 조작되는 구성.
상하 방향으로 신장되는 유로 및 횡방향으로 신장되는 유로의 합류점에 설치된 3방향 밸브로 이루어지고, 배관 중의 액체를 배출하기 위한 가스를 공급하기 위한 가스 공급 밸브를 구비하고, 상기 가스 공급 밸브로부터 상방으로 신장되는 유로는, 상기 세정 유체 공급 밸브의 상단부측에 접속되고,
상기 가스 공급 밸브로부터 횡방향으로 신장되는 유로는, 상기 가스를 공급하는 가스 공급관이 접속되고,
상기 가스 공급 밸브로부터 하방으로 신장되는 유로는, 배관을 세정하기 위한 세정액을 공급하기 위한 세정액 공급관이 접속되어 있는 구성.
상기 가스 공급 밸브로부터 하방으로 신장되는 유로에는, 당해 하방측으로 신장되는 유로 및 횡방향으로 신장되는 유로의 합류점에 설치된 3방향 밸브로 이루어지는 세정액 공급 밸브가 설치되고, 상기 세정액 공급 밸브로부터 횡방향으로 신장되는 유로에는, 세정액 공급관이 접속되고,
상기 세정액 공급 밸브로부터 하방으로 신장되는 유로에는, 세정액 배출관이 접속되어 있는 구성.
상기 제1 원료 공급 밸브는, 상하 방향으로 신장되는 유로 및 횡방향으로 신장되는 유로의 합류점에 설치된 3방향 밸브로 이루어지고,
상기 제1 원료 배출 밸브는, 상기 제1 원료 공급 밸브보다도 하방측에 설치되는 동시에, 당해 제1 원료 배출 밸브의 상단부측이 상기 제1 원료 공급 밸브의 하단부측에 접속되고,
상기 저류부는, 상기 제1 원료 공급 밸브의 횡방향의 유로에 접속되고,
상기 제1 원료 배출관은, 상기 제1 원료 배출 밸브의 하단부측에 접속되어 있는 구성.
상하 방향으로 신장되는 유로 및 횡방향으로 신장되는 유로의 합류점에 설치된 3방향 밸브로 이루어지는 제1 유체 배출 밸브와,
이 유체 배출 밸브의 하방측에 설치되고, 상하 방향으로 신장되는 유로 및 횡방향으로 신장되는 유로의 합류점에 위치하는 3방향 밸브로 이루어지는 배액(排液) 흡입 밸브와,
이 배액 흡입 밸브의 하방측에 설치된 제2 유체 배출 밸브를 구비하고,
상기 제1 유체 배출 밸브의 상단부측에는, 상기 제1 원료 배출관이 접속되고,
상기 제1 유체 배출 밸브의 측방측의 유로에는, 상기 제2 원료 배출관 및 상기 세정액 배출관이 접속되고,
상기 배액 흡입 밸브의 측방측의 유로에는, 배기 기구가 접속되고,
상기 제2 유체 배출 밸브의 하단부측에는, 배액부가 접속되고,
액체 원료, 세정액 및 퍼지 가스 중 적어도 하나를 포함하는 유체를 상기 배기 기구에 의해 흡인할 때에는, 상기 배액 흡입 밸브의 상방으로 신장되는 유로와 횡방향으로 신장되는 유로를 연통시키고, 또한 제2 유체 배출 밸브를 폐쇄하는 동시에, 상기 제1 유체 배출 밸브의 하방으로 신장되는 유로와 상방으로 신장되는 유로 및 횡방향으로 신장되는 유로 중 적어도 한쪽을 연통시키고,
상기 유체를 상기 배액부에 배출할 때에는, 상기 배액 흡입 밸브의 횡방향의 유로를 폐쇄하는 동시에, 상기 제2 유체 배출 밸브 및 상기 배액 흡입 밸브의 각각의 상하 방향으로 신장되는 유로와, 상기 제1 유체 배출 밸브의 하방으로 신장되는 유로와 상방으로 신장되는 유로 및 횡방향으로 신장되는 유로 중 적어도 한쪽을 연통시키는 구성.
상기 원료 공급관, 상기 제1 원료 배출관, 상기 제2 원료 배출관 및 상기 세정액 배출관 중 적어도 하나의 배관에는, 당해 배관 내의 압력을 검출하기 위한 압력 검출부가 설치되어 있는 구성.
본 발명의 성막 장치는, 상기 기재된 원료 공급 장치와,
이 원료 공급 장치로부터 공급되는 액체 재료를 기화하기 위한 기화기와,
이 기화기에 있어서 액체 재료를 기화하여 얻어진 처리 가스를, 내부에 수납한 기판에 대하여 공급하기 위한 반응 용기를 구비한 것을 특징으로 한다.
본 발명은, 액체 재료가 저류된 저류부로부터 원료 공급관을 통해 상방측의 기화기에 액체 재료를 공급할 때에, 저류부측에 있어서의 원료 공급관의 하단부측으로 배출관을 설치하는 동시에, 원료 공급관의 상단부측에 퍼지용 가스 및 세정액의 한쪽을 공급하는 세정 유체 공급관을 접속하고 있다. 그리고, 원료 공급관으로부터 액체 재료를 배출할 때에는, 퍼지용 가스 및 세정액의 한쪽에 의해서 원료 공급관의 상단부측으로부터 배출관에 액체 재료를 배출하고 있으므로, 중력에 역행하지 않고 상방측으로부터 하방측을 향해 액체 재료를 압출할 수 있어, 그로 인해 원료 공급관 내로부터 액체 재료를 용이하게 배출할 수 있다.
도 1은 본 발명의 원료 공급 장치를 구비한 종형 열처리 장치의 일례를 나타내는 종단면도.
도 2는 상기 원료 공급 장치에 있어서의 제1 액 제거 기구를 도시하는 종단면도.
도 3은 상기 제1 액 제거 기구를 모식적으로 도시하는 모식도.
도 4는 상기 원료 공급 장치에 사용되는 3방향 밸브를 모식적으로 도시하는 단면도.
도 5는 상기 3방향 밸브의 유로가 폐지된 모습을 도시하는 모식도.
도 6은 상기 3방향 밸브의 유로가 개방된 모습을 도시하는 모식도.
도 7은 상기 원료 공급 장치에 있어서의 제2 액 제거 기구를 도시하는 종단면도.
도 8은 상기 제2 액 제거 기구를 모식적으로 도시하는 모식도.
도 9는 상기 원료 공급 장치에 있어서의 제3 액 제거 기구를 도시하는 종단면도.
도 10은 상기 제3 액 제거 기구를 모식적으로 도시하는 모식도.
도 11은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 12는 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 13은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 14는 상기 원료 공급 장치에 있어서의 액 제거 공정을 나타내는 흐름도.
도 15는 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 16은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 17은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 18은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 19는 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 20은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 21은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 22는 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 23은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 24는 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 25는 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 26은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 27은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 28은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 29는 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 30은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 31은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 32는 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 33은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 34는 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 35는 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 36은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 37은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 38은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 39는 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 40은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 41은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 42는 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 43은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 44는 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 45는 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 46은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 47은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 48은 상기 원료 공급 장치에 있어서의 작용을 도시하는 모식도.
도 49는 상기 종형 열처리 장치에 있어서 얻어지는 특성도.
도 50a 내지 도 50g는 본 발명과 종래예에 있어서 밸브의 다이어프램을 나타내는 촬상 사진.
본 발명의 원료 공급 장치를 적용한 종형 열처리 장치의 실시 형태의 일례에 대해서, 도 1 내지 도 10을 참조하여 설명한다. 이 종형 열처리 장치는, 성막종을 포함하는 액체 재료 예를 들어 TEMAZ(테트라키스에틸메틸아미노지르코늄)를 기화하기 위한 기화기(베이퍼라이저)(11)와, 이 기화기(11)에 있어서 액체 재료의 기화에 의해서 얻어진 처리 가스를 웨이퍼(W)에 공급하여 성막 처리를 행하기 위한 반응관(반응 용기)(12)을 구비한 성막 장치로서 구성되어 있다. 그리고, 원료 공급 장치(13)에 의해서, 기화기(11) 및 반응관(12)보다도 하방에 설치된 원료 저류부(14)로부터, 원료 공급관(상승 관로)(15)을 통해 기화기(11)에 액체 재료를 공급하고 있다. 이 원료 공급 장치(13)는, 후술하는 바와 같이, 예를 들어 기화기(11)의 메인터넌스나 원료 저류부(14)의 교환 등을 행할 때에 있어서 원료 공급관(15) 내의 액 제거(액체 재료의 제거)를 행하는 경우에는, 원료 공급관(15)으로부터 액체 재료를 용이하게 또한 신속하게 배출할 수 있도록 구성되어 있다.
우선, 반응관(12) 및 기화기(11)에 대해서 간단하게 설명한다. 반응관(12)은, 도 1에 도시한 바와 같이, 개략 원통 형상으로 형성된 석영에 의해 구성되어 있고, 하단부면이 노구(爐口)로서 개방되는 동시에, 상단부면의 중앙부에, 당해 반응관(12) 내의 분위기를 진공 배기하기 위한 배기 포트(12a)가 형성되어 있다. 또한, 반응관(12)은, 웨이퍼(W)를 선반 형상으로 적재한 웨이퍼 보트(21)를 하방측으로부터 기밀하게 삽입할 수 있도록, 하단부면이 바닥면 레벨로부터 예를 들어 2m 정도 높은 높이 위치가 되도록 베이스 플레이트(22)에 지지되어 있다. 반응관(12)의 하단부의 플랜지부에는, 처리 가스를 반응관(12) 내에 공급하기 위한 가스 인젝터(23)의 일단부측이 기밀하게 삽입되어 있다. 반응관(12)의 외측에는, 당해 반응관(12) 내의 웨이퍼(W)를 가열하기 위한 히터(24)가 둘레 방향에 걸쳐서 설치되어 있다. 배기 포트(12a)로부터 신장되는 배기관(25)은, 버터플라이 밸브(26) 등의 압력 조정부를 통해, 반응관(12)의 하방측 예를 들어 바닥면 레벨보다도 하방(지하)에 설치된 진공 배기 장치인 진공 펌프(27)에 접속되어 있다. 도 1 중 부호 28은 웨이퍼 보트(21)를 연직축 주위로 회전시키기 위한 모터이다. 또한, 반응관(12)이나 기화기(11)에 대해서는, 도 1에서는 간략화하여 묘화하고 있다.
반응관(12)의 측방측에는, 당해 반응관(12)에 인접하여 개략 원통 형상의 기화기(베이퍼라이저)(11)가 설치되어 있고, 이 기화기(11)의 상단부면에는, 당해 기화기(11) 내에 액체 재료나 세정액을 토출(분무)하기 위한 노즐(2유체 노즐)(31)이 배치되어 있다. 이 노즐(31)에는, 액체 재료를 공급하는 액체 공급관(41)과, 청정 가스 예를 들어 질소(N2) 가스를 액체 재료의 캐리어 가스로서 공급하기 위한 가스 공급관(42)이 외측(상방측)으로부터 각각 밸브(V)를 통해 접속되어 있다. 이 액체 공급관(41)에 있어서, 기화기(11)보다도 상류측[원료 저류부(14)측]에는, 유량 조정부(리퀴드 매스플로우 컨트롤러)(41a)가 개재 설치되어 있다.
기화기(11)의 내벽면에는, 당해 기화기(11) 내로 토출되는 액체 재료를 기화시키기 위한 도시하지 않은 히터가 매설되어 있고, 따라서 기화기(11)의 내부 영역은 가열실(11a)을 이루고 있다. 또한, 기화기(11)의 측면에 있어서의 하방측에는, 기화기(11) 내에서 액체 재료의 기화에 의해서 얻어진 처리 가스를 취출하기 위한 취출 포트(32)가 형성되어 있고, 이 취출 포트(32)로부터 신장되는 기체 원료 공급관(35)에는, 상술한 가스 인젝터(23)의 기단부측이 접속되어 있다. 이 기체 원료 공급관(35)에는, 상술한 배기관(25)에 접속되는 VENT 배관(36)이 밸브(V)를 통해 접속되어 있다. 기화기(11) 내에는, 노즐(31)에 대향하도록, 내부에 도시하지 않은 히터가 매설된 개략 원통 형상의 열교환부(33)가 설치되어 있다. 도 1 중, 부호 34는 기화기(11) 내에 있어서 기화하지 않고 당해 기화기(11)의 바닥에 낙하한 액적을 도시하지 않은 배출부에 배출하기 위한 드레인구이고, V는 밸브이다. 또한, 도 1 중 부호 16a는 유량 조정부이다. 상술한 기화기(베이퍼라이저)(11)와, 액체 공급관(41) 및 가스 공급관(42)에 각각 설치된 기화기(11)측의 밸브(V)와, 유량 조정부(41a)에 의해 기화 시스템(30)이 구성되어 있다.
계속해서, 상술한 원료 공급 장치(13)에 대해서 상세하게 서술한다. 여기서, 액체 재료가 저류된 원료 저류부(14)는, 장치의 풋 프린트를 가능한 한 작게 하기 위해, 진공 펌프(27)와 마찬가지로 반응관(12)이나 기화기(11)의 하방측 예를 들어 지하에 설치되어 있다. 따라서, 원료 공급 장치(13)는, 이하에 설명한 바와 같이, 지하로부터 상방측의 기화기(11)를 향해 송액할 수 있도록 구성되어 있다. 이 원료 저류부(14)에는, 상술한 원료 공급관(15)의 하방측에 접속되는 공급관(14a)이 설치되어 있고, 이 공급관(14a)의 하단부는, 원료 공급관(15) 내의 액면보다도 하방측에서 개방되어 있다. 또한, 상기 지하에는, 장치의 메인터넌스 등을 행할 때에 있어서 원료 공급관(15) 내의 액 제거를 용이하게 또한 신속하게 행하기 위해, 당해 원료 공급관(15) 내에 공급되는 상술한 청정 가스(퍼지용 가스) 및 옥탄등의 세정액이 각각 저류된 가스 저류부(16) 및 세정액 저류부(공급부)(17)가 설치되어 있다. 그리고, 상술한 공급관(14a)의 내부에 세정액을 공급하기 위해, 세정액이 저류된 보조 저류조(17a)가 원료 저류부(14)에 인접하여 설치되어 있다. 도 1 중 부호 7은 원료 공급관(15) 내의 액면보다도 상방측에 He(헬륨) 가스 등을 공급하여, 액체 재료를 공급관(14a)을 향해 압송하기 위한 송액용 가스 라인이고, 부호 8은 보조 저류조(17a)로부터 공급관(14a)에 세정액을 공급하는 보조 세정액 공급관이다. 또한, 도 1 중 부호 9는 가스 저류부(16)로부터 공급관(14a)에 청정 가스를 공급하는 보조 가스 공급관이고, 도 1 중 부호 10은, 상기 청정 가스에 포함되는 수분을 제거하기 위한 필터이다.
원료 공급 장치(13)에는, 복수의 밸브가 조합된 액 제거 기구가 복수 개소 예를 들어 4군데에 설치되어 있다. 이 액 제거 기구는, 도 1에 도시하는 바와 같이, 원료 공급관(15)에 있어서의 원료 저류부(14)측 및 기화기(11)측과, 세정액 저류부(17)로부터 기화기(11)에 세정액을 공급하는 세정액 공급관(세정 유체 공급관)(19)에 있어서의 당해 세정액 저류부(17)측과, 액체 재료나 세정액의 배출처인 배액부(60)로부터 상방측(기화기(11)측)을 향해 신장되는 제1 원료 배출관(61)에 각각 설치되어 있다. 이들 액 제거 기구에 대해서, 원료 저류부(14)측과, 기화기(11)측과, 배액부(60)측을 각각 「제1 액 제거 기구(50a)」, 「제2 액 제거 기구(50b)」 및 「제3 액 제거 기구(50c)」라고 부르는 것으로 한다. 또한, 세정액 공급관(19)에 설치된 액 제거 기구에 대해서도, 상기 제1 액 제거 기구(50a)와 동일한 구성이므로, 「제1 액 제거 기구(50a)」라고 부르는 것으로 한다. 또한, 도 1에서는, 이들 액 제거 기구의 밸브에 대해서는 간략화하여 모식적으로(크게) 묘화하고 있다. 또한, 실제로는 제1 액 제거 기구(50a)가 바닥면 부근에 설치되어 있기 때문에, 원료 저류부(14)와 제1 액 제거 기구(50a) 사이의 공급관(14a)은, 제1 액 제거 기구(50a)로부터 제2 액 제거 기구(50b)를 향해 신장되는 원료 공급관(15)보다도 매우 짧지만, 도 1에서는 이들 공급관(14a) 및 원료 공급관(15)의 길이 치수에 대해서도 모식적으로 도시하고 있다.
이들 액 제거 기구에 사용되는 밸브로서는, 상하 방향으로 신장되는 유로(53)가 개폐 가능하게 구성된 2방향 밸브(18a)와, 상하로 신장되는 유로(53) 및 당해 유로(53)에 대하여 측방측으로부터 접속된 다른 유로[바이패스 유로(54)]가 형성된 3방향 밸브(18b)가 설치되어 있다. 3방향 밸브(18b)는, 유로(53) 및 바이패스 유로(54)를 서로 연통시키는 개방 상태와, 유로(53)의 하측의 개구 단부 및 바이패스 유로(54)의 개구 단부 중 어느 한쪽을 폐지하는 폐지 상태를 전환할 수 있도록 구성되어 있다. 이들 2방향 밸브(18a) 및 3방향 밸브(18b)는, 후술하는 제어부(1)로부터의 지시에 의해서 개폐 가능한 전자기 밸브로서 구성되어 있다.
그리고, 제1 액 제거 기구(50a)의 각각에는, 2개의 2방향 밸브(18a) 및 1개의 3방향 밸브(18b)가 설치되어 있고, 제2 액 제거 기구(50b)에는, 1개의 2방향 밸브(18a) 및 5개의 3방향 밸브(18b)가 설치되어 있다. 또한, 제3 액 제거 기구(50c)에는, 1개의 2방향 밸브(18a) 및 2개의 3방향 밸브(18b)가 설치되어 있다. 또한, 액 제거 기구 이외의 부분에 있어서의 밸브에 대해서는, 상술한 바와 같이 「V」의 번호를 부여하여 당해 액 제거 기구를 구성하는 밸브[후술하는 밸브(71 내지 82)]와 구별하고 있다.
계속해서, 각각의 액 제거 기구에 있어서의 각 밸브의 배치 레이아웃에 대해서 상세하게 서술한다. 처음에, 제1 액 제거 기구(50a)에 대해서 설명한다. 제1 액 제거 기구(50a)에서는, 도 2에 도시한 바와 같이, 상방측으로부터 하방측을 향해, 2방향 밸브(18a)로 이루어지는 밸브(71), 3방향 밸브(18b)로 이루어지는 제1 원료 공급 밸브(72) 및 2방향 밸브(18a)로 이루어지는 제1 원료 배출 밸브(73)가 이 순서로 배치되어 있다. 이들 밸브(71)의 상단부 및 제1 원료 배출 밸브(73)의 하단부에는, 원료 공급관(15)의 일단부측(하단부측) 및 제1 원료 배출관(61)이 각각 접속되어 있다. 또한, 도 3은, 제1 액 제거 기구(50a)를 모식적으로 도시하고 있다.
제1 원료 공급 밸브(72)에는, 도 4에 모식적으로 도시한 바와 같이, 당해 제1 원료 공급 밸브(72)의 밸브실(51) 내부를 통해 개략 상하 방향으로 신장되는 유로(53)가 형성되어 있고, 이 유로(53)의 상단부 및 하단부에 각각 상술한 밸브(71) 및 제1 원료 배출 밸브(73)가 접속되어 있다. 또한, 이 밸브실(51) 내에는, 바이패스 유로(54)의 일단부측이 밸브체(52)에 의해 개폐 가능하게 개방되어 있고, 이 바이패스 유로(54)의 타단부측은, 하방측을 향해 굴곡되어, 제1 원료 배출 밸브(73)보다도 하방 위치에 있어서 공급관(14a)에 접속되어 있다. 따라서, 제1 원료 공급 밸브(72)는, 폐지 상태[상기 바이패스 유로(54)의 개구 단부를 밸브체(52)에 의해 막은 상태]에서는, 도 5에 도시한 바와 같이 공급관(14a)으로부터의 액체 재료의 공급이 정지되고, 개방 상태에서는, 도 6에 도시한 바와 같이 밸브실(51) 내에 액체 재료가 공급된다. 그리고, 제1 원료 공급 밸브(72)와 함께 밸브(71) 및 제1 원료 배출 밸브(73)를 개폐시킴으로써 후술하는 바와 같이, 기화기(11)측[제2 액 제거 기구(50b)측]에의 액체 재료의 공급이나, 기화기(11)로부터의 액체 재료의 배출이 행해진다. 또한, 이들 밸브(71)의 상단부, 제1 원료 배출 밸브(73)의 하단부 및 바이패스 유로(54)의 하단부에 있어서의 개구 단부는, 서로 동일 방향(도 2에서는 좌측)을 향하도록 배치되어 있다.
이 제1 액 제거 기구(50a)는, 세정액 공급관(19)에 있어서의 세정액 저류부(17)측에도 설치되어 있고, 밸브(71)의 상단부 및 제1 원료 공급 밸브(72)의 바이패스 유로(54)에는, 각각 세정액 공급관(19) 및 세정액 저류부(17)로부터 신장되는 공급관(19a)이 접속되어 있다. 제1 원료 배출 밸브(73)의 하단부에는, 상술한 제1 원료 배출관(61)이 접속되어 있다.
계속해서, 제2 액 제거 기구(50b)에 대해서 도 7 및 도 8을 참조하여 설명한다. 이 제2 액 제거 기구(50b)는, 원료 공급관(15)에 대하여 상방측으로부터 청정 가스를 공급하여, 액체 재료나 세정액을 하방측으로 배출할 수 있도록 구성되어 있다. 즉, 제2 액 제거 기구(50b)에는, 원료 공급관(15) 및 세정액 공급관(19)과, 가스 저류부(16)로부터 신장되는 가스 공급관(43)이 접속되어 있고, 이들 원료 공급관(15) 및 세정액 공급관(19)의 개구 단부보다도 상방측에 가스 공급관(43)의 개구 단부가 위치하도록, 5개의 3방향 밸브(18b) 및 1개의 2방향 밸브(18a)가 배치되어 있다.
구체적으로는, 제2 액 제거 기구(50b)는, 상술한 제1 원료 공급 밸브(72)와 마찬가지로, 상하로 신장되는 유로(53)와, 이 유로(53)에 대하여 측방측으로부터 접속된 바이패스 유로(54)가 형성된 3방향 밸브(18b)로 이루어지는 제2 원료 공급 밸브(74)를 구비하고 있다. 이 제2 원료 공급 밸브(74)의 바이패스 유로(54)에는, 원료 공급관(15)의 타단부측(상단부측)이 접속되고, 제2 원료 공급 밸브(74)의 유로(53)의 상단부에는, 2방향 밸브(18a)로 이루어지는 세정 유체 공급 밸브(75)의 하단부가 접속되어 있다. 제2 원료 공급 밸브(74)의 유로(53)의 하단부에는, 3방향 밸브(18b)로 이루어지는 제2 원료 배출 밸브(76)에 있어서의 유로(53)의 상단부가 접속되어 있다. 제2 원료 배출 밸브(76)에 있어서의 바이패스 유로(54)에는, 상술한 액체 공급관(41)이 토출 밸브(V)를 통해 접속되어 있고, 제2 원료 배출 밸브(76)의 유로(53)의 하단부에는, 제3 액 제거 기구(50c)를 향해 신장되는 제2 원료 배출관(62)이 접속되어 있다.
세정 유체 공급 밸브(75)의 상단부에는, 3방향 밸브(18b)로 이루어지는 가스 공급 밸브(77)의 유로(53)의 일단부측(상단부측)이 배관(세정 유체 공급관)(63)을 통해 접속되어 있고, 당해 일단부측이 상방을 향하도록, 상기 배관(63)의 양단부가 하방을 향해 각각 굴곡되어 있다. 가스 공급 밸브(77)의 유로(53)의 타단부측(하방측)에는, 3방향 밸브(18b)로 이루어지는 세정액 공급 밸브(78)에 있어서의 유로(53)의 상단부가 접속되고, 가스 공급 밸브(77)의 바이패스 유로(54)에는 가스 공급관(43)이 접속되어 있다. 세정액 공급 밸브(78)의 유로(53)의 하단부에는, 3방향 밸브(18b)로 이루어지는 밸브(79)에 있어서의 유로(53)의 상단부가 접속되고, 세정액 공급 밸브(78)의 바이패스 유로(54)에는, 세정액 공급관(19)이 접속되어 있다. 밸브(79)의 유로(53)의 하단부에는, 세정액 배출관을 겸용하는 상술한 제2 원료 배출관(62)이 접속되고, 밸브(79)의 바이패스 유로(54)에는, 액체 공급관(41)으로부터 분기하여 신장되는 분기관(44)이 접속되어 있다. 이들 밸브(74, 77, 78)의 각각은, 개방 상태에서는 각각의 유로(53) 및 바이패스 유로(54)가 서로 연통되고, 폐지 상태에서는 각각의 바이패스 유로(54)가 폐지되도록 구성되어 있다. 또한, 밸브(76, 79)의 각각은, 개방 상태에서는 각각의 유로(53) 및 바이패스 유로(54)가 서로 연통되고, 폐지 상태에서는 하방을 향하는 유로(53)가 폐지[유로(53)의 상단부와 바이패스 유로(54)가 연통]되도록 구성되어 있다. 도 8은, 도 7에 있어서의 제2 액 제거 기구(50b)를 모식적으로 도시하고 있다. 또한, 도 7에 있어서, 제2 액 제거 기구(50b)에 대해서 도 1과는 좌우를 반대로 묘화하고 있다.
계속해서, 도 9를 참조하여, 제3 액 제거 기구(50c)에 대해서 설명한다. 제3 액 제거 기구(50c)는, 3방향 밸브(18b)로 이루어지는 제1 유체 배출 밸브(80), 3방향 밸브(18b)로 이루어지는 배액 흡입 밸브(81) 및 2방향 밸브(18a)로 이루어지는 제2 유체 배출 밸브(82)가 상방측으로부터 하방측을 향해 이 순서로 설치되어 있고, 각각의 밸브(80 내지 82)의 유로(53)가 상하 방향으로 신장되도록 형성되어 있다. 제1 유체 배출 밸브(80)의 상단부에는, 제1 원료 배출관(61)이 접속되어 있고, 제1 유체 배출 밸브(80)의 바이패스 유로(54)에는, 제2 액 제거 기구(50b)로부터 하방측을 향하는 제2 원료 배출관(62)이 접속되어 있다. 또한, 배액 흡입 밸브(81)의 바이패스 유로(54)에는, 버터플라이 밸브 등의 압력 조정부(55)를 통해 배기 기구인 진공 펌프(56)가 접속되어 있다. 제2 유체 배출 밸브(82)의 하단부에는, 배액부(60)가 접속되어 있다. 이들 밸브(80, 81)의 각각은, 개방 상태에서는 유로(53) 및 바이패스 유로(54)가 서로 연통되고, 폐지 상태에서는 바이패스 유로(54)가 폐지되도록 구성되어 있다. 도 10은, 제3 액 제거 기구(50c)를 모식적으로 도시하고 있다.
이 제3 액 제거 기구(50c)와 상술한 제1 액 제거 기구(50a) 사이에 있어서의 제1 원료 배출관(61)에는, 밸브(V)가 개재 설치되어 있고, 이 밸브(V)보다도 제3 액 제거 기구(50c)측 및 제1 액 제거 기구(50a)측에는, 당해 제1 원료 배출관(61) 내의 액체를 배출하는 드레인관(64, 64)의 일단부측이 밸브(V)를 통해 각각 접속되어 있다. 이들 드레인관(64, 64)의 타단부측은, 드레인 탱크(65)에 각각 접속되어 있다. 또한, 이들 드레인관(64, 64)보다도 제3 액 제거 기구(50c)측에 있어서의 제1 원료 배출관(61)에는, 당해 제1 원료 배출관(61) 내의 압력을 측정하기 위한 압력 검출부(66)가 설치되어 있다. 후술하는 바와 같이, 이 압력 검출부(66)의 검출값에 의해, 원료 공급관(15), 세정액 공급관(19), 제1 원료 배출관(61) 및 제2 원료 배출관(62)의 내부의 액 제거가 완료되었는지의 여부[혹은 이들 원료 공급관(15), 세정액 공급관(19), 제1 원료 배출관(61) 및 제2 원료 배출관(62)의 각각의 내부가 개별로 액 제거되었는지의 여부]가 제어부(1)에서 판단된다.
또한, 이상의 액체 재료나 세정액 혹은 배액 등의 액체는, 실제로는 예를 들어 반응관(12)이나 기화기(11)의 하방측(지하) 등에 1개소에 통합되어 배치되어 있지만, 상술한 도 1에서는 개별로 묘화하고 있다.
이 종형 열처리 장치에는, 각 밸브의 개폐 동작을 행하기 위한 신호를 출력하는 밸브 개폐 장치(5)와, 이 밸브 개폐 장치(5)를 포함하는 장치 전체의 동작의 컨트롤을 행하기 위한 컴퓨터로 이루어지는 제어부(1)가 설치되어 있다. 이 제어부(1)의 메모리 내에는, 액체 재료를 기화기(11)에 있어서 기화시켜 얻어진 처리 가스를 반응관(12) 내에 공급하고, 웨이퍼(W)에 대하여 성막 처리를 행하기 위한 성막 프로그램과, 장치[기화기(11)]의 메인터넌스를 행하는 경우나 원료 저류부(14)를 바꾸는 경우 등, 원료 공급관(15)으로부터 액 제거를 행하기 위한 액 제거 프로그램이 저장되어 있다. 이들 프로그램은, 하드 디스크, 콤팩트 디스크, 광자기 디스크, 메모리 카드, 플렉시블 디스크 등의 기억 매체인 기억부(2)로부터 제어부(1) 내에 인스톨된다.
다음에, 상술한 실시 형태의 작용에 대해서 설명한다. 우선, 반응관(12) 내에 있어서 웨이퍼(W)에 대하여 성막 처리를 행하는 경우에 대해서 설명한다. 처음에, 반응관(12)의 하방측에 빈 웨이퍼 보트(21)를 위치시키는 동시에, 도시하지 않은 반송 아암에 의해 복수매의 웨이퍼(W)를 웨이퍼 보트(21)에 선반 형상으로 적재한다. 계속해서, 웨이퍼 보트(21)를 반응관(12) 내에 기밀하게 삽입하여, 진공 펌프(27)에 의해 반응관(12) 내를 진공화하여 처리 압력으로 설정하는 동시에, 웨이퍼 보트(21)를 회전시키면서 웨이퍼(W)를 가열한다. 또한, 기화기(11) 내에 있어서 액체 재료가 기화하도록, 당해 기화기(11) 내를 가열한다.
계속해서, 도 11에 도시한 바와 같이, 가스 저류부(16)로부터 기화기(11)의 가열실(11a)에 청정 가스를 캐리어 가스로서 공급하는 동시에, 송액용 가스 라인(7)으로부터 원료 저류부(14) 내에 가스를 공급하여, 제1 액 제거 기구(50a) 및 제2 액 제거 기구(50b)를 통해, 원료 공급관(15)에 의해서 액체 재료를 노즐(31)에 공급한다. 즉, 제1 액 제거 기구(50a)에서는, 도 12에 도시한 바와 같이, 밸브(71) 및 제1 원료 공급 밸브(72)가 개방 상태로 설정되는 동시에, 제1 원료 배출 밸브(73)가 폐지 상태로 설정된다. 따라서, 액체 재료는, 도 12에 굵은선으로 나타낸 바와 같이, 바이패스 유로(54), 제1 원료 공급 밸브(72)와 제1 원료 배출 밸브(73) 사이에 있어서의 유로(53) 및 제1 원료 공급 밸브(72)로부터 밸브(71)의 상단부까지 이르는 유로(53)에 가득 찬 상태에서, 당해 밸브(71)의 상단부로부터 제2 액 제거 기구(50b)를 향해 상승해 간다. 또한, 도 12에 있어서는, 각 밸브(71 내지 73)의 개방 상태 및 폐지 상태에 대해서, 동그라미 표시를 부여하여 「개방」 또는 「폐쇄」라고 나타내고 있고, 또한 도 11 및 도 12에 있어서, 유체(청정 가스나 액체 재료)가 통류하고 있는 개소를 굵은선으로 묘화하고 있다. 또한, 도 11은 장치를 간략화하여 도시하고 있다. 이후의 각 도면에 대해서도 마찬가지이다.
제2 액 제거 기구(50b)에서는, 도 13에 도시한 바와 같이, 제2 원료 공급 밸브(74)가 개방 상태로 설정되고, 세정 유체 공급 밸브(75) 및 제2 원료 배출 밸브(76)가 폐지 상태로 설정된다. 제1 액 제거 기구(50a)로부터 이 제2 액 제거 기구(50b)에 공급되는 액체 재료는, 제2 원료 공급 밸브(74)로부터 제2 원료 배출 밸브(76)를 향해 하강하여, 당해 제2 원료 배출 밸브(76)의 바이패스 유로(54) 및 토출 밸브(V)를 통해 노즐(31)을 향해 액체 공급관(41) 내를 통류해 간다. 이렇게 하여 노즐(31)로부터 액체 재료가 기화기(11) 내에 분무되면, 기화기(11)의 내벽면에 설치된 도시하지 않은 히터 등의 열에 의해서 액체 재료가 기화하여, 캐리어 가스와 함께 취출 포트(32) 및 가스 인젝터(23)를 통해 반응관(12) 내에 통류해 간다. 그리고, 웨이퍼(W)의 표면에 처리 가스가 접촉하면, 처리 가스가 열분해하여, 예를 들어 ZrO(산화지르코늄막)로 이루어지는 박막이 웨이퍼(W)의 표면에 성막된다.
계속해서, 성막 처리가 종료되면, 기화기(11)에의 액체 재료를 정지하여, 즉 예를 들어 제2 원료 공급 밸브(74)를 폐쇄하는 동시에, 기화기(11)와 반응관(12) 사이의 밸브(V)를 폐쇄하고, 반응관(12) 내를 진공화하여 처리 가스를 배출한다. 계속해서, 도시하지 않은 퍼지 가스 공급원으로부터 반응관(12) 내에 불활성 가스를 공급하여, 당해 반응관(12) 내를 대기 분위기로 복귀시킨다. 그리고, 웨이퍼 보트(21)를 하강시켜, 도시하지 않은 반송 아암에 의해 웨이퍼 보트(21)로부터 웨이퍼(W)를 반출한다. 이렇게 하여 뱃치 처리[웨이퍼 보트(21)에의 웨이퍼(W)의 반입, 성막 처리 및 웨이퍼 보트(21)로부터의 웨이퍼(W)의 취출]를 예를 들어 복수회 행한 후, 기화기(11)의 메인터넌스나 성막종을 전환[원료 저류부(14)를 다른 재료로 교환]하는 경우 등, 이하에 설명하는 액체 재료의 액 제거가 행해진다. 이 액 제거 방법에 대해서, 도 14의 흐름도에 기초하여 이하에 상세하게 서술한다.
<기화기의 액 제거>
우선, 도 15에 도시한 바와 같이, 제2 액 제거 기구(50b) 및 기화기(11)로부터 액체 원료를 세정액에 의해 배출한다. 즉, 제2 원료 공급 밸브(74)를 폐지함으로써, 제2 액 제거 기구(50b)에의 액체 원료의 공급을 정지하여(스텝 S1), 기화기(11)와 반응관(12) 사이의 밸브(V)를 폐지하는 동시에, VENT 배관(36)의 밸브(V)를 개방한다. 또한, 도 16에 도시한 바와 같이, 세정 유체 공급 밸브(75) 및 세정액 공급 밸브(78)를 각각 개방하여, 제2 원료 배출 밸브(76), 가스 공급 밸브(77) 및 밸브(79)를 각각 폐지한다. 제2 액 제거 기구(50b) 내에 남아있던 액체 원료는, 세정액 공급관(19)으로부터 공급되는 세정액에 의해 노즐(31)측으로 압출되어, 이 세정액과 함께, 가스 공급관(42)으로부터 공급되는 청정 가스(캐리어 가스)에 의해 기화기(11)에 무화(霧化)된다. 이들 액체 원료 및 세정액의 기화에 의해 생성한 혼합 가스는, 상술한 VENT 배관(36)을 통해 진공 펌프(27)를 향해 배기되어 간다.
계속해서, 도 17 및 도 18에 도시한 바와 같이, 세정액 공급 밸브(78)를 폐지하여 가스 공급 밸브(77)를 개방하고, 가스 공급관(43)으로부터 공급되는 청정 가스에 의해, 제2 액 제거 기구(50b)나 기화기(11) 내에 남아있는 세정액을 VENT 배관(36)을 통해 진공 펌프(27)에 배출한다. 그리고, 도 19 및 도 20에 도시한 바와 같이, 노즐(31)의 근방에 있어서의 가스 공급관(42)의 밸브(V) 및 가스 공급 밸브(77)를 폐지하여, 제2 액 제거 기구(50b) 내 및 기화기(11) 내를 진공화한다. 이때, 세정 유체 공급 밸브(75)에 대해서는 개방되어 있어도 된다. 계속해서, 이 제2 액 제거 기구(50b) 및 기화기(11)에의 청정 가스의 공급(도 17 및 도 18)과, 제2 액 제거 기구(50b) 및 기화기(11) 내의 진공화(도 19 및 도 20)를 예로 들어 4회 정도 반복한다. 이렇게 하여 기화기(11)의 내부와 당해 기화기(11)의 근방[기화기(11)의 제2 액 제거 기구(50b)측 및 반응관(12)측]으로부터, 세정액(혹은 세정액과 액체 원료의 혼합 유체)이 제거된다.
계속해서, 기화기(11) 내의 액 제거가 완료되었는지의 여부를 확인한다. 구체적으로는, 도 21 및 도 22에 도시한 바와 같이, VENT 배관(36)의 밸브(V)를 폐지하는 동시에, 제2 원료 배출 밸브(76) 및 밸브(79)를 각각 개방한다. 또한, 드레인관(64, 64) 사이에 있어서의 제1 원료 배출관(61)의 밸브(V)와, 제3 액 제거 기구(50c)측에 있어서의 드레인관(64)의 밸브(V)를 폐지한다. 그리고, 제3 액 제거 기구(50c)에 있어서, 도 23에 도시한 바와 같이, 제1 유체 배출 밸브(80) 및 배액 흡입 밸브(81)를 각각 개방하여, 제2 유체 배출 밸브(82)를 폐지하면, 제2 액 제거 기구(50b)로부터 제3 액 제거 기구(50c)에 이르는 제2 원료 배출관(62)의 내부를 통해, 제2 액 제거 기구(50b) 및 기화기(11)의 내부가 진공화된다. 이렇게 하여 도 24에 도시한 바와 같이, 배액 흡입 밸브(81)를 폐쇄하는 동시에, 압력 검출부(66)의 제1 원료 배출관(61)측에 설치된 도시하지 않은 밸브를 개방하고, 이 압력 검출부(66)의 검출값을 판독한다. 이때, 상기 검출값이 시간의 경과와 함께 상승해 가는(진공도가 악화되어 가는) 경우에는, 예를 들어 기화기(11) 내에 세정액이 남아있는 것으로 되고, 상기 검출값이 상승하지 않고 안정되는 경우에는, 기화기(11) 내의 액 제거가 완료된 것을 알 수 있다(스텝 S2). 이상의 공정에 의해서 기화기(11) 내 및 당해 기화기(11)의 근방에는 액체 원료나 세정액이 남아있지 않으므로, 액체 공급관(41)에 있어서의 유량 조정부(41a)보다도 제2 액 제거 기구(50b)측의 밸브(핸드 밸브)(V)를 폐쇄한 후, 예를 들어 기화기(11)를 제거하였다고 해도, 반응관(12) 내 및 제2 액 제거 기구(50b)측의 기밀이 유지된 상태로 되고, 또한 액체 원료나 세정액이 외부로 유출되지 않는다.
<원료 공급관의 액 제거>
여기서, 원료 공급관(15)에는, 도 25에 도시한 바와 같이, 액체 원료가 남아 있으므로, 이하와 같이 당해 원료 공급관(15)으로부터 액 제거를 행한다. 우선, 공급관(14a)의 밸브(V)를 폐쇄하여, 계속해서 도 26에 도시한 바와 같이, 제2 액 제거 기구(50b)에 있어서의 제2 원료 배출 밸브(76)를 개방한다. 또한, 제3 액 제거 기구(50c)에 있어서, 도 27에 도시한 바와 같이, 제1 유체 배출 밸브(80) 및 제2 유체 배출 밸브(82)를 각각 개방하는 동시에, 배액 흡입 밸브(81)를 폐지 상태로 설정한다.
계속해서, 도 28 및 도 29에 도시한 바와 같이, 가스 공급관(43)으로부터 제2 액 제거 기구(50b)를 향해 청정 가스를 공급하는 동시에, 제2 액 제거 기구(50b)에 있어서의 제2 원료 공급 밸브(74), 가스 공급 밸브(77) 및 세정 유체 공급 밸브(75)를 각각 개방하고, 세정액 공급 밸브(78), 밸브(79) 및 제2 원료 배출 밸브(76)를 각각 폐지한다. 가스 공급 밸브(77)에 공급된 청정 가스는, 이 가스 공급 밸브(77)의 하방의 유로[세정액 공급 밸브(78), 밸브(79) 및 분기관(44)에 있어서의 토출 밸브(V)]가 폐쇄되어 있으므로, 당해 가스 공급 밸브(77)의 상방측의 배관(63)을 통해 세정 유체 공급 밸브(75)의 상단부측으로 돌아 들어가고, 이 세정 유체 공급 밸브(75)를 통해 제2 원료 공급 밸브(74)를 향해 통류해 간다. 그리고, 제2 원료 배출 밸브(76) 및 액체 공급관(41)에 있어서의 토출 밸브(V)가 폐쇄되어 있으므로, 또한 제2 원료 공급 밸브(74)가 개방되어 있으므로, 제2 원료 공급 밸브(74)에 상방측으로부터 도달한 청정 가스는, 이 제2 원료 공급 밸브(74)의 바이패스 유로(54)를 통해 원료 공급관(15) 내를 하방측[제1 액 제거 기구(50a)측]으로 통류해 간다. 따라서, 예를 들어 제2 원료 공급 밸브(74)의 바이패스 유로(54) 및 원료 공급관(15)에 가득 차있던 액체 재료는, 이 청정 가스에 의해 말하자면 하방측으로 복귀되어 간다.
그리고, 제1 액 제거 기구(50a)에 있어서, 도 30에 도시한 바와 같이, 밸브(71) 및 제1 원료 배출 밸브(73)를 각각 개방하는 동시에, 제1 원료 공급 밸브(72)를 폐지해 두면, 상술한 바와 같이 원료 공급관(15)으로부터 하강하는 액체 재료는, 청정 가스의 압력에 의해, 이들 밸브(71 내지 73)의 유로(53)를 통해 하방측의 제1 원료 배출관(61)으로 배출되어 간다. 여기서, 제1 원료 공급 밸브(72)를 폐지하고 있으므로, 당해 제1 원료 공급 밸브(72)의 바이패스 유로(54)에는, 액체 재료가 저류되어, 말하자면 액 저류부가 형성된다.
제3 액 제거 기구(50c)에서는, 상술한 바와 같이 제2 유체 배출 밸브(82)가 개방되고, 제1 유체 배출 밸브(80) 및 배액 흡입 밸브(81)가 각각 폐지되어 있으므로, 제1 액 제거 기구(50a)로부터 제1 원료 배출관(61)으로 압출된 액체 재료는, 도 31에 도시한 바와 같이, 이들 밸브(80 내지 82)의 유로(53)를 통해 배액부(60)로 배출된다. 이렇게 하여 제2 액 제거 기구(50b)와 제1 액 제거 기구(50a) 사이에 있어서의 원료 공급관(15)으로부터, 중력에 역행하지 않고 상방측으로부터 하방측에 액체 재료가 신속하게 액 제거된다.
계속해서, 도 32에 도시한 바와 같이, 세정액 저류부(17)로부터 제1 액 제거 기구(50a)를 통해 제2 액 제거 기구(50b)에 세정액을 공급한다. 즉, 이 제1 액 제거 기구(50a)에서는, 상술한 도 12와 마찬가지로 밸브(71 내지 73)의 개폐 상태가 설정된다. 또한, 제2 액 제거 기구(50b)에서는, 도 33에 도시한 바와 같이, 세정액 공급 밸브(78)를 개방하는 동시에 가스 공급 밸브(77)를 폐지한다. 세정액은, 세정액 공급 밸브(78)로부터 상방측의 가스 공급 밸브(77) 및 세정 유체 공급 밸브(75)를 돌아 들어가, 제2 원료 공급 밸브(74)에 도달한다. 그리고, 세정액은, 원료 공급관(15)을 통해 상술한 도 30 및 도 31에 도시한 경로로 배액부(60)로 배출된다. 그로 인해, 원료 공급관(15)의 내벽면 등에 액체 재료가 부착되어 있었다고 해도, 세정액에 의해서 당해 내벽면이 청정화된다.
계속해서, 도 34에 도시한 바와 같이, 제1 액 제거 기구(50a) 및 제2 액 제거 기구(50b)로부터 세정액을 배출한다. 즉, 세정액의 공급을 정지[공급관(19a)의 밸브(V)를 폐지]한 후, 제2 액 제거 기구(50b)에 있어서의 가스 공급 밸브(77)를 개방하여, 제2 액 제거 기구(50b)에 청정 가스를 공급한다. 이 청정 가스는, 도 35에 도시한 바와 같이, 원료 공급관(15) 및 세정액 공급관(19)을 하방을 향해 통류하므로, 이들 원료 공급관(15) 및 세정액 공급관(19) 내의 세정액이 배액부(60)로 배출된다. 여기서, 도 36에 도시한 바와 같이, 원료 공급관(15)의 제1 액 제거 기구(50a)에 있어서, 제1 원료 공급 밸브(72)를 폐지 상태로 유지하고 있으므로, 당해 제1 원료 공급 밸브(72)의 바이패스 유로(54)에는, 액체 재료가 남겨진 상태로 된다.
또한, 제2 원료 공급 밸브(74) 및 세정액 공급 밸브(78)의 한쪽의 밸브를 먼저 개방하고, 계속해서 당해 한쪽의 밸브를 폐지하는 동시에 다른 쪽의 밸브를 개방하여, 이들 원료 공급관(15) 및 세정액 공급관(19)에 대하여 순서대로 청정 가스를 공급해도 된다. 또한, 장치로부터 액체 재료의 액 제거만을 행한(세정액에 대해서는 장치에 남긴) 경우에는, 세정액 공급관(19)에 대해서는 액 제거하지 않아도 된다. 따라서, 세정액 공급관(19)에 세정액을 남긴 상태로 하는 경우에는, 이후의 공정에 있어서도, 당해 세정액 공급관(19) 내의 진공화는 행해지지 않고 세정액 공급관(19)에는 세정액이 가득 찬 상태로 된다.
그 후, 도 37에 도시한 바와 같이, 각각의 액 제거 기구 내를 진공화한다. 구체적으로는, 도 38에 도시한 바와 같이, 제2 액 제거 기구(50b)에 있어서, 밸브(74, 75, 76, 78), 밸브(79)를 개방하여, 가스 공급 밸브(77)를 폐지한다. 또한, 도 39에 도시한 바와 같이, 제3 액 제거 기구(50c)에 있어서, 밸브(80, 81)를 개방하여, 제2 유체 배출 밸브(82)를 폐지한다. 그로 인해, 예를 들어 원료 공급관(15), 세정액 공급관(19) 및 원료 배출관(61, 62)의 내부에 세정액이 약간 남아있었다고 해도, 배액 흡입 밸브(81)의 바이패스 유로(54)에 접속된 진공 펌프(56)에 의해, 제1 액 제거 기구(50a) 및 제2 액 제거 기구(50b)를 통해, 당해 내부가 진공 상태로 되어 세정액이 휘발한다. 이들 원료 공급관(15), 세정액 공급관(19) 및 원료 배출관(61, 62)으로부터 세정액이 액 제거(휘발)되었는지의 여부는, 압력 검출부(66)에 의해서 검출된다. 즉, 압력 검출부(66)의 제1 원료 배출관(61)측에 설치된 도시하지 않은 밸브를 개방하고, 이 압력 검출부(66)의 검출값을 판독한다. 그리고, 예를 들어 배액 흡입 밸브(81)를 폐지하여, 상기 검출값이 시간의 경과와 함께 상승해 가는(진공도가 악화되어 가는) 경우에는, 예를 들어 원료 공급관(15) 내에 세정액이 남아있는 것으로 되고, 상기 검출값이 상승하지 않고 안정되는 경우에는, 세정액이 배출되게 된다. 이렇게 하여 제1 액 제거 기구(50a), 제2 액 제거 기구(50b) 및 제3 액 제거 기구(50c)의 사이에 있어서의 원료 공급관(15), 세정액 공급관(19) 및 원료 배출관(61, 62)의 내부로부터의 액 제거가 완료되는 동시에, 당해 내부가 청정화된다(스텝 S3).
<원료 저류부측의 액 제거>
다음에, 제1 액 제거 기구(50a)보다도 원료 저류부(14)측의 공급관(14a) 내의 액 제거 및 청정화를 행한다(스텝 S4). 즉, 도 40 및 도 41에 도시한 바와 같이, 제1 액 제거 기구(50a)에 있어서의 밸브(73)를 폐지하는 동시에, 제1 원료 공급 밸브(72)를 개방한다. 또한, 상술한 도 29와 같이, 제2 액 제거 기구(50b)의 각 밸브(74 내지 79)의 개폐 상태를 설정한다. 그리고, 원료 저류부(14)측의 공급관(14a)의 밸브(V)를 개방하면, 제1 원료 공급 밸브(72)의 바이패스 유로(54)에 남아있던 액체 재료는, 청정 가스의 압력에 의해서, 당해 원료 저류부(14)측으로 복귀되어 간다.
그리고, 공급관(14a)의 밸브(V)보다도 원료 저류부(14)측으로 액체 재료를 복귀시킨 후, 당해 밸브(V)를 폐쇄한다. 또한, 제1 액 제거 기구(50a)에 있어서, 밸브(71)를 폐지하고, 제1 원료 배출 밸브(73)를 개방한다. 계속해서, 도 42에 도시한 바와 같이, 제1 액 제거 기구(50a)측에 있어서의 드레인관(64)의 밸브(V)를 개방하여, 보조 저류조(17a)로부터, 공급관(14a), 제1 원료 배출관(61) 및 드레인관(64)을 통해 드레인 탱크(65)에 세정액을 공급한다. 이 세정액에 의해, 제1 원료 공급 밸브(72)의 바이패스 유로(54) 내가 청정화된다. 그리고, 도 43에 도시한 바와 같이, 드레인관(64, 64)의 2개의 밸브(V) 중 진공 펌프(56)측의 한쪽의 밸브(V)를 개방하고, 다른 쪽[제1 액 제거 기구(50a)측]의 밸브(V)를 폐쇄한다. 또한, 드레인관(64, 64) 사이에 있어서의 제1 원료 배출관(61)에 개재 설치된 밸브(V)를 폐쇄한다. 이렇게 하여 진공 펌프(56)를 통해 드레인 탱크(65) 내의 액면보다도 상방측의 영역을 진공 상태로 한 후, 도 44에 도시한 바와 같이, 상술한 도 42와 같이 각 밸브(V)를 전환하여, 가스 저류부(16)로부터 공급관(14a), 제1 원료 배출관(61) 및 드레인관(64)을 통해 드레인 탱크(65)에 청정 가스를 공급한다. 이 청정 가스에 의해, 도 45에 도시한 바와 같이, 예를 들어 제1 원료 공급 밸브(72)의 바이패스 유로(54) 내의 세정액이 배출된다. 그 후, 드레인관(64)의 밸브(V)를 폐쇄하고, 제1 원료 배출관(61)의 밸브(V)를 개방한다. 그리고, 도 46에 도시하는 공급관(14a), 제1 액 제거 기구(50a), 제1 원료 배출관(61)에의 청정 가스의 공급과, 도 47에 도시하는 이들 공급관(14a), 제1 액 제거 기구(50a), 제1 원료 배출관(61)의 진공화를 복수회 반복하면, 공급관(14a)의 내부가 청정화된다. 이 경우에 있어서도, 제1 원료 배출관(61)로부터의 액 제거가 완료되었는지의 여부는, 압력 검출부(66)에 있어서 검출되는 검출값에 의해서 판단된다.
그 후, 도 48에 도시한 바와 같이, 보조 저류조(17a)로부터 공급관(14a) 및 원료 공급관(15)을 통해 제2 액 제거 기구(50b)에 세정액을 공급하여 제2 원료 배출관(62)으로부터 세정액을 배출하는 공정과, 이들 공급관(14a), 원료 공급관(15) 및 제2 원료 배출관(62) 내의 진공화를 행하는 공정을 복수회 반복함으로써, 이상의 일련의 액 제거 공정이 완료된다.
그러한 후, 원료 공급관(15)이나 기화기(11)를 장치로부터 제거하고, 기화기(11) 등의 메인터넌스를 행하거나, 혹은 다른 액체 재료를 공급하기 위한 원료 저류부(14) 및 기화기(11)가 장치에 설치되거나 하게 된다. 또한, 제2 액 제거 기구(50b)에 있어서, 밸브(79)나 제2 원료 배출 밸브(76)보다도 토출 밸브(V)측에 액체 재료나 세정액이 남아있는 경우에는, 당해 토출 밸브(V)측에 세정액이나 청정 가스가 공급되어, 기화기(11)를 통해 VENT 배관(36)에 배출된다.
상술한 실시 형태에 따르면, 원료 저류부(14)로부터 원료 공급관(15)에 의해 상방측의 기화기(11)에 액체 재료를 공급할 때에, 원료 공급관(15)의 하방측에 제1 원료 배출관(61)을 설치하는 동시에, 원료 공급관(15)의 상방측으로부터 청정 가스 및 세정액을 하방측을 향해 공급하고 있다. 그리고, 액체 재료나 세정액이 각각 공급되는 제2 원료 공급 밸브(74) 및 세정액 공급 밸브(78)보다도 상방측에, 청정 가스가 공급되는 가스 공급 밸브(77)를 배치하고 있다. 또한, 각 액 제거 기구(50a, 50b, 50c)에 있어서, 액 저류부가 형성되지 않도록, 혹은 액 저류부가 최대한 적어지도록, 각 밸브를 배치하고 있다. 그로 인해, 액체 재료나 세정액이 중력에 역행하지 않고 상방측으로부터 하방측으로 배출되므로, 액체 재료가 상술한 바와 같이 물보다도 비중이 커서 휘발하기 어려운 TEMAZ 등이어도, 당해 원료 공급관(15)으로부터 액체 재료를 신속하게 또한 용이하게 배출할 수 있다. 즉, 원료 공급관(15)에 대하여 하방측으로부터 상방측을 향해 청정 가스를 공급하여 액 제거를 행하고 있었던 종래의 방법에서는, 액 제거에 수일 정도 필요하였지만, 나아가서는 세정이 불충분해지는(세정도가 낮은) 경우도 있었지만, 본 발명에서는, 당해 액 제거에 필요로 하는 시간을 절반 정도로 단축할 수 있고, 또한 액 제거한 부위의 청정도를 매우 높일 수 있다.
그로 인해, 장치의 가동 시간(성막 시간)을 길게(오래) 취할 수 있다. 또한, 원료 공급관(15)을 제거하였을 때에, 액체 재료가 대기에 접촉하기 어려워지므로, 혹은 접촉하지 않으므로, 디에틸아민((C2H5)2NH), 디메틸아민((CH3)2NH) 등의 유독 가스의 발생을 억제할 수 있다. 또한, 액체 재료와 대기 중의 수분의 반응에 의한 반응 생성물의 생성을 억제할 수 있으므로, 당해 반응 생성물에 의한 원료 공급관(15) 내의 폐색을 억제할 수 있다. 따라서, 밸브의 밸브체(52)에의 반응 생성물의 부착을 억제할 수 있고, 그로 인해 밸브의 장수명화를 도모할 수 있다. 또한, 청정 가스의 사용량도 억제할 수 있다.
또한, 원료 공급관(15)으로부터 액 제거를 행하였을 때에, 제1 액 제거 기구(50a)의 액체 재료가 남는 부위가 제1 원료 공급 밸브(72)의 바이패스 유로(54)만으로 되도록 밸브를 배치하고 있으므로, 당해 부위로부터의 액 제거나 청정화를 용이하게 또한 신속하게 행할 수 있다.
또한, 기화기(11)의 메인터넌스를 행하기 위해 상술한 스텝 S1의 액 제거를 행하는 경우, 종래의 방법에서는 액 제거에 십 수시간 정도 필요하였지만, 본 발명에서는 수시간 정도로 단축할 수 있다.
이상과 같이 액 제거 기구를 설치할 때에, 각 액 제거 기구에서는 밸브를 개략 상하 방향으로 배치하고 있으므로, 또한 제1 액 제거 기구(50a)에서는 바이패스 유로(54)가 제1 원료 배출 밸브(73)의 하방에서 개방되는 3방향 밸브(18b)를 사용하고 있으므로, 밸브를 수평 방향으로 배열하는 경우에 비해, 액체 재료가 확산되는(접촉하는) 영역을 적게 할 수 있다. 그로 인해, 액 제거나 세정에 필요로 하는 시간을 단축할 수 있고, 각각의 액 제거 기구의 풋 프린트를 최소한으로 억제할 수 있다. 또한, 이들 액 제거 기구의 풋 프린트를 작게 억제한 만큼, 상술한 바와 같이 압력 검출부(66)나 필터(10)를 형성하는 스페이스를 확보할 수 있어, 액 제거의 종점 검출이나 청정 가스로부터의 수분의 제거[원료 공급관(15) 내에서의 반응 생성물의 생성의 억제]를 행할 수 있다. 따라서, 예를 들어 기화기(11)를 제거한 경우, 당해 기화기(11) 내부로부터 잔류액(액체 원료나 세정액)이 누출되는 일이 없다. 또한, 제1 원료 배출관(61)이나 제2 원료 배출관(62)으로부터 액체 재료 및 세정액을 배출할 때에, 진공 펌프(56)에 의해 직접 진공화하지 않고, 배액부(60)에 일단 배출하고 있으므로, 당해 진공 펌프(56)의 열화(부하)를 억제하여 장수명화를 도모할 수 있다.
또한, 예를 들어 제2 액 제거 기구(50b)에 있어서, 1개의 밸브를 통해 액체 재료와 세정액이 대향하지 않도록 하고 있다. 즉, 액체 재료가 공급되는 제2 원료 공급 밸브(74)와, 세정액이 공급되는 세정액 공급 밸브(78) 사이에, 세정 유체 공급 밸브(75) 및 가스 공급 밸브(77)를 개재 설치하고 있다. 그로 인해, 예를 들어 세정액 공급 밸브(78)를 통해 세정액이 액체 재료측에 약간 누출되어도, 당해 세정액에 포함되는 수분과 액체 재료의 반응을 억제할 수 있어, 밸브 내부에의 반응 생성물의 부착을 억제할 수 있다.
또한, 제2 액 제거 기구(50b)에 제2 원료 배출 밸브(76)를 설치하여, 제2 액 제거 기구(50b)에 있어서의 액체 재료를 제3 액 제거 기구(50c)에 배출하고 있으므로, 당해 액체 재료를 노즐(31)을 통해 기화기(11)측으로 배출하는 경우에 비해, 액 제거를 신속하게 행할 수 있다. 또한, 상술한 도 45에 있어서 제1 원료 공급 밸브(72)의 바이패스 유로(54)로부터 세정액을 배출할 때에, 당해 제1 원료 공급 밸브(72)의 상방측에 근접하는 밸브(71)를 폐지하고 있으므로, 원료 공급관(15)측으로의 세정액의 유출을 억제할 수 있다.
또한, 예를 들어 종래의 하방측으로부터 상방측에 액체 재료를 배출하는 밸브 구성에서는, 원료 공급관(15) 내나 세정액 공급관(19) 내에 남는 세정액을 휘발시키기 위해, 이들 공급관(15, 19)의 외측에 히터를 권회하고 있는 경우도 있었지만, 본 발명에서는 이와 같은 히터를 설치하지 않고 공간 절약화를 도모할 수도 있다.
여기서, 도 49는 배관이나 밸브가 종래의 접속 구성의 경우[원료 공급관(15)에 대하여 하방측으로부터 청정 가스를 공급하는 구성]와, 본 발명의 구성에 있어서, 실제로 기화기(11)에 있어서 기화한 액체 재료를 사용하여 반응관(12)에서 각 웨이퍼(W)에 대하여 성막 처리를 행하였을 때에, 반응관(12) 내의 상부, 중부 및 하부의 각각의 웨이퍼(W)에 부착되는 파티클의 수량에 대해서 측정한 결과를 도시하고 있다. 도 49로부터, 파티클의 수량은, 본 발명에서는 종래와 거의 동일한 정도로 되어 있었다. 즉, 원료 공급 장치(13)를 설치해도, 웨이퍼(W)의 특성에는 악영향이 보이지 않는 것을 알 수 있었다. 또한, 도 49에 있어서, 종래 및 본 발명에 대해서 동일한 프로세스 조건으로 설정하고 있어, 상세한 프로세스 조건은 생략한다.
도 50a 내지 도 50g는 각각, 본 발명과 종래예에 있어서 사용 후의 밸브체(다이어프램)을 실제로 촬상한 사진을 나타내고 있고, 본 발명의 사진에는 각 밸브의 명칭을 병기하고 있다. 상세하게는, 도 50a 내지 도 50d는 각각, 본 발명의 세정 유체 공급 밸브(75), 제2 원료 공급 밸브(74), 가스 공급 밸브(77) 및 세정액 공급 밸브(78)를 도시하는 사진이다. 또한, 도 50e 내지 도 50g는 각각, 종래예의 밸브체를 도시하는 것으로, 도 50e는 액체 원료에 접촉하는 밸브의 다이어프램이고, 도 50f 및 도 50g는 각각 도 50e의 밸브로부터 우측을 향해 당해 밸브로부터 세정액이 통류하는 유로측으로 이격된 밸브의 다이어프램을 도시하고 있다. 또한, 종래의 구성에 있어서의 밸브와, 본 발명의 구성에 있어서의 밸브를 각각의 구성에 있어서 장기간에 걸쳐서 사용한 바, 종래의 구성에서는 도 50a 내지 도 50f에 도시한 바와 같이, 밸브체(다이어프램)의 표면에 백색의 부착물(A1, A2, A3)이 보이고 있고, 이들 부착물(A1, A2, A3)은 Zr-O계의 화합물이었다. 한편, 본 발명의 구성에서는, 도 50a 내지 도 50d에 도시한 바와 같이, 다이어프램 표면에는 부착물은 거의 보이지 않았다. 종래예에서는, 어느 쪽의 다이어프램에 있어서도 백색의 부착물이 확인되었지만, 본 발명에서는 어느 쪽의 밸브에 있어서도 부착물이 거의 보이지 않았다.
여기서, 원료 공급관(15) 내로부터 액체 재료를 청정 가스에 의해 배출하였지만, 세정액에 의해 배출해도 된다. 즉, 성막 처리를 정지한 후, 원료 공급관(15)에 대하여 상방측으로부터 세정액을 공급해도 된다. 이 경우에는, 액체 재료를 세정액에 의해 배출한 후, 청정 가스에 의해 세정액을 배출하고, 그 후 또한 원료 공급관(15) 내에 세정액을 공급해도 된다. 또한, 이와 같이 액체 재료를 세정액에 의해 배출하는 경우에는, 세정액을 원료 공급관(15) 내에 공급한 후, 기화기(11) 및 반응관(12) 내의 분위기를 통해 원료 공급관(15) 내를 진공 배기하여, 당해 원료 공급관(15) 내에 남은 세정액을 휘발시키도록 해도(청정 가스를 공급하지 않아도) 된다.
제1 액 제거 기구(50a)에서는, 바이패스 유로(54)가 제1 원료 배출 밸브(73)보다도 하방에서 개방되는 3방향 밸브(18b)를 사용하였지만, 제2 액 제거 기구(50b) 및 제3 액 제거 기구(50c)와 같이, 측방측에 있어서 개방되는 3방향 밸브(18b)를 사용해도 된다. 또한, 이들 제2 액 제거 기구(50b) 및 제3 액 제거 기구(50c)의 3방향 밸브(18b)에 대해서, 제1 액 제거 기구(50a)와 동일한 구성의 3방향 밸브(18b)를 사용해도 된다.
또한, 상술한 예에 있어서 원료 공급관(15) 내의 액 제거를 행할 때에, 기화기(11)의 메인터넌스나 원료 저류부(14)를 다른 재료로 교환하는 경우에 대해서 설명하였지만, 이 원료 저류부(14)를 동일한 원료가 저류된 원료 저류부(14)로 교환하는(교체하는) 경우에 있어서도, 원료 공급관(15) 내의 액 제거가 행해진다. 이 경우에는, 상술한 바와 같이 원료 공급관(15) 내로부터의 액체 재료의 액 제거 및 청정화를 행한 후, 제1 원료 공급 밸브(72)의 바이패스 유로(54) 내의 액체 재료를 청정 가스에 의해 원료 저류부(14)로 복귀시킨다. 계속해서, 도 42 내지 도 47의 공정을 행한 후, 원료 저류부(14)가 교환된다. 그러한 후, 대기 분위기로 되어 있는 공급관(14a)의 내부를 진공 펌프(56)에 의해 진공 배기한다.
여기서, 상술한 스텝 S1의 기화기(11) 내의 액 제거를 행할 때에, 도 15 내지 도 24의 방법 대신에, 제2 원료 공급 밸브(74), 세정액 공급 밸브(78) 및 액체 공급관(41)에 있어서의 유량 조정부(41a)보다도 노즐(31)측의 밸브(V)를 각각 폐지하는 동시에, 가스 공급 밸브(77), 제2 원료 배출 밸브(76), 제1 유체 배출 밸브(80) 및 배액 흡입 밸브(81)를 개방하여, 제2 원료 배출관(62)을 통해 진공 펌프(56)에 의해서 액체 원료를 청정 가스와 함께 배출해도 된다.
상술한 예에서는, 압력 검출부(66)를 제1 원료 배출관(61)에 설치하였지만, 이 압력 검출부(66)는, 제1 원료 배출관(61) 대신에, 원료 공급관(15) 및 제2 원료 배출관(62) 중 어느 하나에 설치해도 되고, 혹은 이들 제1 원료 배출관(61), 원료 공급관(15) 및 제2 원료 배출관(62) 중 적어도 1군데에 설치해도 된다.
또한, 상술한 예에서는 액체 재료로서 TEMAZ를 사용하였지만, 다른 액체 재료 예를 들어 TEMAH(테트라키스에틸메틸아미노하프늄), Sr(THD)2(스트론튬비스테트라메틸헵탄디오나토) 등이어도 된다. 세정액으로서는, 옥탄 이외에도, 헥산이나 알코올계의 액체를 사용해도 된다.
또한, 기화기(11) 및 원료 공급 장치(13)를 각각 2조씩 설치하고, 서로 다른 액체 재료를 웨이퍼(W)에 교대로 공급하여 적층막을 형성해도 된다. 또한, 이상의 예에서는, 액 제거 기구를 4군데에 설치하였지만, 적어도 제2 액 제거 기구(50b)를 설치해 두면 된다.
또한, 상술한 예에 있어서는, 제어부(1)를 통해 원료 공급관(15) 내의 액 제거나 청정화를 행하였지만, 작업자가 매뉴얼 작업에 의해 이들 액 제거나 청정화를 행해도 된다. 이 경우에는, 작업자는, 밸브의 개폐 순서가 기재된 작업 안내서 등에 기초하여, 조작 화면이나 조작 패널에 배치된 각 밸브에 대응하는 밸브 개폐 버튼(도시하지 않음)을 눌러, 상술한 각 스텝을 진행시키게 된다.
W : 웨이퍼
11 : 기화기
12 : 반응관
13 : 원료 공급 장치
14 : 원료 저류부
15 : 원료 공급관
16 : 가스 저류부
17 : 세정액 저류부
71 내지 82 : 밸브
19 : 세정액 공급관

Claims (9)

  1. 저류부 내의 액체 원료를 기화기로 기화시키고, 기화된 가스를 반도체 제조용의 반응 용기 내에 공급하기 위한 원료 공급 장치에 있어서,
    상단부측 및 하단부측에 각각 상기 기화기 및 상기 저류부가 접속되는 동시에 상하로 신장되는 상승 관로를 갖는 원료 공급관과,
    상기 상승 관로의 하단부측으로부터 분기하여 설치된 제1 원료 배출관과,
    상기 원료 공급관 내의 액체 원료를 상방측으로부터 하방측을 향해 압출하여 상기 제1 원료 배출관에 배출하기 위해, 상기 상승 관로의 상단부측에 접속된 세정 유체 공급관과,
    상기 원료 공급관에 있어서의 제1 원료 배출관과의 접속 부분보다도 저류부측 및 제1 원료 배출관에 각각 설치된 제1 원료 공급 밸브 및 제1 원료 배출 밸브와,
    상기 세정 유체 공급관에 설치된 세정 유체 공급 밸브를 구비하고,
    상기 세정 유체 공급관은, 퍼지용 가스 및 세정액을 순차적으로 공급하거나 또는 세정액만을 공급하며, 액체 원료를 상기 기화기에 공급할 때에는, 상기 제1 원료 공급 밸브를 개방하고, 또한 제1 원료 배출 밸브 및 세정 유체 공급 밸브를 각각 폐쇄한 상태로 하고, 또한 액체 원료를 배출할 때에는, 상기 제1 원료 공급 밸브를 폐쇄하고, 또한 제1 원료 배출 밸브 및 세정 유체 공급 밸브를 각각 개방한 상태로 하도록 조작되는 것을 특징으로 하는, 원료 공급 장치.
  2. 제1항에 있어서,
    상하 방향으로 신장되는 유로 및 횡방향으로 신장되는 유로의 합류점에 설치된 3방향 밸브로 이루지는 제2 원료 공급 밸브를 구비하고,
    상기 원료 공급관의 상승 관로의 상단부측은, 상기 제2 원료 공급 밸브의 횡방향의 유로에 접속되고,
    상기 세정 유체 공급 밸브는, 상기 상하 방향으로 신장되는 유로에 있어서의 상기 제2 원료 공급 밸브보다도 상방에 설치되고,
    상기 제2 원료 공급 밸브는, 액체 원료를 상기 기화기에 공급할 때에는, 상기 횡방향으로 신장되는 유로와 하방으로 신장되는 유로를 연통시키고, 액체 원료를 상기 상승 관로를 통해 배출할 때에는, 상기 횡방향으로 신장되는 유로와 상방으로 신장되는 유로를 연통시키고, 액체 원료를 기화기측으로 배출시킬 때에는, 상기 상방으로 신장되는 유로와 하방으로 신장되는 유로를 연통시키도록 조작되는 것을 특징으로 하는, 원료 공급 장치.
  3. 제2항에 있어서,
    상기 상하 방향으로 신장되는 유로에 있어서의 상기 제2 원료 공급 밸브의 하방측에는, 당해 상하 방향으로 신장되는 유로 및 횡방향으로 신장되는 유로의 합류점에 위치하는 3방향 밸브로 이루어지는 제2 원료 배출 밸브를 설치하고,
    상기 제2 원료 배출 밸브에 있어서의 횡방향의 유로에는, 기화기를 향하는 원료 공급관이 접속되고,
    상기 제2 원료 배출 밸브로부터 하방으로 신장되는 유로는 제2 원료 배출관으로서 구성되고,
    상기 제2 원료 배출 밸브는, 액체 원료를 상기 기화기에 공급할 때에는, 상기 제2 원료 배출 밸브로부터 상방으로 신장되는 유로와 횡방향으로 신장되는 유로를 연통시키고, 액체 원료를 배출시킬 때에는, 상기 제2 원료 배출 밸브로부터 상방으로 신장되는 유로와 하방으로 신장되는 유로를 연통시키도록 조작되는 것을 특징으로 하는, 원료 공급 장치.
  4. 제2항 또는 제3항에 있어서,
    상하 방향으로 신장되는 유로 및 횡방향으로 신장되는 유로의 합류점에 설치된 3방향 밸브로 이루어지고,
    배관 중의 액체를 배출하기 위한 가스를 공급하기 위한 가스 공급 밸브를 구비하고,
    상기 가스 공급 밸브로부터 상방으로 신장되는 유로는, 상기 세정 유체 공급 밸브의 상단부측에 접속되고,
    상기 가스 공급 밸브로부터 횡방향으로 신장되는 유로는, 상기 가스를 공급하는 가스 공급관이 접속되고,
    상기 가스 공급 밸브로부터 하방으로 신장되는 유로는, 배관을 세정하기 위한 세정액을 공급하기 위한 세정액 공급관이 접속되어 있는 것을 특징으로 하는, 원료 공급 장치.
  5. 제4항에 있어서,
    상기 가스 공급 밸브로부터 하방으로 신장되는 유로에는, 당해 하방측으로 신장되는 유로 및 횡방향으로 신장되는 유로의 합류점에 설치된 3방향 밸브로 이루어지는 세정액 공급 밸브가 설치되고,
    상기 세정액 공급 밸브로부터 횡방향으로 신장되는 유로에는, 세정액 공급관이 접속되고,
    상기 세정액 공급 밸브로부터 하방으로 신장되는 유로에는, 세정액 배출관이 접속되어 있는 것을 특징으로 하는, 원료 공급 장치.
  6. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 제1 원료 공급 밸브는, 상하 방향으로 신장되는 유로 및 횡방향으로 신장되는 유로의 합류점에 설치된 3방향 밸브로 이루어지고,
    상기 제1 원료 배출 밸브는, 상기 제1 원료 공급 밸브보다도 하방측에 설치되는 동시에, 당해 제1 원료 배출 밸브의 상단부측이 상기 제1 원료 공급 밸브의 하단부측에 접속되고,
    상기 저류부는, 상기 제1 원료 공급 밸브의 횡방향의 유로에 접속되고,
    상기 제1 원료 배출관은, 상기 제1 원료 배출 밸브의 하단부측에 접속되어 있는 것을 특징으로 하는, 원료 공급 장치.
  7. 제5항에 있어서,
    상하 방향으로 신장되는 유로 및 횡방향으로 신장되는 유로의 합류점에 설치된 3방향 밸브로 이루어지는 제1 유체 배출 밸브와,
    이 유체 배출 밸브의 하방측에 설치되고, 상하 방향으로 신장되는 유로 및 횡방향으로 신장되는 유로의 합류점에 위치하는 3방향 밸브로 이루어지는 배액 흡입 밸브와,
    이 배액 흡입 밸브의 하방측에 설치된 제2 유체 배출 밸브를 구비하고,
    상기 제1 유체 배출 밸브의 상단부측에는, 상기 제1 원료 배출관이 접속되고,
    상기 제1 유체 배출 밸브의 측방측의 유로에는, 상기 제2 원료 배출관 및 상기 세정액 배출관이 접속되고,
    상기 배액 흡입 밸브의 측방측의 유로에는, 배기 기구가 접속되고,
    상기 제2 유체 배출 밸브의 하단부측에는, 배액부가 접속되고,
    액체 원료, 세정액 및 퍼지 가스 중 적어도 하나를 포함하는 유체를 상기 배기 기구에 의해 흡인할 때에는, 상기 배액 흡입 밸브의 상방으로 신장되는 유로와 횡방향으로 신장되는 유로를 연통시키고, 또한 제2 유체 배출 밸브를 폐쇄하는 동시에, 상기 제1 유체 배출 밸브의 하방으로 신장되는 유로와 상방으로 신장되는 유로 및 횡방향으로 신장되는 유로 중 적어도 한쪽을 연통시키고,
    상기 유체를 상기 배액부에 배출할 때에는, 상기 배액 흡입 밸브의 횡방향의 유로를 폐쇄하는 동시에, 상기 제2 유체 배출 밸브 및 상기 배액 흡입 밸브의 각각의 상하 방향으로 신장되는 유로와, 상기 제1 유체 배출 밸브의 하방으로 신장되는 유로와 상방으로 신장되는 유로 및 횡방향으로 신장되는 유로 중 적어도 한쪽을 연통시키는 것을 특징으로 하는, 원료 공급 장치.
  8. 제7항에 있어서,
    상기 원료 공급관, 상기 제1 원료 배출관, 상기 제2 원료 배출관 및 상기 세정액 배출관 중 적어도 하나의 배관에는, 당해 배관 내의 압력을 검출하기 위한 압력 검출부가 설치되어 있는 것을 특징으로 하는, 원료 공급 장치.
  9. 제1항 내지 제3항 중 어느 한 항에 기재된 원료 공급 장치와,
    이 원료 공급 장치로부터 공급되는 액체 재료를 기화하기 위한 기화기와,
    이 기화기에 있어서 액체 재료를 기화하여 얻어진 처리 가스를, 내부에 수납한 기판에 대하여 공급하기 위한 반응 용기를 구비한 것을 특징으로 하는, 성막 장치.
KR1020110143384A 2010-12-28 2011-12-27 원료 공급 장치 및 성막 장치 KR101463295B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010293207A JP5573666B2 (ja) 2010-12-28 2010-12-28 原料供給装置及び成膜装置
JPJP-P-2010-293207 2010-12-28

Publications (2)

Publication Number Publication Date
KR20120075420A KR20120075420A (ko) 2012-07-06
KR101463295B1 true KR101463295B1 (ko) 2014-11-18

Family

ID=46315167

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110143384A KR101463295B1 (ko) 2010-12-28 2011-12-27 원료 공급 장치 및 성막 장치

Country Status (5)

Country Link
US (1) US9080238B2 (ko)
JP (1) JP5573666B2 (ko)
KR (1) KR101463295B1 (ko)
CN (1) CN102560430B (ko)
TW (1) TWI506151B (ko)

Families Citing this family (370)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5837869B2 (ja) * 2012-12-06 2015-12-24 株式会社フジキン 原料気化供給装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP6078335B2 (ja) * 2012-12-27 2017-02-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、気化システム、気化器およびプログラム
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10504758B2 (en) * 2014-02-14 2019-12-10 Taiwan Semiconductor Manufacturing Company Ltd. Nozzle having real time inspection functions
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP6560924B2 (ja) * 2015-07-29 2019-08-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
JP6487574B2 (ja) * 2015-12-18 2019-03-20 株式会社Kokusai Electric 貯留装置、気化器、基板処理装置および半導体装置の製造方法
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6695701B2 (ja) * 2016-02-03 2020-05-20 株式会社Screenホールディングス 処理液気化装置と基板処理装置
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102326377B1 (ko) * 2016-06-07 2021-11-15 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
KR102506307B1 (ko) * 2016-06-29 2023-03-07 (주)지오엘리먼트 케미컬 회수 시스템
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR20180027780A (ko) * 2016-09-07 2018-03-15 주성엔지니어링(주) 기화기
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN110268091B (zh) * 2017-02-21 2021-10-12 株式会社爱发科 成膜方法、成膜装置、元件结构体的制造方法及元件结构体的制造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102464636B1 (ko) 2018-02-07 2022-11-09 삼성전자주식회사 세정 조성물, 세정 장치, 및 그를 이용한 반도체 소자의 제조 방법
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2021054135A1 (ja) * 2019-09-19 2021-03-25 株式会社フジキン 気化供給装置
KR20220058632A (ko) 2019-09-24 2022-05-09 도쿄엘렉트론가부시키가이샤 원료 공급 장치 및 원료 공급 방법
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7365946B2 (ja) * 2020-03-18 2023-10-20 東京エレクトロン株式会社 基板処理装置及びクリーニング方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002336677A (ja) * 2001-03-08 2002-11-26 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 洗浄機能を有する原料液供給装置
JP2005129782A (ja) * 2003-10-24 2005-05-19 Hitachi Kokusai Electric Inc 基板処理装置
JP2009170800A (ja) * 2008-01-18 2009-07-30 Tokyo Electron Ltd 気化原料供給装置、成膜装置及び気化原料供給方法
JP2010040845A (ja) * 2008-08-06 2010-02-18 Hitachi Kokusai Electric Inc 基板処理装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10135154A (ja) * 1996-11-05 1998-05-22 Fujitsu Ltd 薄膜気相成長方法
JP3997338B2 (ja) 1997-02-14 2007-10-24 忠弘 大見 流体制御装置
JPH11229149A (ja) * 1998-02-18 1999-08-24 Nissin Electric Co Ltd 液体原料気化成膜装置と液体原料気化成膜方法
JP2000271471A (ja) * 1999-03-24 2000-10-03 Nippon M K S Kk 液体ソース供給システム及びその洗浄方法、気化器
KR100649852B1 (ko) * 1999-09-09 2006-11-24 동경 엘렉트론 주식회사 기화기 및 이것을 이용한 반도체 제조 시스템
JP3907955B2 (ja) * 2001-02-14 2007-04-18 株式会社ルネサステクノロジ 半導体の製造方法及び半導体の製造装置
CN1247323C (zh) * 2001-11-15 2006-03-29 液体空气乔治洛德方法利用和研究的具有监督和管理委员会的有限公司 具有清洁功能的原料液供应装置及拆卸其原料容器的方法
JP4626956B2 (ja) * 2004-10-18 2011-02-09 東京エレクトロン株式会社 半導体製造装置、液量監視装置、半導体製造装置の液体材料監視方法、及び、液量監視方法
JP4256884B2 (ja) * 2006-06-23 2009-04-22 東京エレクトロン株式会社 気化器への原料液供給ユニット
US8544500B2 (en) * 2010-05-18 2013-10-01 Ckd Corporation Coupling apparatus for chemical fluid flow channel

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002336677A (ja) * 2001-03-08 2002-11-26 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 洗浄機能を有する原料液供給装置
JP2005129782A (ja) * 2003-10-24 2005-05-19 Hitachi Kokusai Electric Inc 基板処理装置
JP2009170800A (ja) * 2008-01-18 2009-07-30 Tokyo Electron Ltd 気化原料供給装置、成膜装置及び気化原料供給方法
JP2010040845A (ja) * 2008-08-06 2010-02-18 Hitachi Kokusai Electric Inc 基板処理装置

Also Published As

Publication number Publication date
CN102560430A (zh) 2012-07-11
TWI506151B (zh) 2015-11-01
CN102560430B (zh) 2015-01-28
TW201247911A (en) 2012-12-01
US9080238B2 (en) 2015-07-14
JP2012142380A (ja) 2012-07-26
KR20120075420A (ko) 2012-07-06
US20120160172A1 (en) 2012-06-28
JP5573666B2 (ja) 2014-08-20

Similar Documents

Publication Publication Date Title
KR101463295B1 (ko) 원료 공급 장치 및 성막 장치
KR101346598B1 (ko) 기판 처리 장치 및 고체 원료 보충 방법
US10876205B2 (en) Reactant vaporizer and related systems and methods
KR101060633B1 (ko) 반도체 디바이스의 제조 방법 및 기판 처리 장치
US20080168946A1 (en) Liquid supplying unit and method, facility for treating substrates with the unit, and method for treating substrates
JP5958231B2 (ja) 縦型熱処理装置
KR101033408B1 (ko) 수용 대상물 이송 시스템
KR101232688B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법 및 액체 유량 제어 장치의 동작 확인 방법
JP4879041B2 (ja) 基板処理装置
CN103334091A (zh) 真空处理装置
KR100950400B1 (ko) 기판 처리 시스템
KR102122786B1 (ko) 저류 장치, 기화기, 기판 처리 장치 및 반도체 장치의 제조 방법
KR20110138189A (ko) 지지체 구조 및 처리 장치
KR20110131096A (ko) 성막 방법 및 성막 장치
CN102242350A (zh) 成膜方法和成膜装置
JP5880627B2 (ja) 原料供給装置及び半導体製造装置
JP2007227471A (ja) 基板処理装置
JP2011187485A (ja) 基板処理装置
JP5060375B2 (ja) 基板処理装置および半導体装置の製造方法
US10720319B2 (en) Method and a processing device for processing at least one carrier
JP5373161B2 (ja) 気化ユニットの洗浄方法
JP2007194331A (ja) 基板処理装置
JP4149595B2 (ja) 原料ガス供給装置
KR20070024761A (ko) 반도체 제조 장치
JP2012049324A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171018

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181030

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20191029

Year of fee payment: 6