KR101346598B1 - 기판 처리 장치 및 고체 원료 보충 방법 - Google Patents

기판 처리 장치 및 고체 원료 보충 방법 Download PDF

Info

Publication number
KR101346598B1
KR101346598B1 KR1020120026409A KR20120026409A KR101346598B1 KR 101346598 B1 KR101346598 B1 KR 101346598B1 KR 1020120026409 A KR1020120026409 A KR 1020120026409A KR 20120026409 A KR20120026409 A KR 20120026409A KR 101346598 B1 KR101346598 B1 KR 101346598B1
Authority
KR
South Korea
Prior art keywords
raw material
container
pipe
purge gas
valve
Prior art date
Application number
KR1020120026409A
Other languages
English (en)
Other versions
KR20120107858A (ko
Inventor
토모시 타니야마
고키 코야마
Original Assignee
가부시키가이샤 깃츠 에스시티
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 깃츠 에스시티, 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 깃츠 에스시티
Publication of KR20120107858A publication Critical patent/KR20120107858A/ko
Application granted granted Critical
Publication of KR101346598B1 publication Critical patent/KR101346598B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/246Replenishment of source material
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B23/00Single-crystal growth by condensing evaporated or sublimed materials
    • C30B23/02Epitaxial-layer growth
    • C30B23/06Heating of the deposition chamber, the substrate or the materials to be evaporated
    • C30B23/066Heating of the material to be evaporated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

간단한 구성으로 고체 원료를 보충할 수 있는 기판 처리 장치 및 간단히 고체 원료를 보충할 수 있는 고체 원료 보충 방법을 제공한다. 고체 원료 용기(300); 고체 원료 용기와 처리실(201)의 사이의 배관(232b); 고체 원료 용기와 접속되는 배관(380)으로서, 보충용 고체 원료를 보지하는 원료 보충 용기(350)가 설치될 수 있는 설치부를 구비하는 배관(380); 배관(380)과 진공 배기 수단(246)의 사이에 접속되는 배관(259); 배관(380)에 접속되어 퍼지 가스를 도입하기 위한 배관(284); 배관(259)의 도중에 접속되는 밸브(268); 및 배관(284)의 도중에 접속되는 밸브(269);를 구비하고, 원료 보충 용기로부터 고체 원료 용기에 고체 원료를 보충하기 위해서 원료 보충 용기가 설치부에 설치되었을 때에, 배관내(380)를 진공 흡인하고, 그 후 배관(380) 내에 퍼지 가스를 도입한다.

Description

기판 처리 장치 및 고체 원료 보충 방법{SUBSTRATE PROCESSING APPARATUS AND METHOD FOR SUPPLYING SOLID MATERIAL}
본 발명은, 기판 처리 장치 및 고체 원료 보충 방법에 관한 것으로, 특히, 반도체 웨이퍼 등의 기판을 처리하기 위한 기판 처리 장치 및 상기 기판 처리 장치에 고체 원료를 보충하는 고체 원료 보충 방법에 관한 것이다.
반도체 웨이퍼의 표면에 박막을 형성하는 경우, 내부에 반도체 웨이퍼 재치부(載置部)를 구비한 처리실을 포함하는 기판 처리 장치가 사용된다. 처리실에는 원료 가스를 공급하는 원료 공급계가 접속되어 있고, 원료 공급계로부터 원료 가스가 처리실 내에 공급되어, 반도체 웨이퍼 상에 박막이 형성된다.
기판 처리 장치를 사용한 박막 형성에서, GaCl3과 같은 상온에 있어서 고체인 물질을 원료로서 사용하는 경우, 고체 원료를 수용한 고체 원료 탱크를 설치하고, 고체 원료 탱크 내에서 고체 원료를 승화시키고, 승화한 기체 원료를 원료 가스로 하여 원료 공급계의 배관을 통해서 처리실 내에 공급한다.
종래는, 고체 원료 탱크 내의 고체 원료가 없어지면, 빈 고체 원료 탱크를 원료 공급계의 배관으로부터 분리하여 고체 원료가 충분히 충전되어 있는 고체 원료 탱크와 교환하는 것이 수행되고 있다.
이러한 종래 기술에 있어서는, 고체 원료 탱크의 교환을 위해서 빈 고체 원료 탱크를 원료 공급계의 배관으로부터 분리하였을 때에, 원료 공급계의 배관이 대기(大氣) 개방되고, 배관 내에 대기 중의 수분 등이 부착되어, 수분 제거하기 위한 퍼지 시간이 길어진다는 문제가 있다.
그로부터, 고체 원료 탱크를 분리하지 않고 고체 원료 탱크에 원료를 보충할 수 있는 기술이 개발되어 있다(일본 특허 공개 제2010-40695호 공보 참조).
이 기술에서는, 고체 원료를 보지하는 원료 용기와, 원료 용기에 접속되어 원료 용기에 고체 원료를 보충하는 원료 보충 용기와, 원료 보충 용기를 가열하는 히터와, 원료 용기와 원료 보충 용기의 내부의 압력을 조정 가능한 압력 조정 수단을 구비하는 장치를 사용하여, 원료 보충 용기의 내부의 압력을 감압하고, 원료 보충 용기의 내부를 가열하여 고체 원료를 승화시켜서 기체 원료로 변태(變態)시켜, 원료 용기의 내부의 압력을 감압하는 것으로 원료 보충 용기로부터의 기체 원료를 원료 용기에 포집(捕集)하고, 원료 보충 용기의 내부를 강온(降溫)하는 것을 소정 횟수 반복하는 것에 의해, 원료 보충 용기로부터 원료 용기에 고체 원료를 보충하고 있다.
또한, 고체 원료를 가열하여 증발시켜서 성막용 원료 가스를 얻기 위해서, 고체 원료를 저류(貯流)하는 고체 원료 저류부와, 고체 원료 저류부로부터 공급되는 고체 원료를 용융시켜서 액체 원료를 얻는 고체 원료 수용실과, 고체 원료 수용실과 연통하여 고체 원료 수용실로부터 공급되는 액체 원료를 기화시키는 기화 실을 구비한 장치도 제안되어 있다(일본 특허 공개 제2010-144221호 공보).
1. 일본 특허 공개 제2010-40695호 공보 2. 일본 특허 공개 제2010-144221호 공보
그러나, 이러한 고체 원료 보충 기술에서는, 장치 구성이 복잡한 것이 되고, 보충 방법도 복잡한 것이 된다.
본 발명의 주 목적은, 간단한 구성으로 고체 원료를 보충할 수 있는 기판 처리 장치 및 간단히 고체 원료를 보충할 수 있는 고체 원료 보충 방법을 제공하는 것이다.
본 발명에 의하면,
기판을 수용 가능한 처리실;
상기 기판의 처리에 이용하는 기체 원료를, 고체 원료를 승화시켜서 생성하여, 상기 처리실에 공급하는 원료 공급계; 및
제어부;
를 포함하는 기판 처리 장치로서,
상기 원료 공급계는,
상기 고체 원료를 수용하는 고체 원료 용기;
상기 고체 원료 용기와 상기 처리실의 사이에 접속되는 제1 배관;
상기 고체 원료 용기와 접속되는 제2 배관으로서, 보충용의 상기 고체 원료를 보지(保持)하는 원료 보충 용기가 설치될 수 있는 설치부를 구비하는 상기 제2 배관;
상기 제2 배관과 진공 배기 수단의 사이에 접속되는 제3 배관;
상기 제2 배관에 접속되고, 퍼지 가스를 도입하기 위한 제4 배관;
상기 제3 배관의 도중에 접속되는 제1 밸브; 및
상기 제4 배관의 도중에 접속되는 제2 밸브;
를 구비하고,
상기 제어부는, 상기 원료 보충 용기로부터 상기 고체 원료 용기에 상기 고체 원료를 보충하기 위해서 상기 원료 보충 용기가 상기 설치부에 설치되었을 때, 상기 제2 배관 내를 진공 흡인하고, 그 후 상기 제2 배관 내에 상기 퍼지 가스를 도입하도록, 상기 진공 배기 수단과 상기 제1 밸브와 상기 제2 밸브를 제어하는 것인 기판 처리 장치가 제공된다.
또한, 본 발명에 의하면,
기판의 처리에 이용하는 기체 원료를, 고체 원료를 승화시켜서 생성하여 상기 기판을 처리하는 처리실에 공급하는 원료 공급계로서, 상기 고체 원료를 수용하는 고체 원료 용기; 상기 고체 원료 용기와 상기 처리실의 사이에 접속되는 제1 배관; 상기 고체 원료 용기와 접속되는 제2 배관으로서, 보충용의 상기 고체 원료를 보지하는 원료 보충 용기가 설치될 수 있는 설치부를 구비하는 상기 제2 배관; 상기 제2 배관과 진공 배기 수단의 사이에 접속되는 제3 배관; 상기 제2 배관에 접속되고, 퍼지 가스를 도입하기 위한 제4 배관; 상기 제3 배관의 도중(途中)에 접속되는 제1 밸브; 및 상기 제4 배관의 도중에 접속되는 제2 밸브;를 구비하는 상기 원료 공급계의 상기 설치부에 상기 원료 보충 용기를 설치하는 공정;
상기 원료 보충 용기가 상기 설치부에 설치된 상태에서, 상기 제2 밸브를 닫고 상기 제1 밸브를 열어, 상기 제2 배관 내를 상기 진공 배기 수단으로 진공 흡인하는 공정;
그 후, 상기 제1 밸브를 닫고 상기 제2 밸브를 열어, 상기 제2 배관 내에 상기 퍼지 가스를 도입하는 공정; 및
그 후, 상기 원료 보충 용기로부터 상기 고체 원료 용기에 상기 고체 원료를 상기 제2 배관을 개재하여 보충하는 공정;
을 구비하는 고체 원료 보충 방법이 제공된다.
본 발명에 의하면, 간단한 구성으로 고체 원료를 보충할 수 있는 기판 처리 장치 및 간단히 고체 원료를 보충할 수 있는 고체 원료 보충 방법이 제공된다.
도 1은, 본 발명의 바람직한 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 구성을 설명하기 위한 개략 사투시도.
도 2는, 본 발명의 바람직한 제1 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 처리로의 일례(一例)와 그에 부수되는 원료 공급계나 배기계 등을 설명하기 위한 개략 구성도이며, 처리로 부분을 개략 종단면으로 도시하는 개략 구성도.
도 3은, 도 2에 도시하는 처리로의 내부 구조를 설명하기 위한 개략 횡단면도(橫斷面圖).
도 4는, 도 2에 도시하는 처리로의 노즐을 설명하기 위한 개략도.
도 5는, 도 4의 A 부분의 개략 부분 확대도.
도 6은, 본 발명의 바람직한 제1 실시 형태에서 바람직하게 이용되는 기판 처리 장치에 원료 보충 카트리지를 설치했을 때의 상태와, 원료 공급 탱크와 원료 보충 카트리지의 주위의 배관 등을 설명하기 위한 도면.
도 7은, 본 발명의 바람직한 제1 실시 형태에서 바람직하게 이용되는 기판 처리 장치에 원료 보충 카트리지를 설치했을 때의 상태와, 원료 공급 탱크와 원료 보충 카트리지의 주위의 배관 등을 설명하기 위한 일부 절흠(切欠) 개략 정면도.
도 8은, 본 발명의 바람직한 제1 실시 형태에서 바람직하게 이용할 수 있는 기판 처리 장치에 원료 보충 카트리지를 설치했을 때의 상태와, 원료 공급 탱크와 원료 보충 카트리지의 주위의 배관 등을 설명하기 위한, 도 7의 AA선 개략 시시도(矢視圖).
도 9는, 본 발명의 바람직한 제1 실시 형태로 바람직하게 이용되는 원료 보충 카트리지를 설명하기 위한 개략 부분 단면도.
도 10은, 본 발명의 바람직한 제1 실시 형태에서 바람직하게 이용되는 기판 처리 장치로부터 원료 보충 카트리지를 분리했을 때의 상태와, 원료 공급 탱크와 원료 보충 카트리지의 주위의 배관 등을 설명하기 위한 일부 절흠 개략 정면도.
도 11은, 본 발명의 바람직한 제1 실시 형태에서 바람직하게 이용할 수 있는 기판 처리 장치로부터 원료 보충 카트리지를 분리하였을 때의 상태와, 원료 공급 탱크와 원료 보충 카트리지의 주위의 배관 등을 설명하기 위한, 도 10의 AA선 개략 시시도.
도 12는, 본 발명의 바람직한 제2 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 처리로의 일례와 그에 부수되는 원료 공급계나 배기계 등을 설명하기 위한 개략 구성도이며, 처리로 부분을 개략 종단면으로 도시하는 개략 구성도.
도 13은, 본 발명의 바람직한 제2 실시 형태에서 바람직하게 이용되는 기판 처리 장치에 원료 보충 카트리지를 설치하였을 때의 상태와, 원료 공급 탱크와 원료 보충 카트리지의 주위의 배관 등을 설명하기 위한 도면.
도 14는, 본 발명의 바람직한 제2 실시 형태에서 바람직하게 이용되는 기판 처리 장치에 원료 보충 카트리지를 설치하였을 때의 상태와, 원료 공급 탱크와 원료 보충 카트리지의 주위의 배관 등을 설명하기 위한 일부 절흠 개략 정면도.
도 15는, 본 발명의 바람직한 제2 실시 형태에서 바람직하게 이용되는 기판 처리 장치에 원료 보충 카트리지를 설치하였을 때의 상태와, 원료 공급 탱크와 원료 보충 카트리지의 주위의 배관 등을 설명하기 위한, 도 14의 BB선 개략 시시도.
도 16은, 본 발명의 바람직한 제2 실시 형태에서 바람직하게 이용되는 원료 보충 카트리지를 설명하기 위한 개략 부분 단면도.
도 17은, 본 발명의 바람직한 제2 실시 형태에서 바람직하게 이용되는 원료 보충 카트리지를 설명하기 위한 개략 부분 단면도.
도 18은, 본 발명의 바람직한 제2 실시 형태에서 바람직하게 이용되는 기판 처리 장치에 원료 보충 카트리지를 분리하였을 때의 상태와, 원료 공급 탱크와 원료 보충 카트리지의 주위의 배관 등을 설명하기 위한 도면.
도 19는, 본 발명의 바람직한 제2 실시 형태에서 바람직하게 이용되는 기판 처리 장치로부터 원료 보충 카트리지를 분리하였을 때의 상태와, 원료 공급 탱크와 원료 보충 카트리지의 주위의 배관 등을 설명하기 위한 일부 절흠 개략 정면도.
도 20은, 본 발명의 바람직한 제2 실시 형태에서 바람직하게 이용되는 기판 처리 장치로부터 원료 보충 카트리지를 분리하였을 때의 상태와, 원료 공급 탱크와 원료 보충 카트리지의 주위의 배관 등을 설명하기 위한, 도 19의 BB선 개략 시시도.
도 21은, 비교를 위한, 원료 공급 탱크를 분리하여 고체 원료를 보충하는 기술을 설명하기 위한 도면이며, 원료 공급 탱크를 설치한 상태를 도시하고 있다.
도 22는, 비교를 위한, 원료 공급 탱크를 분리하여 고체 원료를 보충하는 기술을 설명하기 위한 도면이며, 원료 공급 탱크를 분리한 상태를 도시하고 있다.
이하, 본 발명의 바람직한 실시 형태에 대해서 도면을 참조하면서 설명한다.
우선, 본 발명의 바람직한 제1 및 제2 실시 형태에서 바람직하게 사용되는 기판 처리 장치에 대해서 설명한다. 이 기판 처리 장치는, 반도체 장치의 제조에 사용되는 반도체 제조 장치의 일례로서 구성되고 있는 것이다.
다음의 설명에서는, 기판 처리 장치의 일례로서, 기판에 대하여 성막 처리 등을 수행하는 종형(縱型) 장치를 이용한 경우에 대해서 서술한다. 그러나, 본 발명은, 종형 장치의 사용을 전제로 한 것이 아니고, 예컨대, 매엽(枚葉) 장치를 사용해도 좋다. 또한, 성막 처리뿐만 아니라, 에칭 처리 등에도 이용하여도 좋다.
도 1을 참조하면, 기판 처리 장치(101)에서는, 기판의 일례가 되는 웨이퍼(200)를 수납한 카세트(110)가 사용되고 있고, 웨이퍼(200)는 반도체 실리콘 등의 재료로 구성되어 있다. 기판 처리 장치(101)는 광체(111, 筐體)를 구비하고 있고, 광체(111)의 내부에는 카세트 스테이지(114)가 설치되어 있다. 카세트(110)는 카세트 스테이지(114) 상에 공정 내 반송 장치(도시되지 않음)에 의해 반입되거나, 카세트 스테이지(114) 상으로부터 반출된다.
카세트 스테이지(114) 상으로는 카세트(110)가, 공정 내 반송 장치(도시되지 않음)에 의해, 카세트(110) 내의 웨이퍼(200)가 수직 자세를 보지하면서 카세트(110)의 웨이퍼 출입구가 상방향을 향하도록 재치된다. 카세트 스테이지(114)는, 카세트(110)를 광체(111)의 후방에 오른쪽 종방향으로 90°회전하여, 카세트(110) 내의 웨이퍼(200)가 수평 자세가 되고 카세트(110)의 웨이퍼 출입구가 광체(111)의 후방을 향하도록 동작 가능해지도록 구성되어 있다.
광체(111) 내의 전후 방향의 대략 중앙부에는 카세트 선반(105)이 설치되어 있고, 카세트 선반(105)은 복수 단 복수 열로 복수 개의 카세트(110)를 보관하도록 구성되어 있다. 카세트 선반(105)에는 웨이퍼 이재 기구(125)의 반송 대상이 되는 카세트(110)가 수납되는 이재 선반(123)이 설치되어 있다.
카세트 스테이지(114)의 상방에는 예비 카세트 선반(107)이 설치되어, 예비적으로 카세트(110)를 보관하도록 구성되어 있다.
카세트 스테이지(114)와 카세트 선반(105)의 사이에는, 카세트 반송 장치(118)가 설치되어 있다. 카세트 반송 장치(118)는, 카세트(110)를 보지한 상태에서 승강 가능한 카세트 엘리베이터(118a)와, 반송 기구로서의 카세트 반송 기구(118b)를 구비하고 있다. 카세트 반송 장치(118)는 카세트 엘리베이터(118a)와 카세트 반송 기구(118b)의 연동 동작에 의해, 카세트 스테이지(114)와 카세트 선반(105)과 예비 카세트 선반(107)의 사이에서, 카세트(110)를 반송하도록 구성되어 있다.
카세트 선반(105)의 후방에는, 웨이퍼 이재 기구(125)가 설치되어 있다. 웨이퍼 이재 기구(125)는, 웨이퍼(200)를 수평 방향으로 회전 또는 직동(直動) 가능한 웨이퍼 이재 장치(125a)와, 웨이퍼 이재 장치(125a)를 승강시키기 위한 웨이퍼 이재 장치 엘리베이터(125b)를 구비하고 있다. 웨이퍼 이재 장치(125a)에는 웨이퍼(200)를 픽업하기 위한 트위저(125c)가 설치되어 있다. 웨이퍼 이재 장치(125)는 웨이퍼 이재 장치(125a)와 웨이퍼 이재 장치 엘리베이터(125b)의 연동 동작에 의해, 트위저(125c)를 웨이퍼(200)의 재치부로 하여서, 웨이퍼(200)를 보트(217)에 대하여 장전(차징)하거나, 보트(217)로부터 탈장(디스차징)하도록 구성되어 있다.
광체(111)의 후부 상방에는, 웨이퍼(200)를 열처리하는 처리로(202)가 설치되어 있고, 처리로(202)의 하단부가 노구 셔터(147)에 의해 개폐되도록 구성되어 있다.
처리로(202)의 하방으로는 처리로(202)에 대하여 보트(217)를 승강시키는 보트 엘리베이터(115)가 설치되어 있다. 보트 엘리베이터(115)의 승강대에는 암(128)이 연결되어 있고, 암(128)에는 씰 캡(219)이 수평으로 설치되어 있다. 씰 캡(219)은 보트(217)를 수직하게 지지하는 것과 함께, 처리로(202)의 하단부를 폐색(閉塞)가능하도록 구성되어 있다.
보트(217)는 복수의 보지 부재를 구비하고 있어, 복수 매(예컨대 50∼150매 정도)의 웨이퍼(200)를 그 중심을 맞추어서 수직 방향으로 정렬시킨 상태에서, 각각 수평으로 보지하도록 구성되어 있다.
카세트 선반(105)의 상방에는, 청정화한 분위기인 클린 에어를 공급하는 클린 유닛(134a)이 설치되어 있다. 클린 유닛(134a)은 공급 팬(도시되지 않음) 및 방진 필터(도시되지 않음)를 구비하고 있어, 클린 에어를 광체(111)의 내부에 유통시키도록 구성되어 있다.
광체(111)의 좌측 단부에는, 클린 에어를 공급하는 클린 유닛(134b)이 설치되어 있다. 클린 유닛(134b)도 공급 팬(도시되지 않음) 및 방진 필터(도시되지 않음)를 구비하고 있고, 클린 에어를 웨이퍼 이재 장치(125a)나 보트(217) 등의 근방으로 유통시키도록 구성되어 있다. 상기 클린 에어는, 웨이퍼 이재 장치(125a)나 보트(217) 등의 근방을 유통한 후에, 광체(111)의 외부로 배기되도록 되어 있다.
계속해서, 기판 처리 장치(101)의 주된 동작에 대해서 설명한다.
공정 내 반송 장치(도시하지 않음)에 의해 카세트(110)가 카세트 스테이지(114) 상으로 반입되면, 카세트(110)는, 웨이퍼(200)가 카세트 스테이지(114) 상에서 수직 자세를 보지하고, 카세트(110)의 웨이퍼 출입구가 상방향을 향하도록 카세트 스테이지(114) 상에 재치된다. 그 후, 카세트(110)는, 카세트 스테이지(114)에 의해, 카세트(110) 내의 웨이퍼(200)가 수평 자세가 되고 카세트(110)의 웨이퍼 출입구가 광체(111)의 후방을 향하도록, 광체(111)의 후방에 오른쪽 종방향으로 90°회전된다.
그 후, 카세트(110)는, 카세트 선반(105) 내지 예비 카세트 선반(107)의 지정된 선반 위치에 카세트 반송 장치(118)에 의해 자동적으로 반송되어 수도(受渡)되고, 일시적으로 보관된 후, 카세트 선반(105) 내지 예비 카세트 선반(107)으로부터 카세트 반송 장치(118)에 의해 이재 선반(123)에 이재되거나, 또는 직접 이재 선반(123)에 반송된다.
카세트(110)가 이재 선반(123)에 이재되면, 웨이퍼(200)는 카세트(110)로부터 웨이퍼 이재 장치(125a)의 트위저(125c)에 의해 카세트(110)의 웨이퍼 출입구를 통하여 픽업되고, 보트(217)에 장전(차징)된다. 보트(217)에 웨이퍼(200)를 수도한 웨이퍼 이재 장치(125a)는 카세트(110)로 되돌아가고, 후속의 웨이퍼(200)를 보트(217)에 장전한다.
미리 지정된 매수의 웨이퍼(200)가 보트(217)에 장전되면, 처리로(202)의 하단부를 닫고 있던 노구 셔터(147)가 열려서, 처리로(202)의 하단부가 개방된다. 그 후, 웨이퍼(200)군(群)을 보지한 보트(217)가 보트 엘리베이터(115)의 상승 동작에 의해 처리로(202) 내에 반입(로딩)되고, 처리로(202)의 하부가 씰 캡(219)에 의해 폐색된다.
로딩 후는, 처리로(202)에서 웨이퍼(200)에 대하여 임의의 처리가 실시된다. 그 처리 후는, 전술의 반대의 순서로, 웨이퍼(200) 및 카세트(110)가 광체(111)의 외부에 반출된다.
(제1 실시 형태)
다음으로 도 2∼도 5를 참조해서 전술한 기판 처리 장치(101)에 사용되는 제1 실시 형태의 처리로(202)나 원료 공급계(230), 배기계(240) 등에 대해서 설명한다.
도 2를 참조하면, 처리로(202)에는 웨이퍼(200)를 가열하기 위한 가열 장치(가열 수단)인 히터(207)가 설치되어 있다. 히터(207)는 상방이 폐색된 원통 형상의 단열 부재와 복수 개의 히터 소선을 구비하고 있고, 단열 부재에 대하여 히터 소선이 설치된 유닛 구성을 가지고 있다. 히터(207)의 내측에는, 웨이퍼(200)를 처리하기 위한 석영제의 반응관(203)이 설치되어 있다.
반응관(203)의 하부에는 매니폴드(209)가 설치되어 있다. 매니폴드(209)는, 보지 부재로서의 히터 베이스(221)에 고정되어 있다. 반응관(203)의 하단부 및 매니폴드(209)의 상부 개구 단부에는, 각각 환 형상[環狀]의 플랜지가 설치되고, 이들의 플랜지 사이에는 기밀 부재(220, 이하, O-링)가 배치되고, 양자의 사이는 기밀하게 씰링되어 있다.
매니폴드(209)의 하방으로는, 매니폴드(209)의 하단 개구를 기밀하게 폐색 가능한 노구 개체(蓋體)로서의 씰 캡(219)이 설치되어 있다. 씰 캡(219)은 매니폴드(209)의 하단에 수직 방향 하측으로부터 당접(當接)되도록 되어 있다. 씰 캡(219)은 예컨대 스텐레스 등의 금속으로 이루어지고, 원반 형상으로 형성되어 있다. 매니폴드(209)의 하부 개구 단부에 설치된 환 형상의 플랜지와 씰 캡(219)의 상면의 사이에는 기밀 부재(220, 이하, O-링)가 배치되고, 양자의 사이는 기밀하게 씰링되어 있다. 적어도, 반응관(203), 매니폴드(209) 및 씰 캡(219)에 의해 처리실(201)이 형성되어 있다.
씰 캡(219)에는 보트(217)를 지지하는 보트 지지대(218)가 설치되어 있다. 보트(217)는 보트 지지대(218)에 고정된 저판(210)과 그 상방에 배치된 천판(211)을 포함하고 있고, 저판(210)과 천판(211)의 사이에 복수 개의 지주(212, 支柱)가 가설된 구성을 가지고 있다(도 1 참조). 보트(217)에는 복수 매의 웨이퍼(200)가 보지되어 있다. 복수 매의 웨이퍼(200)는, 서로 일정한 간격을 비우면서 수평 자세를 보지한 상태로 반응관(203)의 관축(管軸) 방향으로 다단으로 적재되어 보트(217)의 지주(212)에 지지되어 있다.
씰 캡(219)의 처리실(201)과 반대측에는 보트를 회전시키는 회전 기구(227)가 설치되어 있다. 회전 기구(227)는, 씰 캡(219)을 관통하여 보트 지지대(218)에 접속되어 있고, 회전 기구(227)에 의해 보트 지지대(218)를 개재하여 보트(217)를 회전시키는 것으로 웨이퍼(200)를 회전시킨다.
씰 캡(219)은 반응관(203)의 외부에 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되고, 이에 의해 보트(217)를 처리실(201) 내에 대하여 반입 반출하는 것이 가능하게 되어 있다.
이상의 처리로(202)에서는, 복수 매의 웨이퍼(200)가 보트(217)에 탑재된 상태로, 보트(217)가 보트 지지대(218)로 지지되면서 처리실(201)에 삽입된다. 처리실(201)에 삽입된 보트(217)에는, 뱃치(batch) 처리되는 복수의 웨이퍼(200)가 수평 자세로 반응관(203)의 관축 방향으로 다단으로 적재되어 있다. 히터(207)가 처리실(201)에 삽입된 웨이퍼(200)를 소정의 온도로 가열하도록 되어 있다.
도 2∼도 5를 참조하면, 처리실(201)에는 복수 종류, 여기에서는 2종류의 가스를 공급하는 공급 경로로서의 2개의 가스 공급관(232a, 232b)이 설치되어 있다. 가스 공급관(232a, 232b)의 단부는, 매니폴드(209)의 하부를 관통하도록 설치되어 있고, 가스 공급관(232b)은, 처리실(201) 내로부터 가스 공급관(232a)과 합류하여, 2개의 가스 공급관(232a, 232b)이 1개의 다공 노즐(233)의 하단부에 연통되어 있다. 노즐(233)의 상부에는, 도 5에 도시하는 바와 같이, 가스를 방출하는 복수의 가스 공급공(238b)이 설치되어 있다.
노즐(233)은, 처리실(201) 내에 대략 수직으로 설치되고, 반응관(203)의 하부에서 상부에 걸쳐 웨이퍼(200)의 적재 방향을 따라 배설(配設)되어 있다. 반응관(203)의 상부는, 가스 공급관(232b)으로부터 공급되는 원료 가스의 분해 온도 이상의 영역에 연재(延在)하도록 배치되어 있다. 한편, 가스 공급관(232b)이 처리실(201) 내에서 가스 공급관(232a)과 합류하는 개소(箇所)는, 원료 가스의 분해 온도 미만의 영역으로서 웨이퍼(200) 및 웨이퍼 부근의 온도보다도 낮은 온도의 영역이다.
가스 공급관(232a)에는, 상류측으로부터 순서대로, 유량 제어 수단으로서의 매스 플로우 컨트롤러(241) 및 개폐 밸브인 밸브(251, 250)가 설치되어 있다. 또한, 가스 공급관(232a)에는, 밸브(250)와 밸브(251)의 사이에, 후술하는 배기관(247)에 접속된 벤트 라인(257) 및 밸브(256)가 설치되어 있다.
주로, 가스 공급관(232a), 매스 플로우 컨트롤러(241), 밸브(250, 251), 노즐(233), 벤트 라인(257) 및 밸브(256)에 의해 가스 공급계(230a)가 구성되어 있다.
또한, 가스 공급관(232a)에는 캐리어 가스를 공급하기 위한 캐리어 가스 공급관(232d)이, 밸브(250)의 하류측에서 접속되어 있다. 캐리어 가스 공급관(232d)에는 매스 플로우 컨트롤러(244) 및 밸브(254)가 설치되고 있다. 주로, 캐리어 가스 공급관(232d), 매스 플로우 컨트롤러(244), 밸브(254)에 의해 캐리어 가스 공급계(불활성 가스 공급계, 230d)가 구성되어 있다. 캐리어 가스 공급계(230d)로부터는, 예컨대, 질소(N2)가스 또는 아르곤(Ar)가스가 공급된다.
가스 공급관(232a)에서는, 기체상(氣體狀)의 원료 가스가 매스 플로우 컨트롤러(241)에서 유량 조정되어서 공급된다. 또한, 원료 가스를 처리실(201)에 공급하지 않고 있는 사이는, 밸브(250)를 닫고, 밸브(256)를 열고, 밸브(256)를 개재하여 원료 가스를 벤트 라인(257)에 흘려보내 둔다.
그리고, 원료 가스를 처리실(201)에 공급하는 때는, 밸브(256)를 닫고, 밸브(250)를 열어, 원료 가스를 밸브(250)의 하류의 가스 공급관(232a)에 공급한다. 또한, 캐리어 가스가 매스 플로우 컨트롤러(244)에서 유량 조정되어서 밸브(254)를 개재하여 캐리어 가스 공급관(232d)으로부터 공급되고, 원료 가스는 밸브(250)의 하류측에서 이 캐리어 가스와 합류하고, 노즐(233)을 개재하여 처리실(201)에 공급된다.
본 실시 형태에서는, 원료 가스로서 예컨대 암모니아 가스(NH3)가 가스 공급관(232a)에 공급되고, 노즐(233)을 개재하여 처리실(201)에 공급된다. 암모니아 가스를 공급하고 있는 것은, GaN막을 성막하는 경우를 상정(想定)하고 있기 때문이며, 성막하는 막의 종류에 따라서, 암모니아 가스 대신에 오존 가스, H2O, H2+CO2가스 등이 적절히 공급된다.
가스 공급관(232b)의 상류측 단부에는, 고체 원료(400)를 수용한 고체 원료 탱크(300)가 접속되어 있다. 가스 공급관(232b)에는, 고체 원료 탱크(300)로부터 순서대로 개폐 밸브인 밸브(265, 261)가 설치되어 있다. 또한, 가스 공급관(232b)에는, 밸브(265)와 밸브(261)의 사이에, 후술하는 배기관(231)에 접속된 벤트 라인(258) 및 밸브(262)가 설치되어 있다. 고체 원료 탱크(300)에는, 배관(375)을 개재하여 가스 공급관(282)이 접속되어 있다. 가스 공급관(282)에는, 상류측으로부터 순서대로, 유량 제어 수단으로서의 매스 플로우 컨트롤러(242), 개폐 밸브인 밸브(263, 264)가 설치되어 있다. 밸브(265)와 밸브(261)의 사이의 가스 공급관(232b)과, 밸브(263)와 밸브(264)의 사이의 가스 공급관(282)과의 사이에는 배관(283)이 접속되어 있다. 배관(283)에는 개폐 밸브인 밸브(266)가 설치되어 있다. 밸브(261∼266), 가스 공급관(282)의 일부, 가스 공급관(232b)의 일부 및 배관(283)은 도 7 및 도 8에 도시한 바와 같이, 집합 밸브(260)로서 구성되어 있다.
고체 원료 탱크(300)를 가열하는 히터(450, 451, 452)가 설치되어 있다. 히터(450, 451, 452)에 의해 고체 원료 탱크(300)의 저면, 측면, 천장부가 각각 가열되어, 고체 원료 탱크(300)에 수용된 고체 원료(400)를 소정 온도로 가열하는 것과 함께, 재고화(再固化)에 의한 고체 원료 탱크(300)의 내벽으로의 원료의 부착을 방지하고 있다. 또한, 밸브(261)로부터 매니폴드(209)까지의 가스 공급관(232b)에는 히터(281)가 감기고[卷回], 고체 원료 탱크(300)로부터 밸브(261)까지의 사이의 가스 공급관(232b)에는 히터(285)가 감기고, 벤트 라인(258)에는 히터(421)가 감겨서, 재고화에 의한 관 내벽으로의 원료의 부착을 방지하기 위하여 가열 가능하도록 구성되어 있다. 또한, 후술하는 밸브(267)에도 히터(453)가 설치되어, 재고화에 의한 밸브 내벽으로의 원료의 부착을 방지하기 위해서 가열 가능하도록 구성되어 있다.
또한, 밸브(265)와 고체 원료 탱크(300)의 사이의 가스 공급관(232b)에는 압력 센서(410)가 설치되어 있다. 압력 센서(410)는 가열 고온 대응 가능하다. 압력 센서(410)에 의해 고체 원료 탱크(300) 내의 분압을 모니터하여, 고체 원료 탱크(300) 내에서 원료가 승화하여 적절한 압력 상태에 있는지 어떤지, 원료의 잔량이 감소하는 것으로 압력이 강하하지 않는지 등을 관찰한다.
주로, 가스 공급관(282), 매스 플로우 컨트롤러(242), 밸브(263, 264), 배관(375), 고체 원료 탱크(300), 가스 공급관(232b), 밸브(265, 261), 노즐(233), 벤트 라인(258) 및 밸브(262)에 의해 가스 공급계(230b)가 구성되어 있다.
또한, 가스 공급관(232b)에는 캐리어 가스를 공급하기 위한 캐리어 가스 공급관(232c)이, 밸브(261)의 하류측에서 접속되어 있다. 캐리어 가스 공급관(232c)에는 매스 플로우 컨트롤러(243) 및 밸브(253)가 설치되어 있다. 주로, 캐리어 가스 공급관(232c), 매스 플로우 컨트롤러(243), 밸브(253)에 의해 캐리어 가스 공급계(불활성 가스 공급계, 230c)가 구성되어 있다. 캐리어 가스 공급계(230c)로부터는, 예컨대, 질소(N2)가스 또는 아르곤(Ar)가스가 공급된다.
히터(450, 451, 452)에 의해 고체 원료(400)를 수용한 고체 원료 탱크(300)를 소정 온도로 가열하면, 고체 원료(400)가 승화하여 기체가 되고, 고체 원료 탱크(300) 내의 공간(304)에 소정 온도에 대응한 소정 분압에서 존재한다. 그 상태에서, 캐리어 가스로서 예컨대, 질소(N2)가스를 매스 플로우 컨트롤러(242)에서 유량 조정하여, 배관(282)에 공급한다. 질소(N2)가스는, 밸브(263, 264), 배관(375)을 개재하여 고체 원료 탱크(300) 내의 공간(304)에 공급되고, 기체가 된 고체 원료(400)가 질소(N2)가스와 함께 배관(232b)에 유입된다. 기체가 된 고체 원료(400)를 처리실(201)에 공급하고 있지 않는 동안은, 밸브(261)를 닫고 밸브(262)를 열어, 밸브(262)를 개재하여 원료 가스를 벤트 라인(258)에 흘려보내 둔다.
그리고, 기체가 된 고체 원료(400)를 처리실(201)에 공급할 때는, 밸브(262)를 닫고 밸브(261)를 열고어 기체가 된 고체 원료(400)를 질소(N2)가스와 함께 밸브(261)의 하류의 가스 공급관(232b)에 공급한다. 한편, 캐리어 가스인 질소(N2)가스가 매스 플로우 컨트롤러(243)에서 유량 조정되어서 밸브(253)를 개재하여 캐리어 가스 공급관(232c)으로부터 공급되고, 기체가 된 고체 원료(400)와 질소(N2)가스는, 밸브(261)의 하류측에서 캐리어 가스 공급관(232c)으로부터 공급되는 캐리어 가스(질소 가스)와 합류하고, 노즐(233)을 개재하여 처리실(201)에 공급된다.
본 실시 형태에서는, 고체 원료(400)로서 예컨대 GaCl3이 이용되고, 승화해서 기체가 된 GaCl3이 가스 공급관(232b)에 공급되고, 노즐(233)을 개재하여 처리실(201)에 공급된다. 고체 원료(400)로서 GaCl3을 사용하고 있는 것은, GaN막을 성막하는 경우를 상정하고 있기 때문이며, 성막하는 막의 종류에 따라 GaCl3 대신에 AlCl3등이 적절히 이용된다.
주로, 가스 공급계(230a), 가스 공급계(230b), 캐리어 가스 공급계(230c), 캐리어 가스 공급계(230d)에 의해 원료 공급계(230)가 구성되어 있다.
또한, 배관(283) 및 밸브(266)는 퍼지용이며, 통상적으로는 닫아 두고, 퍼지할 때는, 밸브(264, 265)를 닫고, 밸브(263, 266)를 열고, 밸브(261 또는 262)를 열고, 가스 공급관(282), 밸브(263), 배관(283), 밸브(266), 가스 공급관(232b) 및 밸브(261)를 개재하여, 또는 가스 공급관(282), 밸브(263), 배관(283), 밸브(266), 벤트 라인(258) 및 밸브(262)를 개재하여 퍼지를 수행한다.
매니폴드(209)에는, 처리실(201) 내의 분위기를 배기하는 배기관(231)이 접속되어 있다. 배기관(231)에는 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller)밸브(255)를 개재하여 진공 배기 장치로서의 진공 펌프(246)가 접속되어 있어, 처리실(201) 내의 압력이 소정의 압력(진공도)이 되도록 진공 배기할 수 있도록 구성되어 있다. 진공 펌프(246)의 하류측의 배기관(247)은 폐가스 처리 장치(도시되지 않음) 등에 접속되어 있다. 또한, APC밸브(255)는, 밸브를 개폐하여 처리실(201) 내의 진공 배기·진공 배기 정지를 할 수 있고, 또한 밸브 개도(開度)를 조절하여 컨덕턴스를 조정해서 처리실(201) 내의 압력 조정을 할 수 있도록 되어 있는 개폐 밸브이다. 주로, 배기관(231), APC밸브(255), 진공 펌프(246), 압력 센서(245)에 의해 배기계(240)가 구성된다.
반응관(203) 내에는 온도 검출기로서의 온도 센서(도시되지 않음)가 설치되어 있고, 온도 센서에 의해 검출된 온도 정보에 기초하여 히터(207)로의 공급 전력을 조정하는 것으로, 처리실(201) 내의 온도가 원하는 온도 분포가 되도록 구성되어 있다.
반응관(203) 내의 중앙부에는 보트(217)가 설치되어 있다. 보트(217)는, 보트 엘리베이터(115, 도 1 참조)에 의해 반응관(203)에 대하여 승강(출입)할 수 있도록 되어 있다. 보트(217)가 반응관(203) 내에 도입되면, 매니폴드(209)의 하단부가 O-링(220)을 개재하여 씰 캡(219)으로 기밀하게 씰링된다. 보트(217)는 보트 지지대(218)로 지지되어 있다. 처리의 균일성을 향상하기 위해서, 보트 회전 기구(227)를 구동하여, 보트 지지대(218)에 지지된 보트(217)를 회전시킨다.
이상의 매스 플로우 컨트롤러(241, 242, 243, 244), 밸브(250, 251, 253, 254, 256, 261, 262, 263, 264, 265, 266, 268, 269), APC밸브(255), 히터(207, 281, 285, 421, 450, 451, 452), 온도 센서(도시되지 않음), 압력 센서(245), 진공 펌프(246), 보트 회전 기구(227), 보트 엘리베이터(115) 및 후술하는 밸브(268, 269) 등의 각 부재는 컨트롤러(280)에 접속되어 있다. 컨트롤러(280)는, 기판 처리 장치(101)의 전체의 동작을 제어하는 제어부(제어 수단)의 일례이며, 매스 플로우 컨트롤러(241, 242, 243, 244)의 유량 조정, 밸브(250, 251, 253, 254, 256, 261, 262, 263, 264, 265, 266), 밸브(268, 269)의 개폐 동작, APC밸브(255)의 개폐 및 압력 센서(245)에 기초한 압력 조정 동작, 히터(281, 285, 421, 450, 451, 452)의 온도 조정 동작, 온도 센서(도시되지 않음)에 기초한 히터(207)의 온도 조정 동작, 진공 펌프(246)의 기동·정지, 보트 회전 기구(227)의 회전 속도 조절, 보트 엘리베이터(115)의 승강 동작 등을 각각 제어하도록 되어 있다. 또한, 밸브(250, 251, 253, 254, 256, 261, 262, 263, 264, 265, 266, 268, 269)는 에어 밸브이며, 각각 전자 밸브를 개재하여 컨트롤러(280)에서 제어된다.
다음으로, 전술한 기판 처리 장치(101)를 사용하여, GaN막을 형성하는 프로세스에 대해서 설명한다. 또한, 이하의 스텝은, 컨트롤러(280)의 제어에 의해 수행된다.
히터(207)를 제어하여 처리실(201) 내를 소정의 온도에 보지한다.
그 후, 복수 매의 웨이퍼(200)가 보트(217)에 장전되면, 복수 매의 웨이퍼(200)를 지지한 보트(217)는, 보트 엘리베이터(115)에 의해 들어 올려져 처리실(201) 내에 반입된다. 이 상태에서, 씰 캡(219)은 O-링(220)을 개재하여 매니폴드(209)의 하단을 씰링한 상태가 된다.
그 후, 보트(217)를 보트 구동 기구(227)에 의해 회전시켜서, 웨이퍼(200)를 회전시킨다. 그 후, APC밸브(255)를 열고 진공 펌프(246)에 의해 처리실(201) 내를 진공 흡인하고, 웨이퍼(200)의 온도 등이 안정되면, 다음 스텝을 순차로 실행한다.
본 실시 형태에서는, ALD(Atomic Layer Deposition)법을 이용해서 GaN막의 성막을 수행한다. ALD법이란, 어떤 성막 조건(온도 등) 하에서, 성막에 사용하는 적어도 2종류의 원료가 되는 원료 가스를 1종류씩 교호적(交互的)으로 기판 상에 공급하여, 1원자 단위로 기판상에 흡착시키고, 표면 반응을 이용하여 성막을 수행하는 수법이다. 이 때, 막 두께의 제어는, 원료 가스를 공급하는 사이클 수로 수행한다(예컨대, 성막 속도가 1Å/사이클로 하면, 20Å의 막을 형성하는 경우 20사이클 수행한다).
분말 가공된 GaCl3을 고체 원료(400)로서 수용한 고체 원료 탱크(300)를 히터(450, 451, 452)에서 소정의 온도로 가열해 둔다. 또한, 히터(281, 285)에 의해 가스 공급관(232b)을, 히터(421)에 의해 벤트 라인(258)을, 각각 소정의 온도로 가열해 둔다.
배기관(231)의 APC밸브(255)를 소정의 각도로 열어 두고, 밸브(263, 264, 265)를 열어서 캐리어 가스로서 질소(N2)가스를 배관(282)으로부터 고체 원료 탱크(300)에 공급하고, 밸브(261)를 열어서 기체가 된 GaCl3을 질소 가스와 함께 가스 공급관(232b)에 공급한다. 한편, 밸브(253)를 열어서 캐리어 가스인 질소(N2)가스를 캐리어 가스 공급관(232c)으로부터 공급하고, 기체가 된 GaCl3과 질소 가스를, 밸브(261)의 하류측에서 캐리어 가스 공급관(232c)으로부터 공급되는 질소 가스와 합류시키고, 노즐(233)을 개재하여 처리실(201)에 공급한다.
다음으로, 밸브(261) 및 밸브(253)를 닫아, 기체가 된 GaCl3과 질소 가스의 처리실(201)로의 공급을 멈추고, 배기관(231)의 APC밸브(255)는 연 상태로 하여 진공 펌프(246)에 의해 처리실(201) 내를 배기하여, 잔류 GaCl3을 처리실(201) 내로부터 제거한다.
배기관(231)의 APC밸브(255)를 소정의 각도로 연 상태에서, 밸브(251, 2 50)를 열어서 NH3가스를 가스 공급관(232a)에 공급한다. 한편, 밸브(254)를 열어서 캐리어 가스인 질소 가스를 캐리어 가스 공급관(232d)으로부터 공급하고, NH3가스를, 밸브(251)의 하류측에서 캐리어 가스 공급관(232d)으로부터 공급되는 질소 가스와 합류시키고, 노즐(233)을 개재하여 처리실(201)에 공급한다.
다음으로, 밸브(250) 및 밸브(254)를 닫아, NH3가스와 질소 가스의 처리실(201)로의 공급을 멈추고, 배기관(231)의 APC밸브(255)는 연 상태로 하여 진공 펌프(246)에 의해 처리실(201) 내를 배기하여, 잔류 NH3가스를 처리실(201) 내로부터 제거한다.
이상의, 기체가 된 GaCl3의 처리실(201)로의 공급, GaCl3의 처리실(201)로부터의 제거, NH3가스의 처리실(201)로의 공급, NH3가스의 처리실(201)로부터의 제거의 4공정을 1사이클로 하여 소정 횟수 반복하는 것에 의해, 웨이퍼(200) 상에 GaN막의 성막을 수행한다.
소정 막 두께의 GaN막을 형성하는 성막 처리가 수행되면, N2등의 불활성 가스를 처리실(201) 내에 공급하면서 배기하는 것으로 처리실(201) 내를 불활성 가스로 퍼지한다. 그 후, 처리실(201) 내의 분위기를 불활성 가스로 치환하고, 처리실(201) 내의 압력을 대기압으로 복귀한다. 그 후, 보트 엘리베이터(115)에 의해 씰 캡(219)을 하강하여, 매니폴드(209)의 하단을 개구하고, 처리 완료된 웨이퍼(200)를 보트(217)에 탑재된 상태로 매니폴드(209)의 하단으로부터 처리실(201)의 외부로 반출한다. 그 후, 처리 완료된 웨이퍼(200)를 보트(217)로부터 취출(取出)한다.
이상과 같이 하여, 웨이퍼(200)로의 GaN막의 성막을 반복하고, 고체 원료 탱크(300)가 비면, 고체 원료 탱크(300)에 고체 원료(400)의 보충을 수행한다.
다음으로, 고체 원료 탱크(300)에 고체 원료(400)의 보충을 수행하기 위한 구조와 보충 방법에 대해서 설명한다.
도 2 및 도 6 내지 도 8을 참조하면, 고체 원료 탱크(300)는 밀폐된 구조로 되어 있다. 고체 원료 탱크(300)의 저부(303)에는, 중앙이 낮고 주변부가 높은 경사부(302)가 설치되어 있다. 고체 원료 탱크(300)의 천장판(310)에는, 관통공(314, 316)이 설치되어 있다. 관통공(314)에는, 조인트(322)를 개재하여 가스 공급관(232b)의 밸브(265)가 접속되어 있다. 관통공(316)에는 배관(375)이 접속되어 있다. 배관(375)에는 밸브(267)가 접속되고, 밸브(267)에는 배관(380)이 접속되고, 배관(380)에는 고체 원료(400)를 보충하기 위한 원료 보충 카트리지(350)가 설치되어 있다. 배관(375)에는, 또한, 조인트(321)를 개재하여 가스 공급관(282)의 밸브(264)가 접속되고 있다.
배관(375)의 플랜지(374)에는, O-링(373)을 개재하여 밸브(267)의 플랜지(372)가 클램프(384)에 의해 고정되어 있다. 밸브(267)의 플랜지(371)에는, O-링(370)을 개재하여 배관(380)의 플랜지(369)가 클램프(383)에 의해 고정되어 있다. 배관(380)의 플랜지(368)에는, O-링(367)을 개재하여 원료 보충 카트리지(350)의 밸브(270)의 플랜지(366)가 클램프(382)에 의해 고정되어 있다. 배관(380)의 플랜지(368)는, 관통공(316)의 바로 위에 위치하고 있다. 밸브(267) 및 밸브(270)는 수동의 버터플라이 밸브이다.
배관(380)에는, 퍼지 가스 공급 배관(284) 및 배관(259)이 접속되어 있다. 퍼지 가스 공급 배관(284)에는 밸브(269)가 설치되어 있다. 퍼지 가스 공급 배관(284)에 공급하는 퍼지 가스로서는, 예컨대, 질소(N2)가스가 이용된다. 배관(259)은, 진공 펌프(246)의 하류측의 배기관(231)에 접속되어 있다(도 2 참조). 배관(259)에는 밸브(268)가 설치되어 있다. 밸브(268, 269)의 개폐 동작은 컨트롤러(280)에서 제어된다.
또한, 전술한 원료 공급계(230)에는, 가스 공급계(230a), 가스 공급계(230b), 캐리어 가스 공급계(230c), 캐리어 가스 공급계(230d)뿐만 아니라, 고체 원료 탱크(300)에 접속된 퍼지 가스 공급 배관(284), 배관(259) 및 밸브(268, 269)도 포함된다.
도 9를 참조하면, 원료 보충 카트리지(350)는, 병(351)과, 밸브(270)와, 어댑터(360)를 구비하고, 병(351)에는 어댑터(360)를 개재하여 밸브(270)가 설치되어 있다. 병(351)의 입구부[口部](353)의 외주부에는 나사홈[螺旋溝](355)가 설치되어 있다. 어댑터(360)의 일단부(一端部)(361)의 내주부에는 나사홈(362)이 설치되어 있다. 병(351)의 입구부(353)와 어댑터(360)의 사이에는, PTFE제 패킹(357)이 설치되고, 어댑터(360)는, 병(351)의 입구부(353)에 패킹(357)을 개재하여 설치되어 있다. 어댑터(360)의 타단부(他端部)에는 플랜지(363)가 설치되어 있다. 어댑터(360)의 플랜지(363)에는, O-링(364)을 개재해서 밸브(270)의 플랜지(365)가 클램프(381)에 의해 고정되어 있다.
도 7 및 도 8은, 원료 보충 카트리지(350)를 배관(380)에 설치한 상태를 도시하고 있고, 도 10 및 도 11은, 원료 보충 카트리지(350)를 배관(380)으로부터 분리한 상태를 도시하고 있다. 도 10을 참조하면, 원료 보충 카트리지(350)를 배관(380)으로부터 분리한 후에는, 배관(380)의 플랜지(368)에는, O-링(367)을 개재하여 폐지판(閉止板, 377)이 클램프(382)에 의해 고정된다.
다음으로, 원료 보충 카트리지(350)를 이용하여, 고체 원료 탱크(300)에 고체 원료(400)를 보충하는 방법에 대해서 설명한다.
고체 원료 탱크(300)가 비면, 원료 보충 카트리지(350)을 배관(380)에 설치한다. 이 때에는, 배관(380)의 플랜지(368)에, O-링(367)을 개재하여 원료 보충 카트리지(350)의 밸브(270)의 플랜지(366)를 클램프(382)에 의해 고정한다. 또한, 밸브(267, 270)는 닫은 상태이다. 원료 보충 카트리지(350)를 배관(380)에 설치한 후, 밸브(268)를 열고, 배관(259), 배기관(231)을 개재하여 진공 펌프(246)에 의해, 배관(380) 내를 진공 흡인한다. 그 후, 밸브(268)를 닫고 밸브(269)를 열어, 배관(380) 내를 질소 가스로 퍼지한다. 퍼지 완료 후, 밸브(269)를 닫는다.
원료 보충 카트리지(350)의 밸브(270)와, 밸브(267)를 열고, 원료 보충 카트리지(350)의 병(351) 내의 고체 원료(400)를 고체 원료 탱크(300)에 낙하시켜서 공급한다. 공급된 고체 원료(400)는, 고체 원료 탱크(300)의 저부(底部, 303)의 경사부(302)에 의해, 고체 원료 탱크(300)의 중앙부에 균등하게 공급된다. 고체 원료(400)를 고체 원료 탱크(300)에 공급한 후에 있어서도, 고체 원료(400)와 천장판(310)과의 사이에는 공간(304)이 형성되도록 한다.
고체 원료(400)의 고체 원료 탱크(300)로의 공급이 끝나면, 밸브(270)와 밸브(267)를 닫고, 밸브(268)를 열어, 배관(259), 배기관(231)을 개재해서 진공 펌프(246)에 의해, 배관(380) 내를 진공 흡인한다. 그 후, 밸브(268)를 닫고 밸브(269)를 열어, 배관(380) 내를 질소 가스로 퍼지한다. 퍼지 완료 후, 밸브(269)를 닫는다.
그 후, 클램프(382)를 떼어서, 원료 보충 카트리지(350)를 배관(380)으로부터 분리한다. 원료 보충 카트리지(350)를 배관(380)으로부터 분리한 후에는, 배관(380)의 플랜지(368)에는, O-링(367)을 개재하여 폐지판(377)이 클램프(382)에 의해 고정된다(도 10 참조).
한편, 분리한 원료 보충 카트리지(350)는, 원료 공급 메이커에 송부되고, 다음 고체 원료(400)가, 원료 보충 카트리지(350)에 충전된다.
(제2 실시 형태)
다음으로 도 12를 참조하여 전술한 기판 처리 장치(101)에 사용되는 제2 실시 형태의 처리로(202)나 원료 공급계(230), 배기계(240) 등에 대해서 설명한다. 본 실시 형태의 처리로(202) 및 배기계(240)는 제1 실시 형태의 처리로(202) 및 배기계(240)와 동일하다. 본 실시 형태의 원료 공급계(230)는, 제1 실시 형태에서는, 가스 공급관(282) 및 배관(283)에는 히터가 설치되어 있지 않은 것에 대해서, 본 실시 형태에서는, 가스 공급관(282)에 히터(422)가 설치되고, 배관(283)에 히터(423)가 설치되어 있는 점이 제1 실시 형태의 원료 공급계(230)와 다르지만, 그 외의 점은 동일하다. 또한, 제2 실시 형태의 기판 처리 장치(101)를 사용하여 GaN을 형성하는 프로세스도 제1 실시 형태와 동일하다.
다음으로, 고체 원료 탱크(300)에 고체 원료(400)의 보충을 수행하기 위한 구조와, 보충 방법에 대해서 설명한다.
도 12∼도 15를 참조하면, 본 실시 형태의 고체 원료 탱크(300)는, 제1의 실시 형태의 고체 원료 탱크(300)의 구조와 같다. 고체 원료 탱크(300)의 관통공(316)에는, 배관(375)이 접속되어 있다. 배관(375)에는 밸브(267)가 접속되고, 밸브(267)에는 배관(380)이 접속되고, 배관(380)에는 고체 원료(400)를 보충하기 위한 원료 보충 카트리지(470)가 설치되어 있다.
배관(375)의 플랜지(374)에는, O-링(373)을 개재하여 밸브(267)의 플랜지(372)가 클램프(384)에 의해 고정되어 있다. 밸브(267)의 플랜지(371)에는, O-링(370)을 개재하여 배관(380)의 플랜지(369)가 클램프(383)에 의해 고정되어 있다. 배관(380)의 플랜지(368)에는, O-링(367)을 개재하여 원료 보충 카트리지(470)의 밸브(480)의 플랜지(466)가 클램프(382)에 의해 고정되어 있다. 배관(380)의 플랜지(368)는, 관통공(316)의 바로 위에 위치하고 있다. 밸브(267) 및 밸브(480)는 수동의 버터플라이 밸브이다.
배관(380)에는, 퍼지 가스 공급 배관(284) 및 배관(259)이 접속되어 있다. 퍼지 가스 공급 배관(284)에는 밸브(269)가 설치되어 있다. 퍼지 가스 공급 배관(284)에 공급하는 퍼지 가스로서는, 예컨대, 질소(N2)가스 또는 아르곤(Ar)가스가 이용된다. 배관(259)은, 진공 펌프(246)의 하류측의 배기관(231)에 접속되어 있다(도 12 참조). 배관(259)에는 밸브(268)가 설치되어 있다. 밸브(268, 269)의 개폐 동작은, 컨트롤러(280)에서 제어된다. 퍼지 가스 공급 배관(284)에는 히터(425)가 설치되고, 배관(259)에는 히터(426)가 설치되어 있다.
밸브(269)의 상류측의 퍼지 가스 공급 배관(284)에는 배관(494)의 일단이 접속되어 있다. 배관(494)에는 밸브(485)가 설치되어 있다. 배관(494)의 타단에는 조인트(512)가 설치되어 있다. 밸브(268)의 하류측의 배관(259)에는 배관(495)의 일단이 접속되어 있다. 배관(495)에는 밸브(487)가 설치되어 있다. 배관(495)의 타단에는 조인트(511)가 설치되어 있다. 밸브(485)와 조인트(512)의 사이의 배관(494)과 밸브(487)와 조인트(511)의 사이의 배관(495)의 사이에는, 배관(493)이 접속되어 있다. 배관(493)에는 밸브(486)가 설치되어 있다.
또한, 원료 공급계(230)에는, 가스 공급계(230a), 가스 공급계(230b), 캐리어 가스 공급계(230c), 캐리어 가스 공급계(230d)뿐만 아니라, 고체 원료 탱크(300)에 접속된 퍼지 가스 공급 배관(284), 배관(259) 및 밸브(269, 268)도 포함된다.
원료 보충 카트리지(470)는, 용기(471)와, 밸브(480)와, 밸브(483)와, 밸브(484)를 구비하고 있다. 용기(471)는, 용기 본체(472)와, 그 아래의 용기 설치용 배관부(473)를 구비하고 있다. 용기 설치용 배관부(473)의 상단부는, 용기 본체(472)에 연통되어 있다. 용기 설치용 배관부(473)의 하단부에는 플랜지(463)가 설치되어 있다. 용기 설치용 배관부(473)의 플랜지(463)에는, O-링(464)을 개재하여 밸브(480)의 플랜지(465)가 클램프(481)에 의해 고정되어 있다.
용기 설치용 배관부(473)에는 배관(491)이 접속되어 있다. 배관(491)에는 밸브(483)가 접속되어 있다. 용기 본체(472)의 상부에는 배관(492)이 접속되어 있다. 배관(492)에는 밸브(484)가 접속되어 있다.
용기 본체(472)에는, 덮개(474)가 나사(476)에 의해 설치되어 있다. 용기 본체(472)와 덮개(474)의 사이에는, O-링 등의 봉지(封止)부재(도시되지 않음)가 설치되어 있다. 덮개(474)에는, 고체 원료(400)가 보이도록 창(475)이 설치되어 있다.
도 13∼도 15는, 원료 보충 카트리지(470)를 배관(380)에 설치한 상태를 도시하고 있다. 전술한 바와 같이, 원료 보충 카트리지(470)의 밸브(480)는, 클램프(382)에 의해 배관(380)에 고정되어 있다. 밸브(483)는 배관(494)의 조인트(512)에 접속되어 있다. 밸브(484)는 배관(495)의 조인트(511)에 접속되어 있다.
도 16∼도 20은, 원료 보충 카트리지(470)를 배관(380)에 설치하기 전 및 분리한 후의 상태를 도시하고 있다. 또한, 분리한 경우에는, 고체 원료(400)는 용기(471) 내에는 남아 있지 않다. 원료 보충 카트리지(470)를 배관(380)에 설치하기 전 및 분리한 후에는, 밸브(480)의 플랜지(466)에는, O-링(489)을 개재하여 폐지판(488)이 클램프(482)에 의해 고정되어 있다. 밸브(483)에는 폐지 마개[閉止栓](498)가 설치되고, 밸브(484)에는 폐지 마개(499)가 설치되어 있다. 또한, 배관(380)의 플랜지(368)에는, O-링(367)을 개재해서 폐지판(377)이 클램프(382)에 의해 고정되어 있다. 배관(494)의 조인트(512)에는 폐지 마개(478)가 설치되고, 배관(495)의 조인트(511)에는 폐지 마개(479)가 설치되어 있다.
본 실시 형태에서는, 매스 플로우 컨트롤러(241, 242, 243, 244), 밸브(250, 251, 253, 254, 256, 261, 262, 263, 264, 265, 266, 268, 269, 483, 484, 485, 486, 487), APC밸브(255), 히터(207, 281, 285, 421, 422, 423, 424, 425, 426, 450, 451, 452, 453), 온도 센서(도시되지 않음), 압력 센서(245), 진공 펌프(246), 보트 회전 기구(227), 보트 엘리베이터(115) 등의 각 부재는 컨트롤러(280)에 접속되어 있다. 컨트롤러(280)는, 기판 처리 장치(101)의 전체의 동작을 제어하는 제어부(제어 수단)의 일례이며, 매스 플로우 컨트롤러(241, 242, 243, 244)의 유량 조정, 밸브(250, 251, 253, 254, 256, 261, 262, 263, 264, 265, 266, 268, 269, 483, 484, 485, 486, 487)의 개폐 동작, APC밸브(255)의 개폐 및 압력 센서(245)에 기초한 압력 조정 동작, 히터(281, 285, 421, 422, 423, 424, 425, 426, 450, 451, 452, 453)의 온도 조정 동작, 온도 센서(도시되지 않음)에 기초한 히터(207)의 온도 조정 동작, 진공 펌프(246)의 기동·정지, 보트 회전 기구(227)의 회전 속도 조절, 보트 엘리베이터(115)의 승강 동작 등을 각각 제어하도록 되어 있다. 또한, 밸브(250, 251, 253, 254, 256, 261, 262, 263, 264, 265, 266, 268, 269, 483, 484, 485, 486, 487)는 에어 밸브이며, 각각 전자 밸브를 개재하여 컨트롤러(280)에서 제어된다.
다음으로, 원료 보충 카트리지(470)를 사용하여, 고체 원료 탱크(300)에 고체 원료(400)를 공급 또는 보충하는 방법에 대해서 설명한다.
우선, 기판 처리 장치(101)를 시동하는 경우의 운용에 대해서 설명한다. 기판 처리 장치(101)를 시동할 때는, 도 16∼도 20에 도시하는 바와 같이, 원료 보충 카트리지(470)는 배관(380)에 설치되어 있지 않다. 배관(380)의 플랜지(368)에는, 폐지판(377)이 설치되어 있다. 배관(494)의 조인트(512)에는 폐지 마개(478)가 설치되고, 배관(495)의 조인트(511)에는 폐지 마개(479)가 설치되어 있다. 밸브(250, 251, 253, 254, 256, 261, 262, 263, 264, 265, 266, 268, 269, 483, 484, 485, 486, 487)는 모두 닫혀 있고, 히터(281, 285, 421, 422, 423, 424, 425, 426, 450, 451, 452, 453)는 모두 오프 상태로 되어 있다.
우선, 밸브(263, 264, 265, 261)를 열어, 가스 공급관(282)으로부터, 질소(N2)가스 또는 아르곤(Ar)가스 등의 퍼지 가스를 공급하여, 고체 원료 탱크(300) 및 가스 공급관(232b)을 개재하여 고체 원료 탱크(300) 상부 배관 라인을 퍼지하고, 또한, 밸브(268, 269, 485, 486, 487)를 열어, 퍼지 가스 공급 배관(284)으로부터, 질소(N2)가스 또는 아르곤(Ar)가스 등의 퍼지 가스를 공급하여, 밸브(267) 상부 배관 라인을 퍼지한 후, 히터(281, 285, 421, 422, 423, 424, 425, 426, 450, 451, 452, 453)를 온으로 하고, 모든 라인을 100℃이상으로 설정하고, 12시간∼48시간 수분 제거를 실시한다.
그 후, 히터(450, 451, 452)를 제어하여, 고체 원료 탱크(300)를 사용 온도(40℃∼150℃)로 설정하고, 히터(453), 히터(281, 285, 421, 422, 423, 424)를 제어하여, 밸브(267) 및 가스 공급관(282), 가스 공급관(232b), 벤트 라인(258), 배관(283, 375)을, 고체 원료 탱크(300)를 사용 온도 +5℃로부터 10℃로 설정하고, 히터(424, 425, 426)는 오프로 한다. 밸브(265, 261)를 닫고, 밸브(266, 262)를 열어, 가스 공급관(282)으로부터, 질소(N2)가스 또는 아르곤(Ar)가스 등의 퍼지 가스를 공급하여, 가스 공급관(282), 배관(283) 및 벤트 라인(258)을 개재하여 바이패스 라인 퍼지를 실시한다. 또한, 밸브(268, 487)를 닫고, 밸브(267) 상부 배관 라인을 가압 상태로 한다.
다음으로, 원료 보충 카트리지(470)를 설치할 때의 운용에 대해서 설명한다. 도 16, 도 17, 도 19, 도 20을 참조하면, 원료 보충 카트리지(470)의 밸브(480)에 설치되어 있는 폐지판(488)을 분리하고, 밸브(483)에 설치되어 있는 폐지 마개(498) 및 밸브(484)에 설치되어 있는 폐지 마개(499)를 분리한다. 또한, 배관(380)의 플랜지(368)에 설치되어 있는 폐지판(377)을 분리하고, 배관(494)의 조인트(512)에 설치되어 있는 폐지 마개(478) 및 배관(495)의 조인트(511)에 설치되어 있는 폐지 마개(479)를 분리한다. 그리고, 도 14 및 도 16에 도시하는 바와 같이, 원료 보충 카트리지(470)의 밸브(480)를 배관(380)의 플랜지(368)에 설치하고, 밸브(483)를 배관(494)의 조인트(512)에 설치하고, 밸브(484)를 배관(495)의 조인트(511)에 설치하는 것에 의해, 원료 보충 카트리지(470)를 설치한다.
다음으로, 도 13∼도 15를 참조하여, 밸브(269)를 연 상태에서, 밸브(268)를 5초간 열고, 그 후 25초간 닫는 것을 반복하여, 15회 이상의 사이클 퍼지를 실시하고, 대기 개방된, 밸브(267)와 밸브(480) 사이의 배관(380), 밸브(269)와 배관(380) 사이의 퍼지 가스 공급 배관(284) 및 밸브(269)와 배관(380) 사이의 배관(259)을 퍼지한다.
또한, 밸브(487)를 열고, 밸브(486)를 닫고, 밸브(485, 483)를 열어, 퍼지 가스 공급 배관(284)으로부터, 질소(N2)가스 또는 아르곤(Ar)가스 등의 퍼지 가스를 공급하여, 원료 보충 카트리지(470) 내, 배관(494), 밸브(483), 배관(491), 배관(492), 밸브(484) 및 배관(495)을 퍼지하고, 수분 제거를 수행한다. 이 때에는, 배관(491)을 개재하여 원료 보충 카트리지(470)의 하부로부터 퍼지 가스가 원료 보충 카트리지(470) 내에 도입되고, 원료 보충 카트리지(470)의 상부에 설치한 배관(492)으로부터 퍼지 가스가 배출되므로, 퍼지 가스에 의해 원료 보충 카트리지(470)의 고정 원료(400)의 수분도 제거된다.
밸브(269, 268)를 닫아, 원료 충전 대기 상태로 한다. 밸브(266, 262)를 닫고, 밸브(264, 261)를 열어, 가스 공급관(282)으로부터, 질소(N2)가스 또는 아르곤(Ar)가스 등의 퍼지 가스를 공급하여, 고체 원료 탱크(300) 내의 퍼지를 실시한다.
그 후, 밸브(487)를 닫고, 밸브(267, 480)를 열어, 고체 원료(400)를 원료 보충 카트리지(470)로부터 고체 원료 탱크(300)에 공급한다. 그 후, 밸브(267)를 닫고, 밸브(269, 268)를 열어, 퍼지를 수행한다.
그 후, 밸브(487)를 열어, 원료 보충 카트리지(470) 내를 퍼지한다. 밸브(264, 265)를 닫고, 밸브(266, 261)를 열어, 프로세스 공급 대기 상태로 한다.
히터(424, 425, 426)를 80℃로 설정하고, 고체 원료(400)를 원료 보충 카트리지(470)로부터 고체 원료 탱크(300)에 충전 후 12시간 경과 후, 밸브(480)를 닫고, 밸브(483, 484)를 닫고, 밸브(486)를 열고, 원료 보충 카트리지(470) 내의 퍼지를 정지한다. 밸브(268, 487)를 닫어, 퍼지 가스를 봉입한다.
원료 보충 카트리지(470)의 밸브(480)를 배관(380)의 플랜지(368)로부터 분리하고, 밸브(483)를 배관(494)의 조인트(512)로부터 분리하고, 밸브(484)를 배관(495)의 조인트(511)로부터 분리하여, 원료 보충 카트리지(470)를 분리한다. 원료 보충 카트리지(470)의 밸브(480)에 폐지판(488)을 설치하고, 밸브(483)에 폐지 마개(498)를 설치하고, 밸브(484)에 폐지 마개(499)를 설치한다. 배관(380)의 플랜지(368)에 폐지판(377)을 설치하고, 배관(494)의 조인트(512)에 폐지 마개(478)를 설치하고, 배관(495)의 조인트(511)에 폐지 마개(479)를 설치한다. 밸브(469, 487)를 열어 상시 라인 퍼지한다.
이상과 같이 하여, 기판 처리 장치(101)를 시동한 후, 원료 보충 카트리지(470)를 설치하고, 고체 원료(400)를 고체 원료 탱크(300)에 공급하는 방법에 대해서 설명하였으나, 고체 원료 탱크(300)의 고체 원료(400)가 빈 후에, 원료 보충 카트리지(470)를 설치하고, 고체 원료(400)를 고체 원료 탱크(300)에 공급할 때에도 상기와 같은 조작을 수행한다.
상기한 바와 같이 하여, 고체 원료(400)를, 원료 보충 카트리지(470)로부터 고체 원료 탱크(300)에 공급하는 것에 의해, 수분을 0.5ppm이하로 하여 고체 원료(400)를 고체 원료 탱크(300)에 공급할 수 있으므로, 염화 가스와 수분이 반응하는 것을 충분히 억제할 수 있어, 고체 원료 탱크(300) 내가 부식되지 않고, 반영구적으로 고체 원료(400)를 공급하는 것이 가능하다.
또한, 원료 보충 카트리지(470) 내를 퍼지 가능하게 되어 있으므로, 원료 보충 카트리지(470) 내로의 고체 원료(400)의 공급 시에 혼입(混入)한 수분을 제거하는 것이 가능하다.
도 21 및 도 22를 참조하여, 비교를 위한, 고체 원료 탱크를 분리하여 고체 원료를 보충하는 기술을 설명한다. 본 비교예에 있어서는, 상기 실시 형태의 고체 원료 탱크(300)를 대신하여 고체 원료 탱크(330)를 사용한다. 고체 원료 탱크(330)에는, 밸브(325), 조인트(323) 및 조인트(321)를 개재하여 가스 공급관(282)의 밸브(264)가 접속되어 있다. 고체 원료 탱크(330)에는, 또한, 밸브(326), 조인트(324) 및 조인트(322)를 개재하여 가스 공급관(232b)의 밸브(265)가 접속되어 있다.
성막 등의 웨이퍼(200)의 처리를 수행하는 경우는, 고체 원료(400)로 하여서 수용한 고체 원료 탱크(330)를 소정의 온도로 가열해 두고, 밸브(263, 264, 325, 326, 265, 261)를 열어, 캐리어 가스로서 질소(N2)가스를 배관(282)으로부터 고체 원료 탱크(330)에 공급하여, 기체가 된 고체 원료(400)를 질소 가스와 함께 가스 공급관(232b)에 공급한다.
고체 원료 탱크(330)가 비면, 밸브(264, 325, 326, 265)를 닫고, 조인트(323, 324)를 분리하여, 고체 원료 탱크(330)를 분리한다. 그 때, 밸브(264)와 조인트(323)의 사이의 배관(282') 및 밸브(265)와 조인트(324)의 사이의 배관(232b')은 대기 개방되어, 배관(282') 내 및 배관(232b')에 대기 중의 수분 등이 부착된다. 그 때문에, 교환한 고체 원료 탱크(330)를 설치한 후, 밸브(264)와 밸브(325) 사이의 배관(282') 및 밸브(265)와 밸브(326)의 사이의 배관(232b')의 수분을 제거하기 위해서, 밸브(264, 265, 261)를 닫고, 밸브(263, 266, 262)를 열어서 배관(282)으로부터 질소(N2)가스를 도입하고, 배관(258)에 흘려서, 질소 가스 퍼지를 수행할 필요가 있어, 퍼지 시간이 길어진다는 문제가 있다.
전술한 본 발명의 바람직한 제1 및 제2 실시 형태에서는, 배관(380)에 원료 보충 카트리지(350, 470)를 설치하고, 원료 보충 카트리지(350, 470)로부터 고체 원료(400)를 고체 원료 탱크(300)에 공급하는 구조이므로, 장치 구성도 간단하고, 고체 원료(400)도 간단히 보충할 수 있다. 또한, 고체 원료(400)를 원료 보충 카트리지(350, 470)로부터 고체 원료 탱크(300)에 직접 공급할 수 있다. 또한, 일본 특허 공개 제2010-40695호 공보에서와 같이, 고체 원료 탱크(300) 이외의 보충용 고체 원료 탱크를 사용할 필요도 없다.
또한, 본 발명의 제1 및 제2 바람직한 실시 형태에서는, 고체 원료(400)의 보충 시에 고체 원료 탱크(300)를 분리할 필요가 없다. 고체 원료 탱크(300)를 분리하지 않으므로, 밸브(264)와 고체 원료 탱크(300) 사이에 배관 및 밸브(265)와 고체 원료 탱크(300) 사이에 배관이 대기 개방되는 일이 없어, 고체 원료(400)의 보충 시에 이들의 배관의 수분 제거를 위한 퍼지를 수행할 필요가 없다. 그 때문에, 고체 원료(400)의 보충 시간이 비교예와 비교하여 대폭 단축할 수 있다.
또한, 배관(380)에는, 진공 펌프(246)에 접속된 배관(259)이 접속되고, 또한, 퍼지용 퍼지 가스를 공급하는 퍼지 가스 공급 배관(284)이 접속되고, 또한 밸브[270(480), 267]가 설치되어 있으므로, 원료 보충 카트리지(350, 470)를 배관(380)에 설치한 후, 배관(380) 내를 진공 흡인하고, 그 후 질소 가스 퍼지를 수행할 수 있다. 따라서, 배관(380) 내를 질소 가스 분위기로 한 상태에서 원료 보충 카트리지(350, 470)로부터 고체 원료 탱크(300)에 고체 원료(400)를 보충할 수 있다. 그 결과, 고체 원료(400) 보충 시에, 고체 원료 탱크(300) 내가 대기 분위기에 노출되는 일은 없다.
고체 원료 탱크(300)의 저부(303)에는, 중앙이 낮고, 주변부가 높은 경사부(302)를 설치하고 있으므로, 보충된 고체 원료(400)가, 고체 원료 탱크(300)의 중앙이 아니라, 단(端) 쪽으로부터 공급되어도, 경사부(302)에 의해, 중앙부에 균등하게 이동하기 쉽게 되어 있다.
또한, 상기에서는, ALD법에 의해 GaN막을 성막하는 방법을 예로 하여서 설명하였으나, ALD법에 의해 성막하는 것이나, GaN막을 성막하는 것은 일례이며, 다른 방법, 예컨대, CVD법으로 성막해도 좋고, 다른 막, 예컨대, AlN막을 성막하여도 좋다.
또한, 상기에서는, 고체 원료의 GaCl3을 사용했지만, TMGa(트리메틸갈륨)이나 TMAl(트리메틸알루미늄)을 사용할 수도 있다. 이들은, GaN, AlN의 성막에 바람직하게 사용된다.
(본 발명의 바람직한 형태)
이하, 본 발명의 바람직한 형태에 대해서 부기(付記)한다.
(부기 1)
본 발명의 바람직한 일 형태에 의하면,
기판을 수용 가능한 처리실;
상기 기판의 처리에 이용하는 기체 원료를, 고체 원료를 승화시켜서 생성하여, 상기 처리실에 공급하는 원료 공급계; 및
제어부;
를 포함하는 기판 처리 장치로서,
상기 원료 공급계는,
상기 고체 원료를 수용하는 고체 원료 용기;
상기 고체 원료 용기와 상기 처리실의 사이에 접속되는 제1 배관;
상기 고체 원료 용기와 접속되는 제2 배관으로서, 보충용의 상기 고체 원료를 보지하는 원료 보충 용기가 설치될 수 있는 설치부를 구비하는 상기 제2 배관;
상기 제2 배관과 진공 배기 수단의 사이에 접속되는 제3 배관;
상기 제2 배관에 접속되고, 퍼지 가스를 도입하기 위한 제4 배관;
상기 제3의 배관의 도중에 접속되는 제1 밸브; 및
상기 제4 배관의 도중에 접속되는 제2 밸브;를 구비하고,
상기 제어부는, 상기 원료 보충 용기로부터 상기 고체 원료 용기에 상기 고체 원료를 보충하기 위해서 상기 원료 보충 용기가 상기 설치부에 설치되었을 때, 상기 제2 배관 내를 진공 흡인하고, 그 후 상기 제2 배관 내에 상기 퍼지 가스를 도입하도록, 상기 진공 배기 수단과 상기 제1 밸브와 상기 제2 밸브를 제어하는 제어 수단인 기판 처리 장치가 제공된다.
(부기 2)
부기 1의 기판 처리 장치로서, 바람직하게는, 상기 원료 보충 용기에 퍼지 가스를 도입하는 상기 원료 보충 용기의 퍼지 가스 도입부가 설치될 수 있는 원료 보충 용기 퍼지 가스 도입부 설치부; 및 상기 원료 보충 용기로부터 퍼지 가스를 배출하는 상기 원료 보충 용기의 퍼지 가스 배출부가 설치될 수 있는 원료 보충 용기 퍼지 가스 배출부 설치부;를 더 구비하고,
상기 제어부는, 상기 원료 보충 용기로부터 상기 고체 원료 용기에 상기 고체 원료를 보충하기 위해서, 상기 원료 보충 용기가 상기 설치부에 설치되고, 상기 원료 보충 용기의 퍼지 가스 도입부가 상기 원료 보충 용기 퍼지 가스 도입부 설치부에 설치되고, 상기 원료 보충 용기의 퍼지 가스 배출부가 상기 원료 보충 용기 퍼지 가스 배출부 설치부에 설치되었을 때에, 상기 제2 배관 내를 진공 흡인하고, 그 후 상기 제2 배관 내에 상기 퍼지 가스를 도입하도록, 상기 진공 배기 수단과 상기 제1 밸브와 상기 제2 밸브를 제어하고, 상기 원료 보충 용기의 퍼지 가스 도입부로부터 상기 퍼지 가스를 상기 원료 보충 용기에 도입하고, 상기 원료 보충 용기의 퍼지 가스 배출부로부터 상기 퍼지 가스를 배출하도록, 상기 진공 배기 수단과 상기 제1 밸브와, 상기 제2 밸브와, 상기 퍼지 가스 도입부와, 상기 퍼지 가스 배출부를 제어하는 제어 수단이다.
(부기 3)
부기 2의 기판 처리 장치로서, 바람직하게는, 상기 원료 보충 용기의 퍼지 가스 도입부는, 상기 원료 보충 용기가 상기 설치부에 설치되었을 때의 상기 원료 보충 용기의 하부에 접속되고, 상기 원료 보충 용기의 퍼지 가스 배출부는, 상기 원료 보충 용기가 상기 설치부에 설치되었을 때의 상기 원료 보충 용기의 상부에 접속되어 있다.
(부기 4)
부기 1∼3의 어느 하나의 기판 처리 장치로서, 바람직하게는, 상기 제2 배관과 상기 고체 원료 용기의 사이에 설치된 제3 밸브를 구비한다.
(부기 5)
부기 1∼4의 어느 하나의 기판 처리 장치로서, 바람직하게는, 상기 제2 배관은, 상기 고체 원료 용기의 천장부에 접속된다.
(부기 6)
부기 1∼5의 어느 하나의 기판 처리 장치로서, 바람직하게는, 상기 고체 원료 용기는, 용기 내부의 저부에 중앙이 낮고, 주변부가 높은 경사부를 구비한다.
(부기 7)
본 발명의 바람직한 다른 형태에 의하면,
기판의 처리에 이용하는 기체 원료를, 고체 원료를 승화시켜서 생성하여, 상기 기판을 처리하는 처리실에 공급하는 원료 공급계로서, 상기 고체 원료를 수용하는 고체 원료 용기; 상기 고체 원료 용기와 상기 처리실 사이에 접속되는 제1 배관; 상기 고체 원료 용기와 접속되는 제2 배관으로서, 보충용의 상기 고체 원료를 보지하는 원료 보충 용기가 설치될 수 있는 설치부를 구비하는 상기 제2 배관; 상기 제2 배관과 진공 배기 수단 사이에 접속되는 제3 배관; 상기 제2 배관에 접속되고, 퍼지 가스를 도입하기 위한 제4 배관; 상기 제3 배관의 도중에 접속되는 제1 밸브; 및 상기 제4 배관의 도중에 접속되는 제2 밸브;를 구비하는 상기 원료 공급계의 상기 설치부에 상기 원료 보충 용기를 설치하는 공정;
상기 원료 보충 용기가 상기 설치부에 설치된 상태에서, 상기 제2 밸브를 닫고 상기 제1 밸브를 열어, 상기 제2 배관 내를 상기 진공 배기 수단으로 진공 흡인하는 공정;
그 후, 상기 제1 밸브를 닫고 상기 제2 밸브를 열어, 상기 제2 배관 내에 상기 퍼지 가스를 도입하는 공정; 및
그 후, 상기 원료 보충 용기로부터 상기 고체 원료 용기에 상기 고체 원료를 상기 제2 배관을 개재하여 보충하는 공정;
을 구비하는 고체 원료 보충 방법이 제공된다.
(부기 8)
부기 7의 고체 원료 보충 방법으로서, 바람직하게는,
상기 원료 보충 용기에 퍼지 가스를 도입하는 상기 원료 보충 용기의 퍼지 가스 도입부를 상기 원료 공급계의 원료 보충 용기 퍼지 가스 도입부 설치부에 설치하고, 상기 원료 보충 용기로부터 퍼지 가스를 배출하는 상기 원료 보충 용기의 퍼지 가스 배출부를 상기 원료 공급계의 원료 보충 용기 퍼지 가스 배출부 설치부에 설치하는 공정; 및
그 후, 상기 원료 보충 용기로부터 상기 고체 원료 용기에 상기 고체 원료를 상기 제2 배관을 개재하여 보충하기 전에, 상기 원료 보충 용기의 퍼지 가스 도입부로부터 상기 퍼지 가스를 상기 원료 보충 용기에 도입하고, 상기 원료 보충 용기의 퍼지 가스 배출부로부터 상기 퍼지 가스를 배출하는 공정;을 더 구비한다.
(부기 9)
부기 8의 고체 원료 보충 방법으로서, 바람직하게는, 상기 원료 보충 용기의 퍼지 가스 도입부는 상기 원료 보충 용기가 상기 설치부에 설치되었을 때의 상기 원료 보충 용기의 하부에 접속되고, 상기 원료 보충 용기의 퍼지 가스 배출부는 상기 원료 보충 용기가 상기 설치부에 설치되었을 때의 상기 원료 보충 용기의 상부에 접속되어 있다.
(부기 10)
부기 8 또는 부기 9의 고체 원료 보충 방법으로서, 바람직하게는, 상기 원료 공급계는, 상기 제2 배관과 상기 고체 원료 용기와의 사이에 설치된 제3 밸브를 구비하고, 상기 원료 보충 용기를 설치하는 공정과, 상기 진공 흡인하는 공정과, 상기 퍼지 가스를 도입하는 공정에서는, 상기 제3 밸브를 닫고, 상기 고체 원료를 보충하는 공정에서는 상기 제3 밸브를 연다.
(부기 11)
부기 8∼10의 어느 하나의 고체 원료 보충 방법으로서, 바람직하게는, 상기 원료 보충 용기가 제4 밸브를 구비하고, 상기 원료 보충 용기는 상기 제4 밸브를 개재하고, 상기 설치부에 상기 원료 보충 용기가 설치될 수 있고,
상기 원료 보충 용기를 설치하는 공정과, 상기 진공 흡인하는 공정과, 상기 퍼지 가스를 도입하는 공정에서는, 상기 제4 밸브를 닫고, 상기 고체 원료를 보충하는 공정에서는 상기 제4 밸브를 연다.
(부기 12)
부기 8∼11의 어느 하나의 고체 원료 보충 방법으로서, 바람직하게는, 상기 제2 배관은, 상기 고체 원료 용기의 천장부에 접속되고, 상기 고체 원료를 보충하는 공정에서는, 상기 원료 보충 용기로부터 상기 고체 원료 용기에 상기 고체 원료를 낙하시킨다.
(부기 13)
부기 8∼12의 어느 하나의 고체 원료 보충 방법으로서, 바람직하게는, 상기 고체 원료 용기는, 용기 내부의 저부에 중앙이 낮고 주변부가 높은 경사부를 구비한다.
(부기 14)
본 발명의 바람직한 또 다른 형태에 의하면,
기판을 수용 가능한 처리실; 및
상기 기판의 처리에 이용하는 기체 원료를, 고체 원료를 승화시켜서 생성하여, 상기 처리실에 공급하는 원료 공급계;
를 포함하는 기판 처리 장치로서,
상기 원료 공급계는,
상기 고체 원료를 수용하는 고체 원료 용기;
상기 고체 원료 용기와 상기 처리실과의 사이에 접속되는 제1 배관; 및
상기 고체 원료 용기와 접속되는 제2 배관으로서, 보충용의 상기 고체 원료를 보지하는 원료 보충 용기가 설치될 수 있는 설치부를 구비하는 상기 제2 배관; 을 구비하는 기판 처리 장치가 제공된다.
(부기 15)
부기 14의 기판 처리 장치로서, 바람직하게는, 상기 제2 배관은, 상기 고체 원료 용기의 천장부에 접속된다.
(부기 16)
부기 15의 기판 처리 장치로서, 바람직하게는, 상기 설치부는, 상기 제2 배관이 상기 고체 원료 용기의 천장부에 접속되는 개소의 바로 위에 위치한다.
(부기 17)
부기 14∼16의 어느 하나의 기판 처리 장치로서, 바람직하게는, 상기 제2 배관과 상기 고체 원료 용기의 사이에 설치된 제1 밸브를 구비한다.
(부기 18)
부기 14∼17의 어느 하나의 기판 처리 장치로서, 바람직하게는, 상기 고체 원료 용기는, 용기 내부의 저부에 중앙이 낮고 주변부가 높은 경사부를 구비한다.
(부기 19)
부기 14∼18의 어느 하나의 기판 처리 장치로서, 바람직하게는, 상기 제2 배관과 진공 배기 수단의 사이에 접속되는 제3 배관; 상기 제2 배관에 접속되고, 퍼지 가스를 도입하기 위한 제4 배관;을 더 구비한다.
(부기 20)
본 발명의 바람직한 또 다른 형태에 의하면,
기판의 처리에 이용하는 기체 원료를, 고체 원료를 승화시켜서 생성하여, 상기 기판을 처리하는 처리실에 공급하는 원료 공급계로서, 상기 고체 원료를 수용하는 고체 원료 용기; 상기 고체 원료 용기와 상기 처리실과의 사이에 접속되는 제1 배관; 상기 고체 원료 용기와 접속되는 제2 배관으로서, 보충용의 상기 고체 원료를 보지하는 원료 보충 용기가 설치될 수 있는 설치부를 구비하는 상기 제2 배관;을 구비하는 상기 원료 공급계의 상기 설치부에 상기 원료 보충 용기를 설치하는 공정; 및
상기 원료 보충 용기가 상기 설치부에 설치된 상태에서, 상기 원료 보충 용기로부터 상기 고체 원료 용기에 상기 고체 원료를 상기 제2 배관을 개재하여 보충하는 공정;
을 구비하는 고체 원료 보충 방법이 제공된다.
(부기 21)
부기 20의 고체 원료 보충 방법으로서, 바람직하게는, 상기 제2 배관은 상기 고체 원료 용기의 천장부에 접속되고, 상기 고체 원료를 상기 제2 배관을 개재하여 보충하는 공정에서는, 상기 원료 보충 용기로부터 상기 고체 원료 용기에 상기 고체 원료를 낙하시켜서 보충한다.
(부기 22)
부기 20 또는 부기 21의 고체 원료 보충 방법으로서, 바람직하게는, 상기 설치부는, 상기 제2 배관이 상기 고체 원료 용기의 천장부에 접속되는 개소의 바로 위에 위치한다.
(부기 23)
부기 20∼22의 어느 하나의 고체 원료 보충 방법으로서, 바람직하게는, 상기 제2 배관과 상기 고체 원료 용기의 사이에 설치된 제1 밸브를 구비하고, 상기 고체 원료를 보충하는 공정에서는 상기 제1 밸브를 연다.
(부기 24)
부기 20∼23의 어느 하나의 고체 원료 보충 방법으로서, 바람직하게는, 상기 원료 보충 용기가 제2 밸브를 구비하고, 상기 원료 보충 용기는 상기 제2 밸브를 개재하여 상기 설치부에 설치되고, 상기 고체 원료를 보충하는 공정에서는 상기 제2 밸브를 연다.
(부기 25)
부기 20∼24의 어느 하나의 고체 원료 보충 방법으로서, 바람직하게는, 상기 고체 원료 용기는, 용기 내부의 저부에 중앙이 낮고 주변부가 높은 경사부를 구비한다.
(부기 26)
본 발명의 바람직한 더욱 다른 형태에 의하면,
기판을 수용 가능한 처리실; 및
상기 기판의 처리에 이용하는 기체 원료를, 고체 원료를 승화시켜서 생성하여, 상기 처리실에 공급하는 원료 공급계;
를 포함하는 기판 처리 장치로서,
상기 원료 공급계는,
상기 고체 원료를 수용하는 고체 원료 용기;
상기 고체 원료 용기와 상기 처리실의 사이에 접속되는 제1 배관;
보충용의 상기 고체 원료를 보지하는 원료 보충 용기가 상기 고체 원료 용기에 설치될 수 있는 설치부;
상기 원료 보충 용기에 퍼지 가스를 도입하는 상기 원료 보충 용기의 퍼지 가스 도입부가 설치될 수 있는 원료 보충 용기 퍼지 가스 도입부 설치부;
상기 원료 보충 용기로부터 퍼지 가스를 배출하는 상기 원료 보충 용기의 퍼지 가스 배출부가 설치될 수 있는 원료 보충 용기 퍼지 가스 배출부 설치부; 및
상기 원료 보충 용기로부터 상기 고체 원료 용기에 상기 고체 원료를 보충하기 위해서 상기 원료 보충 용기가 상기 설치부에 설치되고, 상기 원료 보충 용기의 퍼지 가스 도입부가 상기 원료 보충 용기 퍼지 가스 도입부 설치부에 설치되고, 상기 원료 보충 용기의 퍼지 가스 배출부가 상기 원료 보충 용기 퍼지 가스 배출부 설치부에 설치되었을 때에, 상기 원료 보충 용기의 퍼지 가스 도입부로부터 상기 퍼지 가스를 상기 원료 보충 용기에 도입하고, 상기 원료 보충 용기의 퍼지 가스 배출부로부터 상기 퍼지 가스를 배출하도록, 상기 퍼지 가스 도입부와 상기 퍼지 가스 배출부를 제어하는 제어 수단;
을 구비하는 기판 처리 장치가 제공된다.
(부기 27)
부기 26의 기판 처리 장치로서, 바람직하게는, 상기 원료 보충 용기의 퍼지 가스 도입부는, 상기 원료 보충 용기가 상기 설치부에 설치되었을 때의 상기 원료 보충 용기의 하부에 접속되고, 상기 원료 보충 용기의 퍼지 가스 배출부는, 상기 원료 보충 용기가 상기 설치부에 설치되었을 때의 상기 원료 보충 용기의 상부에 접속되어 있다.
(부기 28)
부기 27의 기판 처리 장치로서, 바람직하게는, 상기 원료 보충 용기의 퍼지 가스 도입부는, 상기 원료 보충 용기가 상기 설치부에 설치되었을 때의 상기 원료 보충 용기의 하부에 접속되는 제2 배관; 및 상기 제2 배관에 설치된 제1 밸브;를 구비하고, 상기 원료 보충 용기의 퍼지 가스 배출부는, 상기 원료 보충 용기가 상기 설치부에 설치되었을 때의 상기 원료 보충 용기의 상부에 접속되는 제3 배관; 및 상기 제3 배관에 설치된 제2 밸브;를 구비하고 있다.
(부기 29)
본 발명의 바람직한 또 다른 형태에 의하면,
기판의 처리에 이용하는 기체 원료를, 고체 원료를 승화시켜서 생성하여, 상기 기판을 처리하는 처리실에 공급하는 원료 공급계로서, 상기 고체 원료를 수용하는 고체 원료 용기; 상기 고체 원료 용기와 상기 처리실의 사이에 접속되는 제1 배관; 보충용의 상기 고체 원료를 보지하는 원료 보충 용기가 상기 고체 원료 용기에 설치될 수 있는 설치부; 상기 원료 보충 용기에 퍼지 가스를 도입하는 상기 원료 보충 용기의 퍼지 가스 도입부가 설치될 수 있는 원료 보충 용기 퍼지 가스 도입부 설치부; 및 상기 원료 보충 용기로부터 퍼지 가스를 배출하는 상기 원료 보충 용기의 퍼지 가스 배출부가 설치될 수 있는 원료 보충 용기 퍼지 가스 배출부 설치부;를 구비하는 상기 원료 공급계의 상기 설치부에 상기 원료 보충 용기를 설치하고, 상기 원료 보충 용기에 퍼지 가스를 도입하는 상기 원료 보충 용기의 퍼지 가스 도입부를 상기 원료 공급계의 원료 보충 용기 퍼지 가스 도입부 설치부에 설치하고, 상기 원료 보충 용기로부터 퍼지 가스를 배출하는 상기 원료 보충 용기의 퍼지 가스 배출부를 상기 원료 공급계의 원료 보충 용기 퍼지 가스 배출부 설치부에 설치하는 공정;
그 후, 상기 원료 보충 용기의 퍼지 가스 도입부로부터 상기 퍼지 가스를 상기 상기 원료 보충 용기에 도입하고, 상기 원료 보충 용기의 퍼지 가스 배출부로부터 상기 퍼지 가스를 배출하는 공정; 및
그 후, 상기 원료 보충 용기가 상기 설치부에 설치된 상태로, 상기 원료 보충 용기로부터 상기 고체 원료 용기에 상기 고체 원료를 보충하는 공정;
을 구비하는 고체 원료 보충 방법이 제공된다.
(부기 30)
부기 29의 고체 원료 보충 방법으로서, 바람직하게는, 상기 원료 보충 용기의 퍼지 가스 도입부는, 상기 원료 보충 용기가 상기 설치부에 설치되었을 때의 상기 원료 보충 용기의 하부에 접속되고, 상기 원료 보충 용기의 퍼지 가스 배출부는, 상기 원료 보충 용기가 상기 설치부에 설치되었을 때의 상기 원료 보충 용기의 상부에 접속되어 있다.
(부기 31)
본 발명의 바람직한 또 다른 형태에 의하면,
고체 원료 보충 용기; 및 상기 용기의 개구부에 설치된 버터플라이 밸브;를 구비하는 고체 원료 보충용 카트리지가 제공된다.
(부기 32)
본 발명의 바람직한 또 다른 형태에 의하면,
고체 원료 보충 용기;
상기 고체 원료 보충 용기를 설치하는 설치부;
상기 고체 원료 보충 용기에 퍼지 가스를 도입하는 퍼지 가스 도입부; 및
상기 고체 원료 보충 용기로부터 퍼지 가스를 배출하는 퍼지 가스 배출부;
를 구비하는 고체 원료 보충용 카트리지가 제공된다.
(부기 33)
부기 32의 고체 원료 보충용 카트리지로서, 바람직하게는, 상기 고체 원료 보충 용기의 퍼지 가스 도입부는, 상기 고체 원료 보충 용기를 설치하였을 때의 상기 고체 원료 보충 용기의 하부에 접속되고, 상기 고체 원료 보충 용기의 퍼지 가스 배출부는, 상기 고체 원료 보충 용기가 설치되었을 때의 상기 고체 원료 보충 용기의 상부에 접속되어 있다.
(부기 34)
부기 33의 고체 원료 보충용 카트리지로서, 바람직하게는, 상기 퍼지 가스 도입부는, 상기 고체 원료 보충 용기에 설치되었을 때의 상기 고체 원료 보충 용기의 하부에 접속되는 제1 배관과, 상기 제1 배관에 설치된 제1 밸브를 구비하고, 상기 퍼지 가스 배출부는, 상기 고체 원료 보충 용기가 설치되었을 때의 상기 고체 원료 보충 용기의 상부에 접속되는 제2 배관과, 상기 제2 배관에 설치된 제2 밸브를 구비하고 있다.
이상, 본 발명이 여러가지 전형적인 실시 형태를 설명하였으나, 본 발명은 그들의 실시 형태에 한정되지 않는다. 따라서, 본 발명의 범위는, 다음 특허 청구의 범위에 의해서만 한정되는 것이다.
101: 기판 처리 장치 115: 보트 엘리베이터
200: 웨이퍼 201: 처리실
202: 처리로 203: 반응관
207, 281, 425, 426, 450: 히터 209: 매니폴드
217: 보트 218: 보트 지지대
219: 씰 캡 220, 364, 367, 370, 373: O-링
227: 회전 기구 230: 원료 공급계
230a, 230b: 가스 공급계
230c, 230d: 캐리어 가스 공급계(불활성 가스 공급계)
231, 247: 배기관 232a, 232b, 282: 가스 공급관
232d: 캐리어 가스 공급관 233: 노즐
238b: 가스 공급공 240: 배기계
241, 242, 243, 244: 매스 플로우 컨트롤러
245: 압력 센서 246: 진공 펌프
250, 251, 253, 254, 256, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 480, 483, 484, 485, 486, 487: 밸브
255: APC밸브 257, 258 : 벤트 라인
259, 283, 375, 380, 491, 492, 493, 494, 495: 배관
260: 집합 밸브 280: 컨트롤러
284: 퍼지 가스 공급 배관 300: 고체 원료 탱크
302: 경사부 303: 저부
304: 공간 310: 천장판
314, 316: 관통공 321, 322: 조인트
350, 470: 원료 보충 카트리지 351: 병
353: 입구부 360: 어댑터
355, 362: 나사홈 357: 패킹
361: 일단부
363, 365, 366, 368, 369, 371, 372, 374, 463, 465, 466: 플랜지
377, 488: 폐지판
381, 382, 383, 384, 481, 482: 클램프
400: 고체 원료 471: 용기
472: 용기 본체 473: 용기 설치용 배관부
474: 뚜껑 475: 창
478, 479, 498, 499: 폐지 마개 511, 512: 조인트

Claims (5)

  1. 기판을 수용 가능한 처리실;
    상기 기판의 처리에 이용하는 기체 원료를, 고체 원료를 승화시켜서 생성하여, 상기 처리실에 공급하는 원료 공급계; 및
    제어부;
    를 포함하는 기판 처리 장치로서,
    상기 원료 공급계는,
    상기 고체 원료를 수용하는 고체 원료 용기;
    상기 고체 원료 용기와 상기 처리실의 사이에 접속되는 제1 배관;
    상기 고체 원료 용기와 접속되는 제2 배관으로서, 보충용의 상기 고체 원료를 보지(保持)하는 원료 보충 용기가 설치될 수 있는 설치부를 구비하는 상기 제2 배관;
    상기 제2 배관과 진공 배기 수단의 사이에 접속되는 제3 배관;
    상기 제2 배관에 접속되고, 퍼지 가스를 도입하기 위한 제4 배관;
    상기 제3 배관의 도중에 접속되는 제1 밸브; 및
    상기 제4 배관의 도중에 접속되는 제2 밸브;
    를 구비하고,
    상기 제어부는, 상기 원료 보충 용기로부터 상기 고체 원료 용기에 상기 고체 원료를 보충하기 위해서 상기 원료 보충 용기가 상기 설치부에 설치되었을 때, 상기 제2 배관 내를 진공 흡인하고, 그 후 상기 제2 배관 내에 상기 퍼지 가스를 도입하도록, 상기 진공 배기 수단과 상기 제1 밸브와 상기 제2 밸브를 제어하는 것인 기판 처리 장치.
  2. 삭제
  3. 제1항에 있어서,
    상기 원료 보충 용기에 퍼지 가스를 도입하는 상기 원료 보충 용기의 퍼지 가스 도입부가 설치될 수 있는 원료 보충 용기 퍼지 가스 도입부 설치부; 및 상기 원료 보충 용기로부터 퍼지 가스를 배출하는 상기 원료 보충 용기의 퍼지 가스 배출부가 설치될 수 있는 원료 보충 용기 퍼지 가스 배출부 설치부;를 더 구비하고,
    상기 제어부는, 상기 원료 보충 용기로부터 상기 고체 원료 용기에 상기 고체 원료를 보충하기 위해서 상기 원료 보충 용기가 상기 설치부에 설치되고, 상기 원료 보충 용기의 퍼지 가스 도입부가 상기 원료 보충 용기 퍼지 가스 도입부 설치부에 설치되고, 상기 원료 보충 용기의 퍼지 가스 배출부가 상기 원료 보충 용기 퍼지 가스 배출부 설치부에 설치되었을 때, 상기 제2 배관 내를 진공 흡인하고, 그 후, 상기 제2 배관 내에 상기 퍼지 가스를 도입하도록, 상기 진공 배기 수단과 상기 제1 밸브와 상기 제2 밸브를 제어하고, 상기 원료 보충 용기의 퍼지 가스 도입부로부터 상기 퍼지 가스를 상기 원료 보충 용기에 도입하고, 상기 원료 보충 용기의 퍼지 가스 배출부로부터 상기 퍼지 가스를 배출하도록, 상기 진공 배기 수단과 상기 제1 밸브와, 상기 제2 밸브와, 상기 퍼지 가스 도입부와, 상기 퍼지 가스 배출부를 제어하는 제어 수단인 기판 처리 장치.
  4. 기판의 처리에 이용하는 기체 원료를, 고체 원료를 승화시켜서 생성하여 상기 기판을 처리하는 처리실에 공급하는 원료 공급계로서, 상기 고체 원료를 수용하는 고체 원료 용기; 상기 고체 원료 용기와 상기 처리실의 사이에 접속되는 제1 배관; 상기 고체 원료 용기와 접속되는 제2 배관으로서, 보충용의 상기 고체 원료를 보지하는 원료 보충 용기가 설치될 수 있는 설치부를 구비하는 상기 제2 배관; 상기 제2 배관과 진공 배기 수단의 사이에 접속되는 제3 배관; 상기 제2 배관에 접속되고, 퍼지 가스를 도입하기 위한 제4 배관; 상기 제3 배관의 도중(途中)에 접속되는 제1 밸브; 및 상기 제4 배관의 도중에 접속되는 제2 밸브;를 구비하는 상기 원료 공급계의 상기 설치부에 상기 원료 보충 용기를 설치하는 공정;
    상기 원료 보충 용기가 상기 설치부에 설치된 상태에서, 상기 제2 밸브를 닫고 상기 제1 밸브를 열어, 상기 제2 배관 내를 상기 진공 배기 수단으로 진공 흡인하는 공정;
    그 후, 상기 제1 밸브를 닫고 상기 제2 밸브를 열어, 상기 제2 배관 내에 상기 퍼지 가스를 도입하는 공정; 및
    그 후, 상기 원료 보충 용기로부터 상기 고체 원료 용기에 상기 고체 원료를 상기 제2 배관을 개재하여 보충하는 공정;
    을 구비하는 고체 원료 보충 방법.
  5. 제4항에 있어서,
    상기 원료 보충 용기에 퍼지 가스를 도입하는 상기 원료 보충 용기의 퍼지 가스 도입부를 상기 원료 공급계의 원료 보충 용기 퍼지 가스 도입부 설치부에 설치하고, 상기 원료 보충 용기로부터 퍼지 가스를 배출하는 상기 원료 보충 용기의 퍼지 가스 배출부를 상기 원료 공급계의 원료 보충 용기 퍼지 가스 배출부 설치부에 설치하는 공정; 및
    그 후, 상기 원료 보충 용기로부터 상기 고체 원료 용기에 상기 고체 원료를 상기 제2 배관을 개재하여 보충하기 전에, 상기 원료 보충 용기의 퍼지 가스 도입부로부터 상기 퍼지 가스를 상기 원료 보충 용기에 도입하고, 상기 원료 보충 용기의 퍼지 가스 배출부로부터 상기 퍼지 가스를 배출하는 공정;
    을 더 구비하는 고체 원료 보충 방법.
KR1020120026409A 2011-03-22 2012-03-15 기판 처리 장치 및 고체 원료 보충 방법 KR101346598B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2011-062454 2011-03-22
JP2011062454 2011-03-22
JPJP-P-2012-010134 2012-01-20
JP2012010134A JP5820731B2 (ja) 2011-03-22 2012-01-20 基板処理装置および固体原料補充方法

Publications (2)

Publication Number Publication Date
KR20120107858A KR20120107858A (ko) 2012-10-04
KR101346598B1 true KR101346598B1 (ko) 2014-01-23

Family

ID=46856774

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120026409A KR101346598B1 (ko) 2011-03-22 2012-03-15 기판 처리 장치 및 고체 원료 보충 방법

Country Status (5)

Country Link
US (1) US20120240858A1 (ko)
JP (1) JP5820731B2 (ko)
KR (1) KR101346598B1 (ko)
CN (1) CN102691041B (ko)
TW (1) TWI478237B (ko)

Families Citing this family (357)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
JP6082283B2 (ja) * 2012-05-30 2017-02-15 東京エレクトロン株式会社 筐体及びこれを含む基板処理装置
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
CN103966551B (zh) * 2013-01-27 2016-11-23 常州国成新材料科技有限公司 一种解决高温下衬底原子蒸发影响平整度的方法及装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
JP6111171B2 (ja) * 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6263407B2 (ja) * 2014-02-10 2018-01-17 光洋サーモシステム株式会社 熱処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6477044B2 (ja) * 2014-10-28 2019-03-06 東京エレクトロン株式会社 原料ガス供給装置、原料ガス供給方法及び成膜装置
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US20200050221A1 (en) * 2017-04-28 2020-02-13 Fujikin Incorporated Sensor-equipped joint and monitoring system using the same
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) * 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220145456A1 (en) * 2020-11-09 2022-05-12 Applied Materials, Inc. Refillable large volume solid precursor sublimation vessel
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11862482B2 (en) * 2021-03-11 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor substrate bonding tool and methods of operation
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05117864A (ja) * 1991-06-25 1993-05-14 Anelva Corp Cvd装置
JP2010040695A (ja) * 2008-08-04 2010-02-18 Hitachi Kokusai Electric Inc 基板処理装置および原料補充方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5195651A (en) * 1991-06-26 1993-03-23 The United States Of America As Represented By The United States Department Of Energy Ball feeder for replenishing evaporator feed
JP3684797B2 (ja) * 1997-12-04 2005-08-17 株式会社デンソー 気相成長方法および気相成長装置
US6402840B1 (en) * 1999-08-10 2002-06-11 Optoscint, Inc. Crystal growth employing embedded purification chamber
US20020062784A1 (en) * 1999-09-09 2002-05-30 Pandelisev Kiril A. Material purification
AU2003280994A1 (en) * 2002-07-10 2004-02-02 Tokyo Electron Limited Film forming apparatus
CN2848871Y (zh) * 2005-05-03 2006-12-20 杨林 生产彩虹膜或纸的高真空电镀设备
JP4317174B2 (ja) * 2005-09-21 2009-08-19 東京エレクトロン株式会社 原料供給装置および成膜装置
KR101167547B1 (ko) * 2007-03-26 2012-07-20 가부시키가이샤 알박 증착원, 증착 장치, 성막 방법
JP5200551B2 (ja) * 2008-01-18 2013-06-05 東京エレクトロン株式会社 気化原料供給装置、成膜装置及び気化原料供給方法
US20090293810A1 (en) * 2008-05-30 2009-12-03 Stefan Bangert Arrangement for coating a substrate
JP2010144221A (ja) * 2008-12-18 2010-07-01 Tokyo Electron Ltd 原料ガス発生装置及び成膜装置
JP5361467B2 (ja) * 2009-03-13 2013-12-04 東京エレクトロン株式会社 気化器
US8252117B2 (en) * 2010-01-07 2012-08-28 Primestar Solar, Inc. Automatic feed system and related process for introducing source material to a thin film vapor deposition system
JP5236755B2 (ja) * 2011-01-14 2013-07-17 東京エレクトロン株式会社 成膜装置及び成膜方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05117864A (ja) * 1991-06-25 1993-05-14 Anelva Corp Cvd装置
JP2010040695A (ja) * 2008-08-04 2010-02-18 Hitachi Kokusai Electric Inc 基板処理装置および原料補充方法

Also Published As

Publication number Publication date
JP5820731B2 (ja) 2015-11-24
JP2012212854A (ja) 2012-11-01
CN102691041B (zh) 2015-07-15
TWI478237B (zh) 2015-03-21
CN102691041A (zh) 2012-09-26
KR20120107858A (ko) 2012-10-04
US20120240858A1 (en) 2012-09-27
TW201246371A (en) 2012-11-16

Similar Documents

Publication Publication Date Title
KR101346598B1 (ko) 기판 처리 장치 및 고체 원료 보충 방법
KR101037962B1 (ko) 기판 처리 방법 및 기판 처리 장치
KR101307794B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
KR101232688B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법 및 액체 유량 제어 장치의 동작 확인 방법
US20080087218A1 (en) Board processing apparatus and method of fabricating semiconductor apparatus
JP2010199160A (ja) 基板処理装置
KR101015985B1 (ko) 기판 처리 장치
JP2010040695A (ja) 基板処理装置および原料補充方法
JP2009295729A (ja) 基板処理装置
JP4874984B2 (ja) 基板処理装置
JP2009123950A (ja) 基板処理装置
JP2012138530A (ja) 基板の製造方法、半導体デイバスの製造方法及び基板処理装置
JP2013062271A (ja) 基板処理装置
JP2007227471A (ja) 基板処理装置
JP2011187485A (ja) 基板処理装置
JP2011054590A (ja) 基板処理装置
JP2008160081A (ja) 基板処理装置及び基板処理方法
JP2012195422A (ja) 基板の製造方法、半導体デバイスの製造方法及び基板処理装置
JP2009200298A (ja) 基板処理装置
JP2007194331A (ja) 基板処理装置
JP2005243737A (ja) 基板処理装置
WO2012077680A1 (ja) 基板の製造方法、半導体デバイスの製造方法及び基板処理装置
JP2007227470A (ja) 基板処理装置
JP2010126784A (ja) 基板処理装置
JP4903619B2 (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161221

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee