JP2009503871A - 代替活性エリア材料の集積回路への組み込みのための解決策 - Google Patents

代替活性エリア材料の集積回路への組み込みのための解決策 Download PDF

Info

Publication number
JP2009503871A
JP2009503871A JP2008524156A JP2008524156A JP2009503871A JP 2009503871 A JP2009503871 A JP 2009503871A JP 2008524156 A JP2008524156 A JP 2008524156A JP 2008524156 A JP2008524156 A JP 2008524156A JP 2009503871 A JP2009503871 A JP 2009503871A
Authority
JP
Japan
Prior art keywords
active area
area material
source
substrate
drain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008524156A
Other languages
English (en)
Other versions
JP5481067B2 (ja
JP2009503871A5 (ja
Inventor
ロクテフェルド,アンソニー,ジェイ
キュリー,マシュー,ティ
チャン,ジ−ユアン
フィオレンザ,ジェイムス
Original Assignee
アンバーウェーブ システムズ コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アンバーウェーブ システムズ コーポレイション filed Critical アンバーウェーブ システムズ コーポレイション
Publication of JP2009503871A publication Critical patent/JP2009503871A/ja
Publication of JP2009503871A5 publication Critical patent/JP2009503871A5/ja
Application granted granted Critical
Publication of JP5481067B2 publication Critical patent/JP5481067B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8258Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using a combination of technologies covered by H01L21/8206, H01L21/8213, H01L21/822, H01L21/8252, H01L21/8254 or H01L21/8256
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0605Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits made of compound material, e.g. AIIIBV
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

結晶半導体基板上に代替材料のエリアを形成する方法、並びにこの方法により形成された構造。このような代替材料のエリアは、MOSFET又は電子デバイス若しくは光電子デバイスにおける活性エリアとしての使用に適している。

Description

関連出願
本願は、2005年7月26日付出願の米国特許仮出願第60/702363号明細書の利益を主張するものであり、その開示全体は、参照により本願に組み込まれる。
技術分野
本発明は、代替の活性領域の材料を含む構造を形成するための方法及び材料に関する。
SiベースのMOSFET技術の幾何学寸法設計は、ますます難しくなっている。代替材料をSiと共にヘテロ集積することは、MOSFETチャネルの固有のキャリア移動度を増大させるための魅力的な手段となっている。代替材料のヘテロ集積は、現在のところ、ソース−ドレインコンタクト材料又はヘテロ接合バイポーラトランジスタのベース層のための、Ge含量の少ないSiGe合金の追加(堆積)に限定されている。このような層はSiに対する格子不整合がわずかしかないので、また最新のSiMOSFETプロセスはこのような薄いSiGe合金と適合性があるので、SiMOSFETプロセスの集積手順での必然的な破壊はほとんど生じない。残念ながら、キャリア移動度(及び付属するデバイスの作動電流)が増大することによって、従来のSiベースのデバイスに対し、より大きな格子不整合を有する他の材料の使用が間もなく必要となり、従来のデバイス集積フローにおいて、より破壊的な変化が生ぜざるを得なくなるであろう。
代替材料を従来の及び新規の基板にヘテロ集積することは、様々な電子及び光電子用途のために望ましい。例えば、III−V、II−VI材料及び/又はGeのSiとのヘテロ集積の可能性は、CMOSプラットフォームの機能及び性能を増大させる魅力的な手段である。ヘテロ集積に対する経済的な解決策によって、新規な用途の分野、例えば、特にクリティカルパス論理デバイス(critical path logic devices)のためのCMOSトランジスタにおけるSiの置き換えが可能となる。これにより、(a)様々な非Si半導体がもたらす超高速の移動度及び飽和速度によって、チャネル抵抗が、(b)多くの非Si半導体の高い移動度及びより狭いバンドギャップによって、より狭いバンドギャップが金属(又は金属合金)コンタクトと半導体との間でのより低い電気抵抗を生じさせることによって、ソース/ドレイン抵抗が、顕著に低下する。他の新たな用途は、SiCMOS論理デバイスと超高速RFデバイスとの組合せであってよく、例えば、InP若しくはGaAsベースの高電子移動度トランジスタ(HEMT)デバイス又はヘテロ接合バイポーラトランジスタ(HBT)デバイスであり、これらのデバイスは、今日、高周波数での用途のために使用されているものに類似している。しかし、多くの非Si半導体がSiより優れた発光及び検出性能を有しているので、別の用途として、SiCMOS論理デバイスと光電子デバイスとの組合せがあってよい。
選択エピタキシャル法(selective epitaxy)が、ヘテロ材料集積において魅力的な手段であるのには、いくつかの理由がある。第1に、この手段により、必要とされる箇所にのみ非Si半導体材料を追加することが可能となるので、よって、この手段は、同じウェハ上で行われるSiCMOSプロセスに対しわずかに破壊的であるにすぎない。また、選択エピタキシャル法により、Siウェハ上での複数の新たな材料の組合せ、例えばPMOSにおけるGe及びNMOSにおけるInGaAsの組合せが可能となり得る。さらに、特に大きな格子不整合を有する材料を集積する場合には、主要な代替手段、例えば広範囲のヘテロエピタキシャル膜の層移動(層貼替え)よりもはるかに経済的となる傾向がある。
結晶半導体基板上に、代替材料のエリアを形成する方法を説明する。本明細書にて使用する限り「代替(alternative)」とは、非Si半導体、又は下層のSi基板と比較して異なる表面又は回転配向を有するSiを指す。このようなエリアは、MOSFET又は他の電子若しくは光電子デバイスでの活性エリアとしての使用に適している。また、そのような非Si活性エリアを利用するMOSFETデバイスのための設計も提供する。
1つの局面では、本発明は、結晶半導体材料を含む基板を提供することを含む、構造を形成する方法に関する。基板上にマスク層を形成し、このマスク層において窓を画定する。窓は、選択エピタキシャル法によって活性エリア材料で充填する。この活性エリア材料の少なくとも一部を含むデバイスを画定する。
以下の1つ以上の特徴を有していてよい。活性エリア材料の表面を平坦化し、その場合、その表面が、マスク層の表面と実質的に同一平面になるようにする。
1つの局面では、本発明は、結晶半導体材料を含む基板を提供し、その半導体材料において第1のシャロートレンチアイソレーション領域を画定することを含む、構造を形成する方法に関する。基板上に薄い誘電層を画定し、その薄い誘電層において窓を画定して、第1のシャロートレンチアイソレーション領域に囲まれた(もしくはこれと境界をなす)半導体材料の一部を露出させる。半導体材料のこの露出した部分を除去して、開口部を画定する。開口部を、選択エピタキシャル法によって活性エリア材料で充填する。薄い誘電層を選択的に除去し、活性エリア材料の少なくとも一部を含むデバイスを画定する。
以下の1つ以上の特徴を有していてよい。活性エリア材料の表面は、薄い誘電層の表面と実質的に同一平面となるように平坦化される。基板は、ウェハに接合されている結晶半導体材料を含む層を含む。結晶半導体材料は、第1の結晶配向を有し、活性エリア材料は、第1の結晶配向とは異なる第2の結晶配向を有する第2の結晶半導体材料を含む。
半導体材料において、第2のシャロートレンチアイソレーション領域を画定する。第1のシャロートレンチアイソレーション領域の幅の、第2のシャロートレンチアイソレーション領域の幅に対する比は、1より大きく、例えば1.2〜3の範囲で選択される。
別の局面では、本発明は、構造を形成する方法であって、結晶半導体材料を含む基板を提供し、半導体材料において第1のシャロートレンチアイソレーション領域を画定することを含む方法に関する。基板上に薄い誘電層を画定する。薄い誘電層において窓を画定して、第1のシャロートレンチアイソレーション領域の一部を露出させる。第1のシャロートレンチアイソレーション領域の露出した部分を除去し、開口部を画定する。開口部を、選択エピタキシャル法によって活性エリア材料で充填する。薄い誘電層を選択的に除去し、活性エリア材料の少なくとも一部を含むデバイスを画定する。
以下の1つ以上の特徴を有していてよい。活性エリア材料の表面を、薄い誘電層の表面と実質的に同一平面となるように平坦化することができる。第2のシャロートレンチアイソレーション領域を半導体材料に画定し、この場合、第1のシャロートレンチアイソレーション領域の残された部分の幅の、第2のシャロートレンチアイソレーション領域の幅に対する比が1より大きい、例えば1.2〜3の範囲で選択されるようになっている。
別の局面では、本発明は、第1の活性エリア材料を含み、且つ第1の幅を有する第1のシャロートレンチアイソレーション領域に囲まれている第1の活性エリアを有する構造に関する。第2の活性エリアは、第2の活性エリア材料を含み、且つ第2の幅を有する第2のシャロートレンチアイソレーション領域に囲まれている。第1の幅の、第2の幅に対する比は、1を超える。
以下の1つ以上の特徴を有していてよい。第1の活性エリア材料は、半導体材料、例えばGe、SiGe、SiC、ダイヤモンド、III−V半導体及び/又はII−VI半導体を含み、第2の活性エリア材料はSiを含む。第1の活性エリア材料は、第1の結晶配向を有し、第2の活性エリア材料は、第1の結晶配向とは異なる第2の結晶配向を有する。第1の幅の、第2の幅に対する比は、1.2〜3の範囲から選択される。
別の局面では、本発明は、構造を形成する方法であって、結晶材料を含む基板を提供し、この基板上に第1のマスク層を形成することを含む方法に関する。第1のマスク層に第1の開口部を画定し、基板の第1の領域において基板の第1の部分を露出させる。第1の開口部を、選択エピタキシャル法によって第1の活性エリア材料で充填する。第1のマスク層において第2の開口部を画定し、基板の第2の領域において基板の第2の部分を露出させる。第2の開口部を、選択エピタキシャル法によって第2の活性エリア材料で充填する。第1の活性エリア材料の少なくとも一部を含む第1のデバイスを画定し、第2の活性エリア材料の少なくとも一部を含む第2のデバイスを画定する。
以下の1つ以上の特徴を有していてよい。第2の開口部を第2の活性エリア材料で充填する前に、基板の第1の領域上に第2のマスク層を形成し、第2の開口部を第2の活性エリア材料で充填した後、第2のマスク層を除去する。第2のマスク層を除去した後、第1の活性エリア材料の表面及び第2の活性エリア材料の表面を平坦化する。
別の局面では、本発明は、第1の分離領域と、第1の半導体材料を含み且つ第1の分離領域に囲まれている第1の活性エリアとを含む構造に関する。この構造は、第2の分離領域と、第1の半導体材料とは異なる第2の半導体材料を含み且つ第2の分離領域に囲まれている第2の活性エリアとを含む。第1の半導体材料の表面、第2の半導体材料の表面、第1の分離領域の両面及び第2の分離領域の表面は、全て実質的に同一平面となっている。
以下の1つ以上の特徴を有していてよい。第1の半導体材料は、第1の結晶配向を有し、第2の半導体材料は、第1の結晶配向とは異なる第2の結晶配向を有する。第1の半導体材料は、Ge、InAs、InGaAs、InSb、AlSb、InAlSb、GaAs又はInPを含み、第2の半導体材料はSi及び/又はGeを含む。
別の局面では、本発明は、第1の活性エリア材料を含む第1のチャネル、第1のソース領域及び第1のドレイン領域を有するn−FETを含む構造に関する。p−FETは、第2の活性エリア材料を含む第2のチャネル、第2のソース領域及び第2のドレイン領域を有する。第1のソース領域及びドレイン領域並びに第2のソース領域及びドレイン領域は、同じソース/ドレイン材料を含む。
以下の1つ以上の特徴を有していてよい。第1のチャネル材料は、引張り歪みを有する。第2のチャネル材料は、圧縮歪みを有する。第1のソース領域及び第1のドレイン領域におけるソース/ドレイン材料の少なくとも一部が、第1及び第2の切欠内に設けられ、第2のソース領域及び第2のドレイン領域におけるソース/ドレイン材料の少なくとも一部が、第3及び第4の切欠内に設けられ、ソース/ドレイン材料の格子定数は、第1の活性エリア材料の格子定数より小さく、第2の活性エリア材料の格子定数より大きい。
第1のソース領域及び第1のドレイン領域のソース/ドレイン材料の少なくとも一部が、第1及び第2の切欠に設けられ、第2のソース領域及び第2のドレイン領域のソース/ドレイン材料が、第3及び第4の切欠に設けられ、ソース/ドレイン材料の格子定数は、第1の活性エリア材料の格子定数より大きく、第2の活性エリア材料の格子定数より小さい。
第1のソース領域及び第1のドレイン領域におけるソース/ドレイン材料の少なくとも一部が、第1及び第2の切欠内に設けられ、第2のソース領域及び第2のドレイン領域におけるソース/ドレイン材料が、第2の活性エリア材料の上面に設けられ、ソース/ドレイン材料の格子定数は、第1の活性エリア材料の格子定数より小さく、第2の活性エリア材料の格子定数より小さい。ソース/ドレイン材料は、IV族半導体を含む。
第1のソース領域及び第1のドレイン領域のソース/ドレイン材料が、第1の活性エリア材料の上面に設けられ、第2のソース及び第2のドレイン領域のソース/ドレイン材料の少なくとも一部が、第3及び第4の切欠に設けられ、ソース/ドレイン材料の格子定数は、第1の活性エリア材料の格子定数より大きく、第2の活性エリア材料の格子定数より大きい。
別の局面では、本発明は、デバイスを形成する方法であって、基板の第1の領域に第1の活性エリア材料を設け、基板の第2の領域に第2の活性エリア材料を設けることを含む方法に関する。第1のソース及び第1のドレインは、第1の活性エリア材料の第1の部分及び第2の部分を除去することによる第1及び第2の切欠の画定並びに第1及び第2の切欠へのソース/ドレイン材料の堆積によって画定される。第2のソース及び第2のドレインは、第2の活性エリア材料の第1の部分及び第2の部分の除去による第3及び第4の切欠の画定並びに第3及び第4の切欠へのソース/ドレイン材料の堆積によって画定される。第1のソースと第2のソースとの間の第1の活性エリアに設けられたチャネルを有する第1のデバイスが画定される。第2のソースと第2のドレインとの間の第2の活性エリア材料に設けられたチャネルを有する第2のデバイスが画定される。
以下の1つ以上の特徴を有していてよい。第1、第2、第3及び第4の切欠の画定は、第1及び第2の活性エリア材料をほぼ同じ速度で除去する非選択的エッチングを含む。第1の活性材料における第1及び第2の切欠の画定は、第2の活性材料に対して極めて高い選択性を有するエッチングを含む。第2の活性材料における第3及び第4の切欠の画定は、第1の活性材料に対して極めて高い選択性を有するエッチングを含む。
別の局面では、本発明は、構造を形成する方法であって、基板を設け、基板の第1の部分上に第1の活性エリア材料を設け、基板の第2の部分上に第2の活性エリア材料を設けることを含む方法に関する。薄層を、第1及び第2の活性エリア材料上に堆積させる。この薄層上にゲート誘電層を形成する。第1のデバイス、例えば第1の活性エリア材料を含むn−FET、並びに第2のデバイス、例えば第2の活性エリア材料を含むp−FETが形成される。
別の局面では、本発明は、第1の活性エリア材料を含む第1の活性エリアと、第1の活性エリア材料とは異なる第2の活性エリア材料を含む第2の活性エリアとを含む構造に関する。第1の活性エリア材料及び第2の活性エリア材料上に薄層を設け、薄層上にゲート誘電層を設ける。
以下の1つ以上の特徴を有していてよい。第1の活性エリア材料及び第2の活性エリア材料はそれぞれ、Ge、SiGe、SiC、ダイヤモンド、III−V半導体並びにII−VI半導体を含み、薄層はSiを含む。ゲート誘電層は、SiO、SiON、Si及び高誘電率誘電体を含む。
別の局面では、本発明は、構造を形成する方法であって、基板を設け、基板の第1の部分上に第1の活性エリア材料を設け、該基板の第2の部分上に第2の活性エリア材料を設けることを含む方法に関する。第1の活性エリア材料上に第1のゲート誘電層を形成し、第2の活性エリア材料上に第2のゲート誘電層を形成する。第1及び第2の活性エリア材料上に、第1の電極層を設ける。第2の活性エリア材料上に設けられた第1の電極層の一部を除去する。第1及び第2の活性エリア上に、第2の電極層を堆積させる。基板上に設けた層を平坦化し、第1の活性エリア材料上に設けた第1の電極層の表面と、第2の活性エリア材料上に設けた第2の電極層の表面とを含む同一平面を画定する。第1の活性エリア材料を含む第1のデバイス及び第2の活性エリア材料を含む第2のデバイスを形成する。
以下の1つ以上の特徴を有していてよい。第1のデバイスはn−FETを含む。第1の電極層は、インジウム、タンタル、ジルコニウム、タングステン、モリブデン、クロム、スズ、亜鉛、コバルト、ニッケル、レニウム、ルテニウム、白金、チタン、ハフニウム、シリコン及び窒素の少なくとも1つを含む。第2のデバイスは、p−FETを含む。第2の電極層は、銅、モリブデン、クロム、タングステン、ルテニウム、タンタル、ジルコニウム、白金、ハフニウム、チタン、コバルト、ニッケル、シリコン及び窒素の少なくとも1つを含む。
別の局面では、本発明は、第1の活性エリア材料を含む第1の活性エリアと、第1の活性エリア材料とは異なる第2の活性エリア材料を含む第2の活性エリアとを含む構造に関する。第1の活性エリア材料上に第1のゲート電極材料を設け、第2の活性エリア材料上には第1のゲート電極材料とは異なる第2の電極材料を設ける。第1のゲート電極材料は、インジウム、タンタル、ジルコニウム、タングステン、モリブデン、クロム、スズ、亜鉛、コバルト、ニッケル、レニウム、ルテニウム、白金、チタン、ハフニウム、シリコン及び窒素の少なくとも1つを含み、第2のゲート電極材料は、銅、モリブデン、クロム、タングステン、ルテニウム、タンタル、ジルコニウム、白金、ハフニウム、チタン、コバルト、ニッケル、シリコン及び窒素の少なくとも1つを含む。
別の局面では、本発明は、構造を形成する方法であって、結晶半導体材料を含む基板を設け、基板上にマスク層を形成することを含む方法を特徴としている。マスク層において窓を画定する。窓を、選択エピタキシャル法によって、第1の活性エリア材料で少なくとも部分的に充填する。第1の活性エリア材料上に、選択エピタキシャル法によって第2の活性エリア材料を形成する。第2の活性エリア材料の少なくとも一部を含むデバイスを画定する。
別の局面では、本発明は、構造を形成する方法であって、結晶材料を含む基板を設け、基板上に第1のマスク層を形成することを含む方法に関する。第1のマスク層において第1の開口部を画定し、基板の第1の領域において基板の第1の部分を露出させる。第1の開口部を、選択エピタキシャル法によって第1の活性エリア材料で充填する。第1の活性エリア材料上に、選択エピタキシャル法によって、第2の活性エリア材料を含む第1の層を形成する。第1のマスク層において第2の開口部を画定し、基板の第2の領域において基板の第2の部分を露出させる。第2の開口部を、選択エピタキシャル法によって、第3の活性エリア材料で充填する。第3の活性エリア材料上に、選択エピタキシャル法によって、第4の活性エリア材料を含む第2の層を形成する。第2の活性エリア材料の少なくとも一部を含む第1のデバイスを画定する。第4の活性エリア材料の少なくとも一部を含む第2のデバイスを画定する。
以下の1つ以上の特徴を有していてよい。第1のデバイスは、第1の歪みを有する第1のチャネルを含み、第2のデバイスは、第2の歪みを含む第2のチャネルを含み、第1の歪みの大きさは、第2の歪みの大きさとほぼ等しく、第1の歪みの符号は、第2の歪みの符号と反対である。第1の歪みの大きさは、約1.5%より大きい。第1の活性エリア材料は、第4の活性エリア材料と実質的に同じである。第2の活性エリア材料は、第3の活性エリア材料と実質的に同じである。
別の局面では、本発明は、半導体基板上に設けられたマスク層において画定された窓を少なくとも部分的に充填する第1の活性エリア材料を含む構造に関する。第1の活性エリア材料上には、第2の活性エリア材料が設けられている。デバイスは、第2の活性エリア材料の少なくとも一部を含む。
別の局面では、本発明は、結晶基板上に設けられた第1のマスク層において画定されている第1の開口部に設けられた第1の活性エリア材料に関する。第1の活性エリア材料上には、第2の活性エリア材料を含む第1の層が設けられている。第1のマスク層において画定されている第2の開口部には、第3の活性エリア材料が設けられている。第3の活性エリア材料上には、第4の活性エリア材料を含む第2の層が設けられている。第1のデバイスは、第2の活性エリア材料の少なくとも一部を含む。第2のデバイスは、第4の活性エリア材料の少なくとも一部を含む。
以下の1つ以上の特徴を有していてよい。第1及び第3の活性エリア材料は、少なくとも部分的に緩和させており、第2及び第4の活性エリア材料は、実質的に歪みを有している。第1及び第3の活性エリア材料は、ほぼ完全に緩和されている。第1のデバイスは、第1の活性エリア材料上に設けられた第1のソース領域及び第1のドレイン領域を含むトランジスタである。第1のソース領域及び第1のドレイン領域はそれぞれ、第1の層内に設けられている。第2のデバイスは、第3の活性エリア材料上に設けられた第2のソース領域及び第2のドレイン領域を含むトランジスタである。第2のソース領域及び第2のドレイン領域はそれぞれ、第2の層内に設けられている。第1のデバイスは、NMOSトランジスタであり、第2のデバイスは、PMOSトランジスタである。第2の活性エリア材料は、III−V半導体材料を含み、第4の活性エリア材料は、IV族半導体材料を含む。第2の活性エリア材料は、InP、InAs、InSb及びInGaAsの少なくとも1つを含み、第4の活性エリア材料は、Si及びGeの少なくとも一方を含む。
関連図面において、類似の参照符号は共通の特徴部分を表す。
図1A〜1C及び2A〜2Gでは、平坦な分離領域が、活性エリア材料の選択的エピタキシャル法のために利用されていてよい。図1A、2A及び2Bでは、基板100が結晶半導体材料を含む。基板100は、例えばバルクシリコンウェハ、バルクゲルマニウムウェハ、半導体オンインシュレータ(SOI)基板、又は歪み半導体オンインシュレータ(SSOI)基板であってよい。基板100上にはマスク層110が形成されている。マスク層110は、例えば二酸化シリコン、酸化アルミニウム、窒化シリコン、シリコンカーバイド又はダイヤモンドを含む絶縁体層であってよく、例えば50〜100ナノメートル(nm)の厚みtを有していてよい。マスク層110は、例えば化学蒸着(CVD)、プラズマ支援化学蒸着(PECVD)、低圧化学蒸着(LPCVD)といった堆積方法、又はスパッタリング等の物理堆積方法によって形成することができる。別態様では、マスク層110は、基板の熱酸化によって形成することができる。
マスク層110上には、フォトレジストマスクのようなマスク(図示せず)が形成される。このマスクは、マスク層110の少なくとも一部が露出するようにパターニングされる。マスク層110の露出した部分は、例えば反応性イオンエッチング(RIE)によって除去され、これにより、窓120が確定されて、基板100の上面の領域130が露出する。窓120は、例えば50nm〜10マイクロメートル(μm)の幅wを有し、例えば50nm〜10μmの長さlを有していてよい。この窓は、マスク層110の厚みtに等しい高さhを有している。窓120は、電子デバイス又は光電子デバイスの活性エリアに対応しており、場合によってはそのようなデバイスがこの窓内に組み込まれ、それに応じて寸法が選択される。
図1B、2C及び2Dでは、窓120は、選択エピタキシャル法によって活性エリア材料140で完全に充填されている。選択エピタキシャル法は、LPCVD、大気圧CVD(APCVD)、超高真空CVD(UHCVD)、減圧CVD(RPCVD)、有機金属CVD(MOCVD)、原子層堆積(ALD)又は分子ビームエピタキシャル法(MBE)といった堆積方法によって行うことができる。活性エリア材料140は、選択的に形成される、つまり、基板100の、窓120によって露出した結晶半導体材料上に形成されるがマスク層110上には実質的に形成されない。活性エリア材料140は、結晶半導体材料、例えばIV族元素若しくはその化合物、III−V化合物又はII−VI化合物である。IV族元素は、炭素、ゲルマニウム又はシリコン、例えば(110)シリコンである。IV族化合物は、シリコン、ゲルマニウム、スズ又は炭素を含み、例えばシリコンゲルマニウム(SiGe)である。III−V化合物は、例えば、ガリウムヒ素(GaAs)、インジウムヒ素(InAs)、インジウムガリウムヒ素(InGaAs)、インジウムリン(InP)若しくはインジウムアンチモン(InSb)、アルミニウムアンチモン(AlSb)、インジウムアルミニウムアンチモン(InAlSb)又はこれらの混合物であってよい。II−VI化合物は、例えば、テルル化亜鉛(ZnTe)、セレン化カドミウム(CdSe)、テルル化カドミウム(CdTe)、硫化亜鉛(ZnS)若しくはセレン化亜鉛(ZnSe)又はこれらの混合物であってよい。いくつかの態様では、1つを超える活性エリア材料140を窓120内に形成することができる、つまり、活性エリア材料140の2つ以上の層を選択エピタキシャル法によって形成することができる。活性エリア材料と基板110との間の界面には、欠陥が生じうる。このような欠陥は、窓120を確定するマスク層110の側壁によって捕捉することができ、これについては、参照によって本願に組み込まれている米国特許出願第11/436198号明細書及び第11/436062号明細書に記載されている。
窓120を活性エリア材料140で充填した後、活性エリア材料140の一部150は、様々な理由により、マスク層110の上面160上に延在し、これにより、非平坦な上面が形成されている場合がある。例えば、ファセット(facets)が、半導体活性エリア材料140と絶縁体との間の垂直の界面で形成され得る。ファセットが形成されていなくても、窓120が活性エリア材料140で充填される時点で正確に高い信頼性及び再現性をもって選択エピタキシャル法を停止させることは難しいため、活性エリア材料140の上面が絶縁体材料の上面と同一平面にならないこともある。面が非平坦であると、本願の後続のプロセスが難しくなり得る。
活性エリア材料140の、マスク層110の上面の上に延在する部分は、例えば、平坦化によって除去することができ、これにより、活性エリア材料の面170は、図1Cに示すように、マスク層110の上面160と実質的に同一平面となる。活性エリア材料の面は、例えば、マスク層110に関して選択的な化学機械研磨(CMP)ステップによって平坦化することができる。
図2E〜2Gでは、活性エリア材料の少なくとも一部を含むデバイスが形成されている。このデバイスは、活性エリア材料に設けられたソース190、ドレイン200及びチャネル210を含むトランジスタ180であってよい。後続のプロセスステップは、ゲート誘電層220の形成、ゲート電極材料の堆積及び例えばドライエッチングによるゲート230の確定を含んでいてよい。ソース及びドレイン領域は、イオン注入ステップによって画定することができる。中間層誘電体を、ゲート、ソース及びドレイン上に形成することができ、コンタクトホールを画定することができる。金属層235を、コンタクトホール内及び構造上に堆積することができる。得られたトランジスタ180は、例えば、相補型金属酸化物半導体FET(CMOSFET)又は金属半導体FET(MESFET)といった電界効果トランジスタ(FET)であってよい。別の態様では、デバイスは、ダイオードのような非FETデバイスであってよい。ダイオードデバイスは、光検出デバイス(フォトダイオード)又は発光デバイス(発光ダイオード若しくはレーザダイオード)であってよい。別の応用では、デバイスは、バイポーラ接合トランジスタである。
別の態様では、活性エリア材料、例えばIII−V若しくはII−VI半導体合金、又はGe、又はSiGe合金を、以下のように、ウェハ上の選択された活性エリアのみに導入することができる。
図3A〜3Dでは、第1のシャロートレンチアイソレーション(STI)領域300が、当業者に公知の方法によって半導体基板100に画定されている。STI領域300は、誘電材料320、例えば二酸化シリコン又は窒化シリコンで充填されたトレンチ310を含む。第1のSTI領域を含む基板上に、薄い誘電層330が形成される。一態様では、この薄い誘電層330は、誘電材料320と同じ材料を含む。別の態様では、薄い誘電層330は、誘電材料320とは異なる材料を含む。薄い誘電層330は、Siを含んでいてよく、例えば約100〜200Åの厚みtを有していてよい。Si誘電層が厚すぎると、歪みが導入されることによって、シリコンのような下層の材料が損傷することがある。一態様では、薄い誘電材料330は、Si層の下に設けられたSiO層を含む。このSiO層は、Si層によって導入される歪みを和らげ、Si層の厚みtは、例えば1000Åであってよい。SiO層の厚みは、例えば100Åであってよい。
図3A及び3Bでは、薄い誘電層330に窓335が画定され、これにより、基板半導体材料の、第1のSTI領域300に接合している部分340が露出し、その一方で、基板の他の部分は保護される。窓335は、例えば、下層の基板半導体材料を攻撃することなく薄い誘電層330の一部を選択的に除去するフォトレジストマスク及び湿式又は乾式化学エッチングによって画定することができる。露出した半導体材料部分340は除去され、開口部350が画定される。半導体材料部分340は、薄い誘電層330又はSTIトレンチ充填材料320を攻撃することなくSiのような半導体材料を選択的に除去する湿式又は乾式化学エッチングによって除去することができる。例えば、窓の形成によって露出した半導体材料部分340は、第1のSTI領域300の下側の境界と同じレベル位置まで除去し下げることができる。開口部350の側壁360、360’は、STI領域300を並べ且つ/又は充填するために使用される誘電材料によって画定される。一態様では、半導体材料部分340を、第1のSTI領域300の下側の境界より低いレベルまで除去し下げることが好ましく、さらに、除去プロセスにより第1のSTI領域300にアンダーカットを形成し、第1のSTI領域300の下にまで開口部350を拡大してもよい。このような開口部350のプロファイルは、ファセット形成を回避するために又は続いて開口部350に堆積させる材料の欠陥を低減するために有利となり得る。
図3Cでは、開口部350が、選択エピタキシャル法によって活性エリア材料140で充填されている。活性エリア材料の上面370は、薄い誘電層330の上面と実質的に同一平面となるように平坦化することができる。平坦化は、CMPステップによって行うことができ、薄い誘電層330の上面で停止させる。いくつかの態様では、窓335内に1つを超える活性エリア材料140を形成することができる、つまり、活性エリア材料140の2つ以上の層を選択エピタキシャル法によって形成することができる。
上述のように、薄い誘電層330の厚みtは薄くてよい。この薄い厚みtのさらなる利点は、活性エリア材料が、ほんのわずか基板の半導体材料上で延在するということである。
図3Dでは、薄い誘電層330が選択的に除去されているが、STIトレンチ充填部320又は下層のいかなる半導体材料も実質的に除去されていない。例えば、薄い誘電層330がSiである態様では、リン酸を含む加熱溶液により効率よく除去を行うことができる。薄い誘電層330を除去した後、任意に、CMPのような平坦化ステップを使用して、活性エリア材料を含む構造の表面を完全に平坦化して、活性エリア材料が、第1のSTI領域及び基板の半導体材料と実質的に同一平面となるようにすることができる。比較的厚い誘電層330の場合には、その層の除去後に平坦化することが好ましい。
活性エリア材料140の少なくとも一部を含むトランジスタのようなデバイスが画定される。
図4A〜4Fでは、基板の結晶半導体材料は第1の結晶配向を有していてよく、活性エリア材料は、第1の結晶配向とは異なる第2の結晶配向を有する第2の結晶半導体材料を有していてよい。図4Aでは、基板100は、第1の結晶配向を有する第1の層400を備えていてよく、第1の層400上の接合層410は、第2の結晶配向を有する第2の結晶材料を含んでいてよく、これら2つの層間には接合界面412がある。一態様では、基板の第1の結晶材料及び第2の結晶材料は、異なる配向を有する同じ材料を含んでいてよい。例えば、第1の層400は(100)Siであってよく、接合層は(110)Siであってよい。一態様では、接合層410の下に設けられている基板100は、実質的に全て、第1の層400からなっていてよい。例えば、第1の層400は(100)Siウェハであってよく、接合層410は(110)Siであってよい。
図4Bでは、第1のSTI領域300は、接合層410内で画定され、第1の層400へと延びている。一態様では、第1のSTI領域300は、第1の層400内へと延びていてよい。第1のSTI領域300は、第2の結晶半導体材料の部分415を囲んでいる。
図4Cでは、マスクオーバー層(masking overlayer)420が基板100上に画定されている。マスクオーバー層420は、例えば約100〜200Åの厚みtを有する、例えば薄い低歪みSi層であってよい。マスクオーバー層420に窓430が画定され、これにより、第1のSTI領域300により囲まれている第2の結晶半導体材料部分415が露出する。
図4Dでは、露出した第2の結晶半導体材料部分が、乾式又は湿式エッチングによって除去されており、これにより、開口部440が画定されている。この除去は、第1の層400の表面450が露出した後に停止するように時間設定された非選択的な湿式又は乾式エッチングによるものであってよい。別態様では、この除去は、所与の結晶配向の半導体材料を優先的に除去する湿式エッチングによる選択的なものであってよい。例えば、濃度25%、70℃のテトラメチルアンモニウムヒドロキシド(TMAH)の溶液は、(110)Siを、極めて迅速に約0.5μm/分でエッチングする。この溶液は、(100)Siを0.27μm/分、(111)Siを0.009μm/分という速度でしかエッチングしないので、この溶液を使用して、(100)又は(111)Siの層上にある(110)Siを簡単に除去することができる。
図4Eでは、開口部440が、選択エピタキシャル法によって第1の結晶材料で充填されている。選択エピタキシャル材料460の上面は、接合層410の上面と実質的に同一平面となるように平坦化することができる。この平坦化は、例えばマスクオーバー層420の上面470で停止させるCMPステップによって行うことができる。
図4Fでは、マスク層が除去され、異なる結晶配向を有する第1の結晶材料及び第2の結晶材料を含む活性エリアを有するデバイスが形成されている。
一態様では、n−FETの活性エリア480は、第1のSTI領域300により囲まれており、p−FETの活性エリア490は、第1のSTI領域300の形成部に平行に形成されている第2のSTI領域300’により囲まれている。(110)面Siは、(100)面に比べ、極めて高い正孔移動度を有しているが、電子移動度は小さい。したがって、第1のSTI領域300に囲まれている領域に(100)Siを設け、n−FETの活性領域480として使用すること、並びに第2のSTI領域300’に囲まれている領域490に(110)Siを設け、p−FETの活性領域として使用すると有利であろう。
一態様では、接合層410が(100)Siを含み、(110)Siを含むウェハに接合している。STI領域300、300’の形成後、(100)Siを、第2のSTI領域300’で囲まれた領域から除去する。p−FETの活性領域として使用するため、第2のSTI領域に囲まれているエリアで(110)Siを選択的に成長させ、平坦化する。第1のSTI領域に囲まれている(100)Siは、n−FETの活性エリアとして使用される。
さらに別の態様では、接合層410は、(100)歪みシリコンであって、勾配バッファから第2の基板へと張り替えられ、(110)Siウェハに接合されている。STIを形成した後、(100)歪みシリコンを、第2のSTI領域300’によって囲まれたエリアから除去する。p−FETの活性エリアとしての使用のために、第2のSTI領域300’により囲まれているエリアで(110)Siを選択的に成長させ、平坦化される。第1のSTI領域に囲まれている(100)歪みSiは、n−FETの活性エリアとして使用する。
上述のように、オーバー層のマスク材料、例えばマスクオーバー層420又は薄い誘電層330を、特定の領域、例えばp−FET領域を覆うために使用することができ、この場合、覆われていない領域、例えばn−FET領域上に、代替の活性エリア材料を選択的に成長させる。オーバー層マスク材料のエッジの画定には課題が多く、それというのは、エッジを画定するために使用されるリソグラフィーステップには、STI領域との極めて微細な整合が必要だからである。例えば、その整合は、±10mm以内でなくてはならない場合がある。前記エッジと整合されるSTI領域が、リソグラフィーステップの整合の公差に比べて狭すぎる場合には、不整合が生じ得る。
図5A及び5Bでは、活性エリアをその範囲内に画定する領域で囲まれている第1のSTI領域300は、同じ基板100上に形成されている第2のSTI領域300’より幅広となっている。例えば、STI領域300は、40nm〜400nmの範囲から選択された幅wを有していてよく、STI領域300’は、20nm〜200nmの範囲から選択された幅wを有していてよい。第1のSTI領域300の幅の、第2のSTI領域300’の幅に対する比は、1より大きく、好ましくは1.2〜3の範囲から選択されてよい。この比は3より大きくてもよいが、その場合には、過大なエリアによる不都合が生じ得る。
図3A〜3Dを参照して上述したように、薄い誘電層330が形成され、窓が画定されている。STI領域300がより幅広であると、フォトレジストマスクの整合は簡単となり、この場合、薄い誘電層330のエッジ500は、STI領域300上でより高い信頼性で画定される。窓によって露出している基板半導体材料510が除去され、開口部(図示せず)が画定される。開口部は、選択エピタキシャル法により活性エリア材料(図示せず)で充填される。活性エリア材料の上面は、薄い誘電層330の上面と実質的に同一平面となるように平坦化することができる。この平坦化は、薄い誘電層330の除去前及び/又は後に行うことができる。比較的厚い誘電層330の場合には、層の除去後に平坦化することが好ましい。いくつかの態様では、1つを超える活性エリア材料を開口部内に形成することができる、つまり活性エリア材料の2つ以上の層を選択エピタキシャル法によって形成することができる。
図6A及び6Bでは、結晶半導体材料を含む基板100において、活性エリアより幅の広い第1のSTI領域300が画定されている。基板上に薄い誘電層330が形成され、この薄い誘電層330において窓600が画定されて、第1のSTI領域300の一部を露出させる。第1のSTI領域の露出した部分は、例えば、実質的にはシリコンをエッチングしない例えばHCl及び/又はHBrを含む乾式エッチングによって除去され、開口部610が画定される。開口部610は、選択エピタキシャル法によって活性エリア材料(図示せず)で充填される。活性エリア材料の上面は、薄い誘電層330の上面と実質的に同一平面になるように平坦化することができる。この平坦化は、薄い誘電層330の除去前及び/又は後に行うことができる。いくつかの態様では、1つを超える活性エリア材料を開口部610内に形成することができる、つまり、活性エリア材料の2つ以上の層を選択エピタキシャル法によって形成することができる。
開口部の周囲を囲む残されたる絶縁体ストリップ620は、分離構造として機能する。これらのストリップの幅の、第2のSTI領域300’の幅に対する比は、1を超えていてよい。
薄い誘電層330が除去され、活性エリア材料の少なくとも一部を含むデバイスが画定される。
第1のSTI領域300は、例えば活性エリアに相当する幅(通常、ゲート長さの少なくとも10倍)及びトレンチの幅(通常、それぞれゲート長さの2倍)の2倍の合計の幅wを有している。よって、続いて形成されるデバイスが45nmのゲート長さを有する場合には、第1のSTI領域300は630nmの幅を有していてよい。
図7A〜7Eでは、2つ以上の異なる活性エリア材料を、単一の基板上に選択的に成長させる。上述の結晶材料を含む基板100上に、マスク層110を形成する。マスク層110は、非結晶材料、例えばSiO又はSiといった誘電体を含む。マスク層110は、分離領域として働くことができる。第1のマスク層に第1の開口部710が画定され、これにより、基板の第1の領域720において、基板の第1の部分が露出する。湿式又は乾式選択エッチングによって、第1の開口部710を画定することができる。
第1の開口部710は、選択エピタキシャル法により第1の活性エリア材料で充填され、この場合、第1の活性エリア材料は、第1の開口部710内に形成されるが、マスク層110上には実質的に形成されないようにする。いくつかの態様では、1つを超える活性エリア材料を、第1の開口部710内に形成することができる、つまり、活性エリア材料の2つ以上の層を選択エピタキシャル法によって形成することができる。
基板上には、基板の第1の領域が覆われるように第2のマスク層740を形成することができる。第2のマスク層740は、非結晶材料、例えば誘電体を含む。第2及び第1のマスク層内に第2の開口部750が画定され、これにより、基板の第2の領域760において、基板の第2の部分が露出する。この第2の開口部は、選択エピタキシャル法によって第2の活性エリア材料770で充填される。第2のマスク層740があることによって、選択エピタキシャル法による結晶性の第1の活性エリア材料730上での第2の活性エリア材料770の形成が防止される。第2のマスク層は、第2の開口部が第2の活性エリア材料で充填された後、例えば選択的湿式エッチングによって除去することができる。いくつかの態様では、1つを超える活性エリア材料を、第2の開口部750内に形成することができる、つまり、活性エリア材料の2つ以上の層を選択エピタキシャル法によって形成することができる。
これにより、構造は、第1の分離領域110’、第1の活性エリア材料730を含み且つ第1の分離領域110’に囲まれている第1の活性エリア、第2の分離領域110’’、並びに第1の活性エリア材料730とは異なる第2の活性エリア材料770を含み且つ第2の分離領域110’’に囲まれている第2の活性エリアを含んでいてよい。好ましくは、第1の活性エリア材料730の表面、第2の活性エリア材料770の表面、第1の分離領域110’の表面、並びに第2の分離領域110’’の表面が、全て実質的に同一平面にある。
第1及び第2の活性エリア材料730、770のそれぞれは、活性エリア材料140に関して上述したように形成することができ、上に列記した任意の材料を含むことができる。一態様では、第1の活性エリア材料は、第1の結晶配向を有し、第2の活性エリア材料は、第1の結晶配向とは異なる第2の結晶配向を有する。いくつかの態様では、第1の活性エリア材料は、IV族元素若しくは化合物、例えばSi若しくはGe若しくはSiGe、又はIII−V属化合物、例えばInAs、InGaAs、InSb、AlSb、InAlSb、GaAs及びInPの少なくとも1つを含んでいてよく、第2の活性エリア材料は、IV族元素若しくは化合物、例えばSi若しくはGe若しくはSiGe、又はIII−V化合物、例えばInAs、InGaAs、InSb、AlSb,InAlSb、GaAs及びInPの少なくとも1つを含んでいてよい。
第2のマスク層を除去した後、第1の活性エリア材料の表面及び第2の活性エリア材料の表面を、例えばCMPによって平坦化することができる。このCMPステップで、第1の活性エリア材料及び第2の活性エリア材料を同じ速度で研磨することによって、2つの異なる材料の非選択的な研磨が可能となる。
さらなるプロセスによって、第1の活性エリアを含む第1のデバイスが形成され、第2の活性エリアを含む第2のデバイスが形成される。
このようにして、電子又は光電子デバイスでの使用のために、2種の代替活性エリア材料を基板上に形成することができる。例えば、第1の活性エリア材料は、n−FETの活性エリアとしての使用に適したものであってよく、例えばInGaAsであってよく、第2の活性エリア材料は、p−FETの活性エリアとしての使用に適したものであってよく、例えばSi、Ge若しくはSiGeであってよい。
図8A〜8Eでは、第2の活性エリア材料の形成前に、第2のマスク層740が形成されていない。つまり、第1の開口部を第1の活性エリア材料730で充填した後、第1のマスク層110において第2の開口部750が画定され、第2の活性エリア材料770で充填される。選択エピタキシャル法によって第2の活性エリア材料770が形成され、この場合、第2の活性エリア材料は、第1の活性エリア材料の上面を含む露出した結晶表面上全体に形成される。第2の活性エリア材料770を形成した後、構造を、例えばCMPによって平坦化することができる。
図9Aから9Eでは、CMOSにおける異なるトランジスタ回路が、異なる活性エリア材料を有していてよい。2種の活性エリア材料を単一の基板へ組み込むことに関する設計上及びプロセス上の問題は、n−及びp−FETに対して単一のソース/ドレイン材料を使用することによって減らすことができる。さらに、上述の構造によって、CMOS回路は、第1の型の歪みを有するチャネルを備えたn−FET及び第2の型の歪みを有するチャネルを備えたp−FETを含むことができ、これは、様々なチャネル材料の組合せに関して有利となり得る。
上述のように、単一の基板上に異なる種類のデバイスを形成するためには、いくつかの例では、2つの異なる活性エリア材料が好ましい。例えば、CMOSデバイス900は、(i)第1の活性エリア材料915、例えばGe、GaAs、InAs、InSb若しくはInGaAsに設けられた第1のチャネル910、第1のソース領域920及び第1のドレイン領域925を有するn−FET905と、(ii)第2の活性エリア材料935、例えば(110)面を有するSi、SiGe、Ge若しくはInSbに設けられた第2のチャネル940、第2のソース領域945及び第2のドレイン領域950有するp−FETとを含んでいてよい。
上記CMOSデバイス900は、以下のように形成することができる。n−FET905の第1のチャネル910としての使用に適した第1の活性エリア材料915を、半導体基板100の第1の領域955に設ける。p−FET930の第2のチャネル940として使用するのに適した第2の活性エリア材料935を、半導体基板100の第2の領域960に設ける。
図9C及び9Dでは、まず第1の活性エリア材料915の第1及び第2の部分を除去して第1及び第2の切欠(凹部)965、970を画定し、次にこの第1及び第2の切欠にソース/ドレイン材料を堆積させることによって、第1のソース領域920及び第1のドレイン領域925が画定される。それに続いて又は好ましくはそれと平行して、まず第2の活性エリア材料935の第1及び第2の部分を除去して第3及び第4の切欠980、985を画定し、次にこの第3及び第4の切欠内にソース/ドレイン材料を堆積させることによって、第2のソース945及び第2のドレイン領域950が画定される。
切欠は、適当な湿式又は乾式エッチングによって形成することができる。例えば、第1、第2、第3及び第4の切欠965、970、980、985は、第1及び第2の活性エリア材料をほぼ同じ速度で除去する非選択的なエッチングによって形成することができる。例えば、45%SF/55%Oを用いた乾式化学エッチング[100ミリTorr(mTorr)の全ガス圧、50ワットのRF電力、及び1分当たり30標準立方センチメートルの全ガス流量]を使用して、Si及びGeを含む活性エリアを200nm/分にほぼ等しい速度でエッチングすることができる(A. Campoら、「Comparison of Etching Processes of Silicon and Germanium in SF6-O2 Radio-Frequency Plasma」、J. Vac. Sic. Technol. B、Vol.13、No.2、235頁、1995を参照、該文献は参照により本願に組み込まれる)。別態様では、第1の活性材料に形成される第1及び第2の切欠965、970を、第2の活性材料に対して高い選択性を有するエッチングによって画定することができる。同様に第3及び第4の切欠980、985を、第1の活性材料に対して高い選択性を有するエッチングによって除去することができる。例えば、第1の活性エリア材料がSiであって、第2の活性エリア材料がGeである場合には、Si材料に形成されている第1及び第2の切欠965、970を、SF/H/CF化学エッチングにより形成することができる。ガス流量をそれぞれSF35sccm、H65sccm、CF80sccmとし、圧力150mTorr及びRF電力50ワットとすると、この化学法により、約10nm/分で、Geに対して完全に選択的にSiをエッチングすることができる(G. S. Oehrleinら、「Studies of the Reactive Ion Etching of SiGe alloys」、J. Vac. Sic. Technol. A、Vol.9、No.3、768頁、1991を参照、該文献は参照により本願に組み込まれる)。次に、第3及び第4の切欠980、985を、全圧20Torr及びHCl分圧208mTorr(Hキャリアガス中)で、HCl化学エッチングによって形成することができる。500〜600℃のエッチング温度で、この化学エッチングは、10〜20nm/分で、Siに対して完全に選択的にGeをエッチングする(Y. Bogumilowiczら、「Chemical Vapour Etching of Si, SiGe and Ge with HCl; Applications to the Formation of Thin Relaxed SiGe Buffers and to the Revelation of Threading Dislocations」、Semicond. Sci. Technol.、Vol.20、127頁、2005を参照、該文献は参照により本願に組み込まれる)。
第1のソース及びドレイン領域920、925に堆積させたソース/ドレイン材料は、第2のソース及びドレイン領域945、950に堆積させたソース/ドレイン材料と同じである。適切な格子定数を有するソース/ドレイン材料を選択することによって、所望の型の歪みを、活性エリア材料に導入することができる。例えば、第1の活性エリア材料は引張り歪みを有していてよく且つ/又は第2の活性エリア材料は圧縮歪みを有していてよい。一態様では、p−FET930のチャネル940は圧縮歪みを有し、n−FET905のチャネル910は引張り歪みを有している。つまり、例えばSi、SiGe若しくはGeを含むチャネル材料の場合、両デバイスのキャリア移動度が増大する。ここで、ソース/ドレイン材料は、n−FETチャネルの活性エリア材料の格子定数より小さな格子定数を有する。よって、n−FETのチャネルは引張り歪みを有するようになる。ソース/ドレイン材料の格子定数は、p−FETチャネルの第2の活性エリア材料の格子定数より大きい。よって、p−FETチャネルは圧縮歪みを有するようになる。より詳細には、第1の活性エリア材料はGeであってよく、第2の活性エリア材料はSiであってよく、ソース/ドレイン材料はSiGeであってよい。
別の態様では、第1の活性エリア材料は圧縮歪みを受け且つ/又は第2の活性エリア材料は引張り歪み受けていてよい。よって、p−FET930のチャネル940が引張り歪みを有し、n−FET905のチャネル910が圧縮歪みを有する。ここで、ソース/ドレイン材料は、n−FETチャネルの第1の活性エリア材料の格子定数より大きい格子定数を有している。よって、n−FETチャネル910は圧縮歪みを有する。ソース/ドレイン材料の格子定数は、p−FETチャネル940の第2の活性エリア材料の格子定数より小さい。よって、p−FETチャネル940は引張り歪みを有する。より詳細には、第1の活性エリア材料はSiであってよく、第2の活性エリア材料はGeであってよく、ソース/ドレイン材料はSiGeであってよい。
デバイス性能に利益をもたらし得る歪みの種類は、ピエゾ抵抗係数から決定することができる。比較的大きな正のピエゾ抵抗係数は、圧縮歪みによりキャリア移動度が増大することを示す指標である。比較的大きな負のピエゾ抵抗係数は、引張り歪みによりキャリア移動度が増大することを示す指標である。例えば、電流の流れに平行に測定された、p型デバイスでの<110>Siのピエゾ抵抗係数は71.8である。よって、<110>配向Siチャネルを有するp型デバイスでのキャリア移動度は、圧縮歪みによりその増大が支援される。電流に平行に測定された、n型デバイスでの<110>Geのピエゾ抵抗係数は−72である。よって、<110>配向Geチャネルを有するn型デバイスでのキャリア移動度は、引張り歪みによりその増大が支援される。一態様では、CMOSデバイス900は、<110>配向Geの引張り歪みチャネル910を有するn−FET905、<110>配向Si圧縮歪みチャネル940を有するp−FET930、並びにSiGeのソース/ドレイン材料を含む。
一態様では、第1のソース領域920及び第1のドレイン領域925のソース/ドレイン材料の少なくとも一部が、第1及び第2の切欠965、970に設けられており、第2のソース領域945及び第2のドレイン領域950のソース/ドレイン材料の少なくとも一部が、第3及び第4の切欠980、985に設けられており、ソース/ドレイン材料の格子定数は、第1の活性エリア材料915の格子定数より小さく、第2の活性エリア材料935の格子定数よりも大きい。別の態様では、ソース/ドレイン材料の格子定数は、第1の活性エリア材料915の格子定数より大きく、第2の活性エリア材料935の格子定数より小さい。
別態様では、第1のソース領域920及び第1のドレイン領域925のソース/ドレイン材料の少なくとも一部が、第1及び第2の切欠965、970に設けられており、第2のソース領域945及び第2のドレイン領域950のソース/ドレイン材料の少なくとが、第2の活性エリア材料の上面に設けられており、ソース/ドレイン材料の格子定数が、第1の活性エリア材料915の格子定数より小さく、第2の活性エリア材料935の格子定数より小さい。この場合、第3及び第4の切欠980、985は、ソース/ドレイン材料の堆積前に形成されず、これは、p−FET930での追加的な歪みが不都合であり、p−FET930の性能に悪影響さえ及ぼし得るからである。ソース/ドレイン材料は、IV族半導体、例えばSi、Ge、SiGe又はSiCからなっていてよい。
さらに別の態様では、第1のソース領域920及び第1のドレイン領域925のソース/ドレイン材料が、第1の活性エリア材料の上面に設けられ、第2のソース領域945及び第2のドレイン領域950のソース/ドレイン材料の少なくとも一部が、第3及び第4の切欠980、985に設けられ、ソース/ドレイン材料の格子定数が、第1の活性エリア材料915の格子定数より大きく、第2の活性エリア材料935の格子定数より大きい。この場合、第1及び第2の切欠965、970は、ソース/ドレイン材料の堆積前には形成されず、これは、n−FETでの追加的な歪みが不都合であり、n−FET905の性能に悪影響さえ及ぼし得るからである。
後続のプロセスでは、第1のデバイス、例えば、第1の活性エリア材料に設けられた、第1のソース920と第1のドレイン925との間のチャネル910を有するn−FET905が画定される。また、第2のデバイス、例えば、第2の活性エリア材料に設けられた、第2のソース945と第2のドレイン950との間のチャネル940を有するp−FET930が画定される。
異なるn型及びp型活性エリア材料を有するCMOSデバイスの設計及び製造は、両n型及びp型デバイスのために単一のゲート誘電体材料を使用することによって、簡素化することができる。
図10A〜10Dでは、第1の活性エリア材料730が、基板100の第1の部分1000上に設けられており、第2の活性エリア材料770が、基板100の第2の部分1010上に設けられており、これは、図7A〜7Eを参照して上で説明したものと同様である。第1及び第2の活性エリア材料はそれぞれ、例えばGe、SiGe、SiC、ダイヤモンド、III−V半導体及びII−VI半導体の少なくとも1つを含んでいてよい。
第1及び第2の両活性エリア材料上に、例えば厚みt=5〜20Åの極めて薄い層1020を堆積させ、これにより、各活性材料の上面が同じ材料を有するようになる。この堆積は、選択的とする、つまり、活性エリア材料上には堆積するが、活性エリアを囲む分離領域の上面には堆積しないように行うことができる。この薄層1020は、例えばSi、Ge、又は特定のゲート誘電体に対する高品質の界面特性を有することにより選択される他の材料を含んでいてよい。この薄層1020は、例えば、極めて微細な厚み制御が可能であるALDのような方法によって堆積することができる。その後、薄層1020上に、ゲート誘電層1030が形成される。一態様では、薄層1020はSiを含み、ゲート誘電層1030は熱成長SiOを含む。別態様では、ゲート誘電層1030は、SiON、Si3N4又は高誘電率(high-k)誘電体、例えば、酸化ハフニウム(HfO)、酸化アルミニウム(Al)又は酸化ジルコニウム(ZrO)を含んでいてよい。
第1の活性エリア材料730を含む第1のデバイス1040、並びに第2の活性エリア材料770を含む第2のデバイス1050が形成される。例えば、第1のデバイス1040はn−FETであってよく、第2のデバイス1050はp−FETであってよい。
異なるn型及びp型活性エリアを有するCMOSデバイスの性能、設計及び製造は、例えば仕事関数を考慮に入れて選択された、n型及びp型デバイスのための異なるゲート電極材料の使用によって改善することができる。
図11A〜11Dでは、第1の活性エリア材料730は、基板100の第1の部分720上に設けられており、第2の活性エリア材料770は、基板の100の第2の部分上に設けられており、これは、図7A〜7Eを参照して上で説明したものと同様である。図10A〜10Cを参照して上述したように、第1及び第2の両活性エリア上に直接的に又は始めに薄層1020を形成することによって、基板100上にゲート誘電層1030が形成される。一態様では、第1の活性エリア材料730上に第1のゲート誘電層が、第2の活性エリア材料770上に第2のゲート誘電層が形成される。例えば、第2の活性エリア材料をSiO、Siのような誘電マスク層によってマスクすることができ、酸化、窒化又は原子層堆積等の方法によって、露出させた第1の活性エリア材料730上にのみ第1のゲート誘電層を形成する。ゲート電極材料の第1の活性エリア上へ堆積させる前又は後に(後述の通り)、マスク材料を、第2の活性エリアから除去し、第1の活性エリアへ被着させることができる。次に、酸化、窒化又は原子層堆積等の方法によって、露出させた第2の活性エリア材料770上にのみ第2のゲート誘電層を形成することができる。その後、マスク材料が除去される。一態様では、第1及び第2のゲート誘電層は、同じ材料から形成されており、単一のステップで形成される。
第1のゲート電極材料1100は、第1の活性エリア材料730上を含む基板100上に堆積される。第1のゲート電極材料1100は、n−FETデバイスのゲートとしての使用に適していてよく、例えばインジウム(In)、タンタル(Ta)、ジルコニウム(Zr)、タングステン(W)、モリブデン(Mo)、クロム(Cr)、スズ(Sn)、亜鉛(Zn)、コバルト(Co)、ニッケル(Ni)、レニウム(Re)、ルテニウム(Ru)、白金(Pt)、チタン(Ti)、ハフニウム(Hf)、これらの材料の1つ以上の合金、並びにこれらの材料の1つ以上とSi及び/又は窒素との合金を含んでいてよい。図11Bでは、第2の活性エリア材料770上に設けられている第1のゲート電極材料1100が、例えば下層のゲート誘電層に対する選択性の高い湿式若しくは乾式エッチングによって除去されている。適切な乾式エッチングは、Ti、Ta、Mo及びWをエッチングするが多くの酸化物に対し高い選択性を有する2.6mTorrでのXeFであってよい(K. R. Williamsら、「Etch Rate for Micromachining Processing-Part II」、J. Micromechanical Systems、Vol.12、No.6、761頁、2003を参照、該文献は参照により本願に組み込まれる)。
図11Cでは、第2の活性エリア材料770上を含む基板100上に、第2のゲート電極材料1110が堆積されている。第2のゲート電極材料1110は、p−FETデバイスのゲートとしての使用に適しており、例えば、銅(Cu)、Mo、Cr、W、Ru、Ta、Zr、Pt、Hf、Ti、Co、Ni、これらの材料の1つ以上の合金、並びにこれらの材料の1つ以上とSi及び/又は窒素との合金を含んでいてよい。第1の活性エリア材料730上に設けられた第2のゲート電極材料1110は、例えば下層の第1のゲート電極材料に対して高い選択性を有する湿式又は乾式エッチングによって除去することができる。例えば、第1のゲート電極材料がタングステン(W)で、第2のゲート電極材料がチタン(Ti)の場合には、10:1のHO:HFの室温の湿式エッチング溶液を使用して、約1100nm/分の速度でTiを除去することができ、エッチングは、少なくとも100倍遅い速度でエッチングされるWにおいて選択的に停止する(K. R. Williamsら、「Etch rates for micromachining processes」、J. Microelectromech. Syst. 5、256〜269頁、1996を参照、該文献は参照により本願に組み込まれる)。別態様では、第1の活性エリア材料730上に設けられた第2のゲート電極材料1110を、CMPのような平坦化ステップによって除去することができる。
図11Dでは、基板100上に設けられた第1及び第2のゲート電極層1100、1110は平坦化されており、第1の活性エリア材料730上に設けられた第1の電極層1100の表面並びに第2の活性エリア材料770上に設けられた第2の電極層1110の表面を含む同一平面1130が画定されている。一態様では、第1のゲート電極材料1100は、第2のゲート電極材料のためのCMP停止層として使用することができる。
第1の活性エリア材料上には第1のゲートを、第2の活性エリア材料上には第2のゲートを画定することができる。第1のデバイス、例えば、第1の活性エリア材料を含むn−FETが画定され、第2のデバイス、例えば第2の活性エリア材料を含むp−FETが画定される。
図12A〜12Cに、2種の異なる材料を単一の活性エリア領域に堆積させることができ、これにより、デバイスの性質が向上することを示す。図12Aによれば、特定のチャネル材料、例えばInSb又はInAsは、高いキャリア移動度が可能となるが、ソース又はドレインの大きなダイオードリークを生じさせ得る低バンドギャップを有している。図12Bに、比較的小さいバンドギャップを有するチャネル材料を含む活性エリアを有するトランジスタ1200が、ソース及び/又はドレイン1202、1204の高リークに弱いことを示す。2種の活性エリア材料を選択エピタキシャル堆積することにより活性エリアを画定することによって、より良い結果を得ることができる。
図12Cでは、図1A〜1C及び2A〜2Gを参照して上述したプロセスと同様の選択エピタキシャルプロセスを使用して、マスク層110で画定された窓120内の下側活性エリア材料層1210の選択エピタキシャル成長によって、二層構造が画定されている。下側活性エリア材料1210は、比較的大きなバンドギャップを有する第1の半導体材料1215(S1)、例えばGaSb、AlSb、CdSe、ZnTe、InAlAs、CdTe又はInAlSbを含んでいてよい。第1の活性エリア材料1210の厚みtは、窓120の深さdに等しくてもよい。厚みt及び深さdは、例えば200〜500nmの範囲から選択されていてよい。下側活性エリア材料層1210を平坦化するための平坦化ステップ(例えばCMP)を実施し、これにより、下側活性エリア材料層1210の上面及びマスク層110の上面を同一表面にすることができる。続いて、下側活性エリア材料層1210上に、上側活性エリア材料層1220を形成することができる。上側活性エリア材料層は、高いキャリア移動度を提供するが小さなバンドギャップを有し得る、InAs又はInSb等の第2の半導体材料1225(S2)を含んでいてよい。上側活性材料層の厚みtは、例えば5nm〜100nmの範囲から選択されていてよい。一態様では、下側活性エリア材料は、実質的に均一な、例えば勾配のない組成を有していてよい。
好ましい態様では、第1の材料S1 1215及び第2の材料S2 1225との間の格子不整合は十分に小さく、欠陥の形成は低減されている。格子不整合は、好ましくは約2%未満である。可能な材料の組合せのいくつかを、以下の表1に示す。
Figure 2009503871
下側及び上側エリア層1210、1220を含む、トランジスタのようなデバイス125を形成することができる。ソース領域及びドレイン領域1260、1265の下部分は、下側活性エリア層1210に設けられていてよく、ソース領域及びドレイン領域1260、1265の上部分は、上側活性エリア層1220に設けられていてよい。これにより、リーク電流が低減する一方で、高いキャリア移動度が得られる。
格子定数の不整合によって、基板と半導体材料S1との間の界面で欠陥1230が生じ得る。このような欠陥は、窓120を画定するマスク層110の側壁によって捕捉することができ、これについては、米国特許出願第11/436198号明細書及び第11/436062号明細書に記載されている通りである。
図13A〜13Eには、いくつかの態様で、活性エリア材料の選択エピタキシャル成長を利用して、例えば、NMOSデバイス及びPMOSデバイスの両方で使用され得る、≧1.5%の高い歪みレベルを有するチャネル領域を提供することができる。上述のように、結晶材料を含む基板100上にマスク層110が形成される。マスク層110は、非結晶材料、例えばSiO又はSiといった誘電体を含む。マスク層110内には第1の開口部710が画定され、これにより、基板100の第1の部分1300が露出する。第1の開口部710内には、Siのような第1の活性エリア材料730を選択エピタキシャル法によって成長させる。マスク層110の上面上に延在する第1の活性エリア材料730の上部分は、例えばCMPによって平坦化することができる。その後、第1の活性エリア材料730上に、第2の活性エリア材料770を含む第1の層1310を選択的に成長させる。第2の活性エリア材料770は、第1の活性エリア材料730に対して格子不整合を有していてよい。例えば、Si1−xGe(x≧0.35)を緩和Si上に形成することができる。第2の活性エリア材料770の厚みtは、好ましくは、全体の緩和(gross relaxation)が生じる厚みよりも小さい。Si上に形成された圧縮層、例えばSi1−xGeでは、この緩和の厚みは、臨界厚みh、つまりミスフィット転位が生じ始める厚みの約3〜4倍である。例えば、x=0.35では、ミスフィット転位が生じ始める臨界厚みは約65nmであり、よって、厚みtは、好ましくは約260nm未満である。
第1及び第2の活性エリア材料730、770はそれぞれ、活性エリア材料140に関して上述したような方式で形成することができ、上に列記した任意の材料を含んでいてよい。いくつかの態様では、第1の活性エリア材料は、IV族元素若しくは化合物、例えばSi若しくはGe若しくはSiGe、又はIII−V化合物、例えばInAs、InGaAs、InSb、AlSb、InAlSb、GaAs及びInPの少なくとも1つを含んでいてよく、第2の活性エリア材料は、IV族元素若しくは化合物、例えばSi若しくはGe若しくはSiGe、又はIII−V化合物、例えばInAs、InGaAs、InSb、AlSb、InAlSb、GaAs及びInPの少なくとも1つを含んでいてよい。
第1及び第2の活性エリア材料730、770を含む基板の第1の部分を、第2のマスク層740で覆う。この第2のマスク層740は、非結晶材料、例えば、SiO又はSiといった誘電体を含む。第2の開口部750が、マスク層110において画定される。この第2の開口部750は、第3の活性エリア材料1315、例えばSi1−xGe[x≧0.35]で充填される。第3の活性エリア材料1315の厚みは、好ましくは、第3の活性エリア材料が緩和されるように、全体の緩和が引き起こされる厚みよりも大きくなっている。Si基板上に形成されたSi1−xGeのような圧縮層では、この緩和の厚みは、臨界厚みh、つまりミスフィット転位が生じ始め得る厚みの約3〜4倍である。例えば、x=0.35では、ミスフィット転位が生じ始め得る臨界厚みは約65nmであり、よって、第3の活性材料1315の厚みは、好ましくは約260nmより大きい。第2の活性エリア材料770と基板100との間の界面では欠陥が形成し得る。この欠陥は、米国特許出願第11/436198号及び第11/436062号明細書に記載されているように、開口部750を画定するマスク材料110の側壁によって捕捉することができる。マスク層110の上面上に延びる第3の活性エリア材料1315の上部分は、例えばCMPによって平坦化することができる。その後、第3の活性エリア材料1315上に、第4の活性エリア材料1317、例えばSiを含む第2の層1320を選択的に成長させることができる。第4の活性エリア材料1317の厚みtは、好ましくは、全体の緩和が生じる厚みよりも小さい。引張り歪み層、例えば緩和Si1−xGe上に設けられたSiの場合には、厚みtは、臨界厚みhの約10倍である。例えば、x=0.35では、ミスフィット転位が生じ始め得る臨界厚みは約65nmであり、よって、厚みtは、好ましくは約650nm未満である。第2のマスク層740は、例えば選択的湿式エッチングによって除去される。
第3及び第4の活性エリア材料1315、1317はそれぞれ、活性エリア材料140に関して上述した方式で形成することができ、上に列記した任意の材料を含んでいてよい。いくつかの態様では、第3のエリア材料は、IV族元素若しくは化合物、例えばSi若しくはGe若しくはSiGe、又はIII−V化合物、例えばInAs、InGaAs、InSb、AlSb、InAlSb、GaAs及びInPの少なくとも1つを含んでいてよく、第4の活性エリア材料は、IV元素若しくは化合物、例えばSi若しくはGe若しくはSiGe、又はIII−V化合物、例えばInAs、InGaAs、InSb、AlSb、InAlSb、GaAs及びInPの少なくとも1つを含んでいてよい。
一態様では、第1の開口部710に堆積させた第1及び第2の活性エリア材料730、770は、第2の開口部750に堆積させた第4及び第3の活性エリア材料1317、1315とそれぞれ同じであってよい。これにより、例えば、これらの活性エリアを組み込んでいるNMOS及びPMOSにおいて、同等の歪みレベルが得られる。つまり、NMOS及びPMOSデバイスは、大きさが実質的に同じであるが符号が反対である歪みを組み込むことになる。好ましい態様では、NMOS領域ではSiGe上のSiが使用され、PMOS領域ではSi上のSiGeが使用され、これにより、NMOS及びPMOSの両領域に対して有利な符号の歪みが提供される。材料の別の組合せも可能である。引張り歪みが一般に電子移動度向上(Si、SiGe及び場合によってはSiCで)を促進し、圧縮歪み(電流の方向での)が一般にPMOS移動度向上(Si又はSiGeで)を促進するという観察に基づいて、材料の選択の指針としては、NMOSの場合には、好ましくは、チャネル材料の元の格子定数が下層の半導体よりも小さく、PMOSの場合にはその逆となっていてよい。好ましくは、NMOS及びPMOSのいずれの場合にも、上側活性エリア材料が歪みを有するように、下側活性エリア材料は実質的に緩和されている。
図13Eでは、得られた構造1355が、第1及び第2の活性エリア1360、1370を含んでいる。第1の活性エリア1360は、PMOSデバイスの形成に適しているものであってよい。第1の活性エリア1360は、第2の活性エリア材料770、例えば、Siのような第1の活性エリア材料730上に設けられている高圧縮歪みを有するSi1−xGeを含んでいてよい。高圧縮歪み材料は、高い正孔移動度を提供し、PMOSデバイスの性能を増大させる。第2の活性エリア1370は、NMOSデバイスの形成に適していてよい。第2の活性エリア1370は、第4の活性エリア材料1317、例えば、緩和Si1−xGeのような第3の活性エリア材料1315上に設けられている高引張り歪みSiを含んでいてよい。高引張り歪み材料は、高い電子移動度を提供し、NMOSデバイスの性能の増大を促進する。
図13Fでは、PMOS及びNMOSトランジスタ1380、1385が、構造1355の第1及び第2の活性エリア1360、1370上に形成されている。一態様では、PMOS及びNMOSトランジスタは、上側活性エリア材料、つまり第2の活性エリア材料770及び第4の活性エリア材料1317のそれぞれに全体が設けられている狭いソース及びドレイン領域1390、1390’、1395、1395’を有していてよい。よって、ソース及びドレイン領域は、重大な許容できないリークを招き得るミスフィット転位が含まれる2つのエピタキシャル層間の界面を横切らない。
図13Gでは、ソース領域及びドレイン領域1390、1390’、1395、1395’がシリコン材料を含み、これにより、ソース及びドレインコンタクトが強化される。ソース及びドレイン領域は、選択エピタキシャル法によって厚みを増すことができる。一態様では、PMOSのソース及びドレイン領域は、Si1−xGeを含んでいてよく、NMOSのソース及びドレイン領域は、Siを含んでいてよく、これにより、各デバイスの性能を向上させることができる。
上述した全ての構造及びデバイスにおいて、層のエピタキシャル成長の際に非均一なドーピングのプロファイルを形成することができる。このドーピングプロファイルでは、好ましくは、層の上部分のドーパントがより低い濃度を有するようになっていてよい。
本発明は、本発明の思想及び本質的な特徴から逸脱することがなければ、他の特定の形態でも実施することができる。したがって、上述の態様は、あらゆる点において例示的であり、開示の本発明を制限するものではないと理解されたい。よって、本発明の範囲は、上述の説明によってではなく、添付の特許請求の範囲によって示され、この特許請求の範囲と同等の意味及び範囲内にある全ての変更は、本発明に包含されていることを意図している。
代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な上面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な上面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な上面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な上面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 いくつかの半導体材料のバンドギャップと移動度との関係を示すグラフである。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。 代替半導体構造の形成を例示する概略的な断面図である。

Claims (64)

  1. 構造を形成する方法であって、
    結晶半導体材料を含む基板を設け、
    前記基板上にマスク層を形成し、
    前記マスク層において窓を画定し、
    前記窓を、選択エピタキシャル法によって活性エリア材料で充填し、
    前記活性エリア材料の少なくとも一部を含むデバイスを画定する
    ことを含む、方法。
  2. 前記活性エリア材料の表面を、前記マスク層の表面と実質的に同一平面となるように平坦化することをさらに含む、請求項1に記載の方法。
  3. 構造を形成する方法であって、
    結晶半導体材料を含む基板を設け、
    前記半導体材料に、第1のシャロートレンチアイソレーション領域を画定し、
    前記基板上に薄い誘電層を画定し、
    前記薄い誘電層において窓を画定し、前記第1のシャロートレンチアイソレーション領域に囲まれている前記半導体材料の一部を露出させ、
    前記半導体材料の露出した部分を除去して、開口部を画定し、
    前記開口部を、選択エピタキシャル法によって活性エリア材料で充填し、
    薄い誘電層を選択的に除去し、
    前記活性エリア材料の少なくとも一部を含むデバイスを画定する
    ことを含む、方法。
  4. 前記活性エリア材料の表面を、前記薄い誘電層の表面と実質的に同一平面となるように平坦化することをさらに含む、請求項3に記載の方法。
  5. 前記基板が、ウェハに接合する層を含み、該層が、結晶半導体材料を含む、請求項3に記載の方法。
  6. 前記結晶半導体材料が、第1の結晶配向を有し、前記活性エリア材料が、前記第1の結晶配向とは異なる第2の結晶配向を有する第2の結晶半導体材料を含む、請求項5に記載の方法。
  7. 前記半導体材料内に第2のシャロートレンチアイソレーション領域を画定することをさらに含む、請求項3に記載の方法。
  8. 前記第1のシャロートレンチアイソレーション領域の幅の、前記第2のシャロートレンチアイソレーション領域の幅に対する比が、1より大きい、請求項7に記載の方法。
  9. 前記幅の比が、1.2〜3の範囲から選択される、請求項8に記載の方法。
  10. 構造を形成する方法であって、
    結晶半導体材料を含む基板を設け、
    前記半導体材料に、第1のシャロートレンチアイソレーション領域を画定し、
    前記基板上に薄い誘電層を画定し、
    前記薄い誘電層において窓を画定して、第1のシャロートレンチアイソレーション領域の一部を露出させ、
    前記第1のシャロートレンチアイソレーション領域の露出した部分を除去して、開口部を画定し、
    前記開口部を、選択エピタキシャル法によって活性エリア材料で充填し、
    前記薄い誘電層を選択的に除去し、
    前記活性エリア材料の少なくとも一部を含むデバイスを画定する
    ことを含む、方法。
  11. 前記活性エリア材料の表面を、前記薄い誘電層の表面と実質的に同一平面となるように平坦化することをさらに含む、請求項10に記載の方法。
  12. 前記半導体材料において、第2のシャロートレンチアイソレーション領域を画定することをさらに含み、前記第1のシャロートレンチアイソレーション領域の残された部分の幅の、前記第2のシャロートレンチアイソレーション領域の幅に対する比が、1より大きい、請求項10に記載の方法。
  13. 前記比が、1.2〜3の範囲から選択される、請求項12に記載の方法。
  14. 第1の活性エリア材料を含み、且つ第1の幅を有する第1のシャロートレンチアイソレーション領域によって囲まれている第1の活性エリアと、
    第2の活性エリア材料を含み、且つ第2の幅を有する第2のシャロートレンチアイソレーション領域によって囲まれれている第2の活性エリアと
    を備えており、前記第1の幅の、前記第2の幅に対する比が1より大きい、構造。
  15. 前記第1の活性エリア材料が、Ge、SiGe、SiC、ダイヤモンド、III−V半導体及びII−VI半導体を含む群から選択される半導体であり、前記第2の活性エリア材料がSiを含む、請求項14に記載の構造。
  16. 前記第1の活性エリア材料が、第1の結晶配向を有し、第2の活性エリア材料が、第1の結晶配向とは異なる第2の結晶配向を有する、請求項14に記載の構造。
  17. 前記第1の幅の、前記第2の幅に対する比が、1.2〜3の範囲から選択される請求項14に記載の構造。
  18. 構造を形成する方法であって、
    結晶材料を含む基板を設け、
    前記基板上に第1のマスク層を形成し、
    前記第1のマスク層において第1の開口部を画定し、前記基板の第1の領域において前記基板の第1の部分を露出させ、
    前記第1の開口部を、選択エピタキシャル法によって第1の活性エリア材料で充填し、
    前記第1のマスク層において第2の開口部を画定し、前記基板の第2の領域において前記第2の部分を露出させ、
    前記第2の開口部を、選択エピタキシャル法によって第2の活性エリア材料で充填し、
    前記第1の活性エリア材料の少なくとも一部を含む第1のデバイスを画定し、
    前記第2の活性エリア材料の少なくとも一部を含む第2のデバイスを画定する
    ことを含む、方法。
  19. 前記第2の開口部を前記第2の活性エリア材料で充填する前に、前記基板の第1の領域上に第2のマスク層を形成し、
    前記第2の開口部を前記第2の活性エリア材料で充填した後に、前記第1の領域上の第2のマスク層を除去する
    ことをさらに含む、請求項18に記載の方法。
  20. 前記第2のマスク層を除去した後、前記第1の活性エリア材料の表面と、前記第2の活性エリア材料の表面とを平坦化することをさらに含む、請求項19に記載の方法。
  21. 第1の分離領域、
    第1の半導体材料を含み且つ前記第1の分離領域によって囲まれている第1の活性エリア、
    第2の分離領域、及び
    前記第1の半導体材料とは異なる第2の半導体材料を含み且つ前記第2の分離領域によって囲まれている第2の活性エリア、
    を含み、前記第1の半導体材料の表面、前記第2の半導体材料の表面、前記第1の分離領域の表面及び前記第2の分離領域の表面が、全て実質的に同一平面となっている、構造。
  22. 前記第1の半導体材料が、第1の結晶配向を有し、前記第2の半導体材料が、前記第1の結晶配向とは異なる第2の結晶配向を有する、請求項21に記載の構造。
  23. 前記第1の半導体材料が、Ge、InAs、InGaAs、InSb、GaAs及びInPからなる群から選択され、前記第2の半導体材料が、Si及びGeの少なくとも一方を含む、請求項21に記載の構造。
  24. 第1の活性エリア材料を含む第1のチャネルと、第1のソース領域及び第1のドレイン領域とを有するn−FETと、
    第2の活性エリア材料を含む第2のチャネルと、第2のソース領域及び第2のドレイン領域とを有するp−FETとを備えており、
    前記第1のソース領域及び第1のドレイン領域並びに前記第2のソース領域及び第2のドレイン領域が、同じソース/ドレイン材料を含む、構造。
  25. 前記第1のチャネルが、引張り歪みを有する、請求項24に記載の構造。
  26. 前記第2のチャネルが、圧縮歪みを有する、請求項24に記載の構造。
  27. 前記第1のソース及び第1のドレイン領域における前記ソース/ドレイン材料の少なくとも一部が、第1及び第2の切欠内に設けられており、前記第2のソース及び第2のドレイン領域における前記ソース/ドレイン材料の少なくとも一部が、第3及び第4の切欠内に設けられており、前記ソース/ドレイン材料の格子定数が、前記第1の活性エリア材料の格子定数より小さく、前記第2の活性エリア材料の格子定数より大きい、請求項24に記載の構造。
  28. 前記第1のソース及び第1のドレイン領域における前記ソース/ドレイン材料の少なくとも一部が、第1及び第2の切欠内に設けられており、前記第2のソース及び第2のドレイン領域におけるソース/ドレイン材料の少なくとも一部が、第3及び第4の切欠内にも受けられており、前記ソース/ドレイン材料の格子定数が、前記第1の活性エリア材料の格子定数より大きく、前記第2の活性エリア材料の格子定数より小さい、請求項24に記載の構造。
  29. 前記第1のソース及び第1のドレイン領域における前記ソース/ドレイン材料の少なくとも一部が、第1及び第2の切欠内に設けられており、前記第2のソース及び第2のドレイン領域におけるソース/ドレイン材料が、第2の活性エリア材料の上面に設けられており、前記ソース/ドレイン材料の格子定数が、前記第1の活性エリア材料の格子定数より小さく、前記第2の活性エリア材料の格子定数より小さい、請求項24に記載の構造。
  30. 前記ソース/ドレイン材料がIV族半導体を含む、請求項29に記載の構造。
  31. 前記第1のソース及び第1のドレイン領域における前記ソース/ドレイン材料が、第1の活性エリア材料の上面に設けられており、前記第2のソース及び第2のドレイン領域におけるソース/ドレイン材料の少なくとも一部が、第3及び第4の切欠内に設けられており、前記ソース/ドレイン材料の格子定数が、前記第1の活性エリア材料の格子定数より大きく、前記第2の活性エリア材料の格子定数より大きい、請求項24に記載の構造。
  32. デバイスを形成する方法であって、
    基板の第1の領域に第1の活性エリア材料を設け、
    前記基板の第2の領域に第2の活性エリア材料を設け、
    前記第1の活性エリア材料の第1の部分及び第2の分を除去して第1及び第2の切欠を画定し、該第1及び第2の切欠内にソース/ドレイン材料を堆積させることによって、第1のソース及び第1のドレインを画定し、
    前記第2の活性エリア材料の第1の部分及び第2の部分を除去して第3及び第4の切欠を画定し、該第3及び第4の切欠内にソース/ドレイン材料を堆積させることによって、第2のソース及び第2のドレインを画定し、
    前記第1の活性エリア材料の、前記第1のソースと前記第1のドレインとの間に設けられているチャネルを有する第1のデバイスを画定し、
    前記第2の活性エリア材料の、前記第2のソースと前記第2のドレインとの間に設けられているチャネルを有する第2のデバイスを画定する、方法。
  33. 前記第1、第2、第3及び第4の切欠を画定することが、前記第1及び第2の活性エリア材料をほぼ同じ速度で除去する非選択的エッチングを含む、請求項32に記載の方法。
  34. 前記第1の活性エリア材料において第1及び第2の切欠を画定することが、前記第2の活性エリア材料に対して高い選択性を有するエッチングを含む、請求項32に記載の方法。
  35. 前記第2の活性エリア材料において第3及び第4の切欠を画定することが、前記第1の活性エリア材料に対して高い選択性を有するエッチングを含む、請求項32に記載の方法。
  36. 構造を形成する方法であって、
    基板を設け、
    前記基板の第1の部分上に第1の活性エリア材料を設け、
    前記基板の第2の部分上に第2の活性エリア材料を設け、
    前記第1及び第2の活性エリア材料上に、薄層を堆積させ、
    前記薄層上に、ゲート誘電層を形成し、
    前記第1の活性エリア材料を含む第1のデバイスを形成し、
    前記第2の活性エリア材料を含む第2のデバイスを形成する
    ことを含む、方法。
  37. 前記第1のデバイスがn−FETを含み、前記第2のデバイスがp−FETを含む、請求項36に記載の方法。
  38. 第1の活性エリア材料を含む第1の活性エリア、
    前記第1の活性エリア材料とは異なる第2の活性エリア材料を含む第2の活性エリア、
    前記第1の活性エリア材料及び前記第2の活性エリア材料上に設けられた薄層、及び
    前記薄層上に設けられたゲート誘電層を含む、構造。
  39. 前記第1の活性エリア材料及び第2の活性エリア材料がそれぞれ、Ge、SiGe、SiC、ダイヤモンド、III−V半導体及びII−VI半導体から選択され、前記薄層がSiを含む、請求項38に記載の構造。
  40. 前記ゲート誘電層が、SiO、SiON、Si及び高誘電率誘電体からなる群から選択される、請求項38に記載の構造。
  41. 構造を形成する方法であって、
    基板を設け、
    前記基板の第1の部分上に第1の活性エリア材料を設け、
    前記基板の第2の部分上に第2の活性エリア材料を設け、
    前記第1の活性エリア材料上に第1のゲート誘電層を形成し、
    前記第2の活性エリア材料上に第2のゲート誘電層を形成し、
    前記第1及び第2の活性エリア材料上に第1の電極層を堆積させ、
    前記第2の活性エリア材料上に設けられた第1の電極層の一部を除去し、
    前記第1及び第2の活性エリア上に第2の電極層を堆積させ、
    前記基板上に設けられている層を平坦化して、前記第1の活性エリア材料上の第1の電極層の表面と、前記第2の活性エリア材料上の第2の電極層の表面とを含む同一表面を画定し、
    前記第1の活性エリア材料を含む第1のデバイスを形成し、
    前記第2の活性エリア材料を含む第2のデバイスを形成する
    ことを含む、方法。
  42. 前記第1のデバイスがn−FETを含む、請求項41に記載の方法。
  43. 前記第1の電極層が、インジウム、タンタル、ジルコニウム、タングステン、モリブデン、クロム、スズ、亜鉛、コバルト、ニッケル、レニウム、ルテニウム、白金、チタン、ハフニウム、シリコン及び窒素からなる群から選択される少なくとも1つの材料を含む、請求項42に記載の方法。
  44. 前記第2のデバイスがp−FETを含む、請求項41に記載の方法。
  45. 前記第2の電極層が、銅、モリブデン、クロム、タングステン、ルテニウム、タンタル、ジルコニウム、白金、ハフニウム、チタン、コバルト、ニッケル、シリコン及び窒素からなる群から選択される少なくとも1つの材料を含む、請求項44に記載の方法。
  46. 第1の活性エリア材料を含む第1の活性エリア、
    前記第1の活性エリア材料とは異なる第2の活性エリア材料を含む第2の活性エリア材料、
    前記第1の活性エリア材料上に設けられた第1のゲート電極材料、及び
    前記第2の活性エリア材料上に設けられた、第1のゲート電極材料とは異なる第2の電極材料を備えており、
    前記第1のゲート電極材料が、インジウム、タンタル、ジルコニウム、タングステン、モリブデン、クロム、スズ、亜鉛、コバルト、ニッケル、レニウム、ルテニウム、白金、チタン、ハフニウム、シリコン及び窒素からなる群から選択される少なくとも1つの材料を含み、前記第2のゲート電極材料が、銅、モリブデン、クロム、タングステン、ルテニウム、タンタル、ジルコニウム、白金、ハフニウム、チタン、コバルト、ニッケル、シリコン及び窒素からなる群から選択される少なくとも1つの材料を含む、構造。
  47. 構造を形成する方法であって、
    結晶半導体材料を含む基板を設け、
    前記基板上にマスク層を形成し、
    前記マスク層内に窓を画定し、
    前記窓を、選択エピタキシャル法によって第1の活性エリア材料で少なくとも部分的に充填し、
    前記第1の活性エリア材料上に、選択エピタキシャル法によって第2の活性エリア材料を形成し、
    前記第2の活性エリア材料の少なくとも一部を含むデバイスを画定する、方法。
  48. 構造を形成する方法であって、
    結晶材料を含む基板を設け、
    前記基板上に第1のマスク層を形成し、
    前記第1のマスク層において第1の開口部を画定し、前記基板の第1の領域で前記基板の第1の部分を露出させ、
    前記第1の開口部を、選択エピタキシャル法によって第1の活性エリア材料で充填し、
    前記第1のマスク層において第2の開口部を画定し、前記基板の第2の領域で前記基板の第2の部分を露出させ、
    前記第2の開口部を、選択エピタキシャル法によって第3の活性エリア材料で充填し、
    前記第2の活性エリア材料上に、選択エピタキシャル法によって第4の活性エリア材料を含む第2の層を形成し、
    前記第2の活性エリア材料の少なくとも一部を含む第1のデバイスを画定し、
    前記第4の活性エリア材料の少なくとも一部を含む第2のデバイスを画定する
    ことを含む、方法。
  49. 前記第1のデバイスが、第1の歪みを有する第1のチャネルを含み、前記第2のデバイスが、第2の歪みを有する第2のチャネルを含み、前記第1の歪みの大きさが、前記第2の歪みの大きさにほぼ等しく、前記第1の歪みの符号が、前記第2の歪みの符号の逆である、請求項48に記載の方法。
  50. 前記第1の歪みの大きさが、約1.5%より大きい、請求項49に記載の方法。
  51. 前記第1の活性エリア材料が、前記第4の活性エリア材料と実質的に同じである、請求項48に記載の方法。
  52. 前記第2の活性エリア材料が、前記第3の活性エリア材料と実質的に同じである、請求項51に記載の方法。
  53. 前記第2の活性エリア材料が、前記第3の活性エリア材料と実質的に同じである、請求項48に記載の方法。
  54. 半導体基板上に設けられたマスク層において画定されている窓を少なくとも部分的に充填している第1の活性エリア材料、
    前記第1の活性エリア材料上に設けられた第2の活性エリア材料、及び
    前記第2の活性エリア材料の少なくとも一部を含むデバイス
    を含む、構造。
  55. 結晶基板上に設けられた第1のマスク層内に画定されている第1の開口部に設けられた第1の活性エリア材料、
    前記第1の活性エリア材料上に設けられた第2の活性エリア材料を含む第1の層、
    前記第1のマスク層内に画定された第2の開口部内に設けられた第3の活性エリア材料、
    前記第3の活性エリア材料上に設けられた第4の活性エリア材料を含む第2の層、
    前記第2の活性エリア材料の少なくとも一部を含む第1のデバイス、及び
    前記第4の活性エリア材料の少なくとも一部を含む第2のデバイス
    を含む、構造。
  56. 前記第1及び第3の活性エリア材料が、少なくとも部分的に緩和されており、前記第2及び第4の活性エリア材料が、実質的に歪んでいる、請求項55に記載の構造。
  57. 前記第1及び第3の活性エリア材料が、ほぼ完全に緩和されている、請求項56に記載の構造。
  58. 前記第1のデバイスが、前記第1の活性エリア材料上に設けられた第1のソース領域及び第1のドレイン領域を含むトランジスタである、請求項55に記載の構造。
  59. 前記第1のソース領域及び前記第1のドレイン領域がそれぞれ、前記第1の層内に設けられている、請求項58に記載の構造。
  60. 前記第2のデバイスが、前記第3の活性エリア材料上に設けられた第2のソース領域及び前記第2のドレイン領域を含むトランジスタである、請求項58に記載の構造。
  61. 前記第2のソース領域及び第2のドレイン領域がそれぞれ、前記第2の層内に設けられている、請求項60に記載の構造。
  62. 前記第1のデバイスがNMOSトランジスタであり、前記第2のデバイスがPMOSトランジスタである、請求項60に記載の構造。
  63. 前記第2の活性エリア材料がIII−V半導体材料を含み、前記第4の活性エリア材料がIV半導体材料を含む、請求項55に記載の構造。
  64. 前記第2の活性エリア材料が、InP、InAs、InSb及びInGaAsの少なくとも1つを含み、前記第4の活性エリア材料が、Si及びGeの少なくとも一方を含む、請求項63に記載の構造。
JP2008524156A 2005-07-26 2006-07-26 代替活性エリア材料の集積回路への組み込みのための解決策 Active JP5481067B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US70236305P 2005-07-26 2005-07-26
US60/702,363 2005-07-26
PCT/US2006/029247 WO2007014294A2 (en) 2005-07-26 2006-07-26 Solutions integrated circuit integration of alternative active area materials

Publications (3)

Publication Number Publication Date
JP2009503871A true JP2009503871A (ja) 2009-01-29
JP2009503871A5 JP2009503871A5 (ja) 2009-09-10
JP5481067B2 JP5481067B2 (ja) 2014-04-23

Family

ID=37573678

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008524156A Active JP5481067B2 (ja) 2005-07-26 2006-07-26 代替活性エリア材料の集積回路への組み込みのための解決策

Country Status (6)

Country Link
US (1) US7626246B2 (ja)
EP (1) EP1911086A2 (ja)
JP (1) JP5481067B2 (ja)
KR (1) KR101329388B1 (ja)
CN (1) CN101268547B (ja)
WO (1) WO2007014294A2 (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009027163A (ja) * 2007-07-11 2009-02-05 Commiss Energ Atom マイクロエレクトロニクス及びオプトエレクトロニクス向けsoi基板の製造方法
JP2010093247A (ja) * 2008-09-16 2010-04-22 Imec 非シリコンチャネルmosデバイス中のフェルミレベルピンニングの低減方法
JP2011066410A (ja) * 2009-09-18 2011-03-31 Taiwan Semiconductor Manufacturing Co Ltd ハニカムヘテロエピタキシーを含む半導体装置
JP2011082526A (ja) * 2009-10-08 2011-04-21 Taiwan Semiconductor Manufacturing Co Ltd 配向ナノスケールパターンを用いて、シリコン上にiii−v族層を成長させる方法
JP2011114336A (ja) * 2009-11-27 2011-06-09 Jiaotong Univ Iii−v族チャネルとiv族ソース−ドレインとを有する半導体デバイス、及びその製造方法
WO2011074195A1 (ja) * 2009-12-15 2011-06-23 住友化学株式会社 半導体基板、半導体デバイスおよび半導体基板の製造方法
JP2012516555A (ja) * 2009-01-30 2012-07-19 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド スレッショルド調節半導体合金を堆積させるのに先立ちパターニング不均一性を低減することによる前記半導体合金の厚みばらつきの低減
WO2012169214A1 (ja) * 2011-06-10 2012-12-13 住友化学株式会社 半導体デバイス、半導体基板、半導体基板の製造方法および半導体デバイスの製造方法
WO2012169213A1 (ja) * 2011-06-10 2012-12-13 住友化学株式会社 半導体デバイス、半導体基板、半導体基板の製造方法および半導体デバイスの製造方法
JP2012253381A (ja) * 2012-08-22 2012-12-20 Renesas Electronics Corp 半導体装置
JP2013157631A (ja) * 2009-09-24 2013-08-15 Taiwan Semiconductor Manufacturing Co Ltd センサ、方法、および半導体センサ
JP2015097265A (ja) * 2013-10-29 2015-05-21 アイメック・ヴェーゼットウェーImec Vzw Iii−v族材料の選択エリア成長用のエピ基板およびiii−v族材料をシリコン基板上に製造する方法
JP2017532757A (ja) * 2014-09-19 2017-11-02 インテル・コーポレーション マイクロエレクトロニクストランジスタにおいてリークを低減するために、ドープされたサブ構造体を作成するための装置及び方法

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20070054467A1 (en) * 2005-09-07 2007-03-08 Amberwave Systems Corporation Methods for integrating lattice-mismatched semiconductor structure on insulators
DE102005047081B4 (de) * 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US20070252216A1 (en) * 2006-04-28 2007-11-01 Infineon Technologies Ag Semiconductor device and a method of manufacturing such a semiconductor device
US7636610B2 (en) * 2006-07-19 2009-12-22 Envisiontec Gmbh Method and device for producing a three-dimensional object, and computer and data carrier useful therefor
JP2008060408A (ja) * 2006-08-31 2008-03-13 Toshiba Corp 半導体装置
US8173551B2 (en) 2006-09-07 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Defect reduction using aspect ratio trapping
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US20080187018A1 (en) 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
US20080108190A1 (en) * 2006-11-06 2008-05-08 General Electric Company SiC MOSFETs and self-aligned fabrication methods thereof
US8377812B2 (en) * 2006-11-06 2013-02-19 General Electric Company SiC MOSFETs and self-aligned fabrication methods thereof
KR100850859B1 (ko) * 2006-12-21 2008-08-06 동부일렉트로닉스 주식회사 이미지 센서 및 그 제조 방법
US7466008B2 (en) * 2007-03-13 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. BiCMOS performance enhancement by mechanical uniaxial strain and methods of manufacture
US7598142B2 (en) * 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
JP2008227432A (ja) * 2007-03-16 2008-09-25 Furukawa Electric Co Ltd:The 窒化物化合物半導体素子およびその製造方法
US20080242062A1 (en) * 2007-03-31 2008-10-02 Lucent Technologies Inc. Fabrication of diverse structures on a common substrate through the use of non-selective area growth techniques
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
WO2008124154A2 (en) 2007-04-09 2008-10-16 Amberwave Systems Corporation Photovoltaics on silicon
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US7906381B2 (en) 2007-07-05 2011-03-15 Stmicroelectronics S.A. Method for integrating silicon-on-nothing devices with standard CMOS devices
US20110084308A1 (en) * 2007-08-08 2011-04-14 Ter-Hoe Loh Semiconductor arrangement and a method for manufacturing the same
US8053810B2 (en) * 2007-09-07 2011-11-08 International Business Machines Corporation Structures having lattice-mismatched single-crystalline semiconductor layers on the same lithographic level and methods of manufacturing the same
WO2009035746A2 (en) 2007-09-07 2009-03-19 Amberwave Systems Corporation Multi-junction solar cells
US8043947B2 (en) * 2007-11-16 2011-10-25 Texas Instruments Incorporated Method to eliminate re-crystallization border defects generated during solid phase epitaxy of a DSB substrate
WO2009115859A1 (en) * 2008-03-19 2009-09-24 S.O.I. Tec Silicon On Insulator Technologies Substrates for monolithic optical circuits and electronic circuits
US7892932B2 (en) 2008-03-25 2011-02-22 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
US20090261346A1 (en) * 2008-04-16 2009-10-22 Ding-Yuan Chen Integrating CMOS and Optical Devices on a Same Chip
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
CN102160145B (zh) 2008-09-19 2013-08-21 台湾积体电路制造股份有限公司 通过外延层过成长的元件形成
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US8401774B2 (en) * 2009-01-23 2013-03-19 The Boeing Company System and method for detecting and preventing runway incursion, excursion and confusion
US8053304B2 (en) * 2009-02-24 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming high-mobility devices including epitaxially growing a semiconductor layer on a dislocation-blocking layer in a recess formed in a semiconductor substrate
JP5705207B2 (ja) 2009-04-02 2015-04-22 台湾積體電路製造股▲ふん▼有限公司Taiwan Semiconductor Manufacturing Company,Ltd. 結晶物質の非極性面から形成される装置とその製作方法
US8367485B2 (en) * 2009-09-01 2013-02-05 International Business Machines Corporation Embedded silicon germanium n-type filed effect transistor for reduced floating body effect
US8174074B2 (en) * 2009-09-01 2012-05-08 International Business Machines Corporation Asymmetric embedded silicon germanium field effect transistor
US20110062492A1 (en) * 2009-09-15 2011-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. High-Quality Hetero-Epitaxy by Using Nano-Scale Epitaxy Technology
EP2317554B1 (en) * 2009-10-30 2014-04-09 Imec Integrated semiconductor substrate structure and method of manufacturing an integrated semiconductor substrate structure
DE102009051520B4 (de) * 2009-10-31 2016-11-03 X-Fab Semiconductor Foundries Ag Verfahren zur Herstellung von Siliziumhalbleiterscheiben mit Schichtstrukturen zur Integration von III-V Halbleiterbauelementen
US8541252B2 (en) * 2009-12-17 2013-09-24 Lehigh University Abbreviated epitaxial growth mode (AGM) method for reducing cost and improving quality of LEDs and lasers
US8592325B2 (en) * 2010-01-11 2013-11-26 International Business Machines Corporation Insulating layers on different semiconductor materials
US8242510B2 (en) * 2010-01-28 2012-08-14 Intersil Americas Inc. Monolithic integration of gallium nitride and silicon devices and circuits, structure and method
US20110303981A1 (en) * 2010-06-09 2011-12-15 International Business Machines Corporation Scheme to Enable Robust Integration of Band Edge Devices and Alternatives Channels
US8535544B2 (en) 2010-07-26 2013-09-17 International Business Machines Corporation Structure and method to form nanopore
US9184050B2 (en) * 2010-07-30 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Inverted trapezoidal recess for epitaxial growth
US8138068B2 (en) * 2010-08-11 2012-03-20 International Business Machines Corporation Method to form nanopore array
US8389348B2 (en) * 2010-09-14 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming SiC crystalline on Si substrates to allow integration of GaN and Si electronics
JP2012089612A (ja) * 2010-10-18 2012-05-10 Sumitomo Electric Ind Ltd 炭化珪素基板を有する複合基板
US20120168823A1 (en) * 2010-12-31 2012-07-05 Zhijiong Luo Semiconductor device and method for manufacturing the same
JP5922219B2 (ja) * 2011-03-31 2016-05-24 アイメックImec 単結晶スズ含有半導体材料を成長させる方法
US8912055B2 (en) * 2011-05-03 2014-12-16 Imec Method for manufacturing a hybrid MOSFET device and hybrid MOSFET obtainable thereby
US8455883B2 (en) * 2011-05-19 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Stressed semiconductor device and method of manufacturing
CN102810501B (zh) * 2011-05-31 2017-05-24 中国科学院微电子研究所 阱区的形成方法和半导体基底
CN102842614B (zh) * 2011-06-20 2015-11-25 中国科学院微电子研究所 半导体器件及其制造方法
CN102891178A (zh) * 2011-07-19 2013-01-23 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US8853035B2 (en) 2011-10-05 2014-10-07 International Business Machines Corporation Tucked active region without dummy poly for performance boost and variation reduction
US8815712B2 (en) * 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US8680576B2 (en) * 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
EP2717316B1 (en) * 2012-10-05 2019-08-14 IMEC vzw Method for producing strained germanium fin structures
US8878302B2 (en) * 2012-12-05 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having SiGe substrate, interfacial layer and high K dielectric layer
KR102083495B1 (ko) 2013-01-07 2020-03-02 삼성전자 주식회사 Cmos 소자와 이를 포함하는 광학장치와 그 제조방법
US9978650B2 (en) 2013-03-13 2018-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor channel
CN103311305B (zh) * 2013-06-13 2016-01-20 中国科学院半导体研究所 硅基横向纳米线多面栅晶体管及其制备方法
US9165929B2 (en) * 2013-11-25 2015-10-20 Qualcomm Incorporated Complementarily strained FinFET structure
US9177967B2 (en) * 2013-12-24 2015-11-03 Intel Corporation Heterogeneous semiconductor material integration techniques
EP2947693B1 (en) 2014-05-22 2022-07-13 IMEC vzw Method of Producing a III-V Fin Structure
US9228994B1 (en) 2014-08-06 2016-01-05 Globalfoundries Inc. Nanochannel electrode devices
KR101657872B1 (ko) * 2014-12-23 2016-09-19 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 개선된 트랜지스터 채널을 포함하는 반도체 디바이스 및 그 제조방법
US9418841B2 (en) * 2014-12-30 2016-08-16 International Business Machines Corporation Type III-V and type IV semiconductor device formation
WO2016200402A1 (en) * 2015-06-12 2016-12-15 Intel Corporation Techniques for forming transistors on the same die with varied channel materials
US11025029B2 (en) 2015-07-09 2021-06-01 International Business Machines Corporation Monolithic III-V nanolaser on silicon with blanket growth
US9613871B2 (en) 2015-07-16 2017-04-04 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof
US10593600B2 (en) 2016-02-24 2020-03-17 International Business Machines Corporation Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
US10062693B2 (en) * 2016-02-24 2018-08-28 International Business Machines Corporation Patterned gate dielectrics for III-V-based CMOS circuits
FR3048815B1 (fr) * 2016-03-14 2019-05-10 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de co-realisation de zones sous contraintes uniaxiales differentes
US10529738B2 (en) * 2016-04-28 2020-01-07 Globalfoundries Singapore Pte. Ltd. Integrated circuits with selectively strained device regions and methods for fabricating same
US10553492B2 (en) * 2018-04-30 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Selective NFET/PFET recess of source/drain regions
KR20200072985A (ko) * 2018-12-13 2020-06-23 삼성전자주식회사 복수 개의 트랜지스터를 포함하는 집적 회로 및 이를 제조하는 방법
FR3091622B1 (fr) * 2019-01-09 2021-09-17 Soitec Silicon On Insulator Structure semi-conductrice optoélectronique comprenant une couche d’injection de type p à base d’InGaN

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0262090A (ja) * 1988-08-29 1990-03-01 Matsushita Electric Ind Co Ltd 光半導体装置の製造方法
JPH07115137A (ja) * 1993-10-20 1995-05-02 Hitachi Ltd 半導体装置の製造方法
JPH09148426A (ja) * 1995-11-24 1997-06-06 Sony Corp 半導体装置の製造方法
JP2001160594A (ja) * 1999-09-20 2001-06-12 Toshiba Corp 半導体装置
JP2004095933A (ja) * 2002-09-02 2004-03-25 Oki Electric Ind Co Ltd Mosfetデバイス及びその製造方法

Family Cites Families (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0193830A3 (en) * 1980-04-10 1986-10-01 Massachusetts Institute Of Technology Solar cell device incorporating plural constituent solar cells
US4545109A (en) 1983-01-21 1985-10-08 Rca Corporation Method of making a gallium arsenide field effect transistor
US4651179A (en) * 1983-01-21 1987-03-17 Rca Corporation Low resistance gallium arsenide field effect transistor
US5091333A (en) * 1983-09-12 1992-02-25 Massachusetts Institute Of Technology Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth
US4860081A (en) 1984-06-28 1989-08-22 Gte Laboratories Incorporated Semiconductor integrated circuit structure with insulative partitions
US4551394A (en) 1984-11-26 1985-11-05 Honeywell Inc. Integrated three-dimensional localized epitaxial growth of Si with localized overgrowth of GaAs
US4774205A (en) 1986-06-13 1988-09-27 Massachusetts Institute Of Technology Monolithic integration of silicon and gallium arsenide devices
JPS6381855A (ja) 1986-09-25 1988-04-12 Mitsubishi Electric Corp ヘテロ接合バイポ−ラトランジスタの製造方法
US5269876A (en) 1987-01-26 1993-12-14 Canon Kabushiki Kaisha Process for producing crystal article
US5236546A (en) 1987-01-26 1993-08-17 Canon Kabushiki Kaisha Process for producing crystal article
US5281283A (en) * 1987-03-26 1994-01-25 Canon Kabushiki Kaisha Group III-V compound crystal article using selective epitaxial growth
US5166767A (en) 1987-04-14 1992-11-24 National Semiconductor Corporation Sidewall contact bipolar transistor with controlled lateral spread of selectively grown epitaxial layer
US4826784A (en) * 1987-11-13 1989-05-02 Kopin Corporation Selective OMCVD growth of compound semiconductor materials on silicon substrates
US5032893A (en) 1988-04-01 1991-07-16 Cornell Research Foundation, Inc. Method for reducing or eliminating interface defects in mismatched semiconductor eiplayers
US5156995A (en) 1988-04-01 1992-10-20 Cornell Research Foundation, Inc. Method for reducing or eliminating interface defects in mismatched semiconductor epilayers
US5238869A (en) 1988-07-25 1993-08-24 Texas Instruments Incorporated Method of forming an epitaxial layer on a heterointerface
US5061644A (en) 1988-12-22 1991-10-29 Honeywell Inc. Method for fabricating self-aligned semiconductor devices
US5034337A (en) 1989-02-10 1991-07-23 Texas Instruments Incorporated Method of making an integrated circuit that combines multi-epitaxial power transistors with logic/analog devices
US4948456A (en) 1989-06-09 1990-08-14 Delco Electronics Corporation Confined lateral selective epitaxial growth
US5256594A (en) 1989-06-16 1993-10-26 Intel Corporation Masking technique for depositing gallium arsenide on silicon
US5098850A (en) * 1989-06-16 1992-03-24 Canon Kabushiki Kaisha Process for producing substrate for selective crystal growth, selective crystal growth process and process for producing solar battery by use of them
US5093699A (en) * 1990-03-12 1992-03-03 Texas A & M University System Gate adjusted resonant tunnel diode device and method of manufacture
US5158907A (en) * 1990-08-02 1992-10-27 At&T Bell Laboratories Method for making semiconductor devices with low dislocation defects
US5105247A (en) * 1990-08-03 1992-04-14 Cavanaugh Marion E Quantum field effect device with source extension region formed under a gate and between the source and drain regions
US5403751A (en) * 1990-11-29 1995-04-04 Canon Kabushiki Kaisha Process for producing a thin silicon solar cell
US5091767A (en) * 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
JPH04299569A (ja) * 1991-03-27 1992-10-22 Nec Corp Soisの製造方法及びトランジスタとその製造方法
JP3058954B2 (ja) * 1991-09-24 2000-07-04 ローム株式会社 絶縁層の上に成長層を有する半導体装置の製造方法
JP2773487B2 (ja) 1991-10-15 1998-07-09 日本電気株式会社 トンネルトランジスタ
JPH05121317A (ja) * 1991-10-24 1993-05-18 Rohm Co Ltd Soi構造形成方法
EP0600276B1 (de) 1992-12-04 1998-08-05 Siemens Aktiengesellschaft Verfahren zur Herstellung eines seitlich begrenzten, einkristallinen Gebietes mittels selektiver Epitaxie und dessen Anwendung zur Herstellung eines Bipolartransistors sowie eines MOS-transistors
JP3319472B2 (ja) 1992-12-07 2002-09-03 富士通株式会社 半導体装置とその製造方法
US5295150A (en) * 1992-12-11 1994-03-15 Eastman Kodak Company Distributed feedback-channeled substrate planar semiconductor laser
EP0627799B1 (en) * 1993-06-04 1997-10-08 Sharp Kabushiki Kaisha Semiconductor light-emitting device with third cladding layer
JP3748905B2 (ja) * 1993-08-27 2006-02-22 三洋電機株式会社 量子効果デバイス
US5792679A (en) 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
JPH0851109A (ja) 1994-04-11 1996-02-20 Texas Instr Inc <Ti> 酸化物でパターン化されたウェーハの窓内にエピタキシャルシリコンを成長させる方法
US6011271A (en) * 1994-04-28 2000-01-04 Fujitsu Limited Semiconductor device and method of fabricating the same
US5710436A (en) * 1994-09-27 1998-01-20 Kabushiki Kaisha Toshiba Quantum effect device
JPH08306700A (ja) * 1995-04-27 1996-11-22 Nec Corp 半導体装置及びその製造方法
TW304310B (ja) 1995-05-31 1997-05-01 Siemens Ag
US5621227A (en) * 1995-07-18 1997-04-15 Discovery Semiconductors, Inc. Method and apparatus for monolithic optoelectronic integrated circuit using selective epitaxy
KR100473901B1 (ko) 1995-12-15 2005-08-29 코닌클리케 필립스 일렉트로닉스 엔.브이. SiGe층을포함하는반도체전계효과디바이스
JP3719618B2 (ja) 1996-06-17 2005-11-24 松下電器産業株式会社 半導体装置及びその製造方法
JP3260660B2 (ja) * 1996-08-22 2002-02-25 株式会社東芝 半導体装置およびその製造方法
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US5825049A (en) 1996-10-09 1998-10-20 Sandia Corporation Resonant tunneling device with two-dimensional quantum well emitter and base layers
SG65697A1 (en) 1996-11-15 1999-06-22 Canon Kk Process for producing semiconductor article
US6348096B1 (en) * 1997-03-13 2002-02-19 Nec Corporation Method for manufacturing group III-V compound semiconductors
JP3853905B2 (ja) 1997-03-18 2006-12-06 株式会社東芝 量子効果装置とblトンネル素子を用いた装置
US6015979A (en) * 1997-08-29 2000-01-18 Kabushiki Kaisha Toshiba Nitride-based semiconductor element and method for manufacturing the same
CN1175473C (zh) * 1997-10-30 2004-11-10 住友电气工业株式会社 GaN单晶衬底及其制造方法
JP3180743B2 (ja) 1997-11-17 2001-06-25 日本電気株式会社 窒化化合物半導体発光素子およびその製法
US6265289B1 (en) * 1998-06-10 2001-07-24 North Carolina State University Methods of fabricating gallium nitride semiconductor layers by lateral growth from sidewalls into trenches, and gallium nitride semiconductor structures fabricated thereby
US6252261B1 (en) * 1998-09-30 2001-06-26 Nec Corporation GaN crystal film, a group III element nitride semiconductor wafer and a manufacturing process therefor
JP3702700B2 (ja) * 1999-03-31 2005-10-05 豊田合成株式会社 Iii族窒化物系化合物半導体素子及びその製造方法
US6803598B1 (en) 1999-05-07 2004-10-12 University Of Delaware Si-based resonant interband tunneling diodes and method of making interband tunneling diodes
TW461096B (en) * 1999-05-13 2001-10-21 Hitachi Ltd Semiconductor memory
US6214653B1 (en) 1999-06-04 2001-04-10 International Business Machines Corporation Method for fabricating complementary metal oxide semiconductor (CMOS) devices on a mixed bulk and silicon-on-insulator (SOI) substrate
US6352942B1 (en) 1999-06-25 2002-03-05 Massachusetts Institute Of Technology Oxidation of silicon on germanium
US6228691B1 (en) * 1999-06-30 2001-05-08 Intel Corp. Silicon-on-insulator devices and method for producing the same
GB9919479D0 (en) * 1999-08-17 1999-10-20 Imperial College Island arrays
US6984571B1 (en) * 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6812053B1 (en) 1999-10-14 2004-11-02 Cree, Inc. Single step pendeo- and lateral epitaxial overgrowth of Group III-nitride epitaxial layers with Group III-nitride buffer layer and resulting structures
DE60036594T2 (de) 1999-11-15 2008-01-31 Matsushita Electric Industrial Co., Ltd., Kadoma Feldeffekt-Halbleiterbauelement
US6521514B1 (en) * 1999-11-17 2003-02-18 North Carolina State University Pendeoepitaxial methods of fabricating gallium nitride semiconductor layers on sapphire substrates
JP2001176805A (ja) * 1999-12-16 2001-06-29 Sony Corp 窒化物系iii−v族化合物の結晶製造方法、窒化物系iii−v族化合物結晶基板、窒化物系iii−v族化合物結晶膜およびデバイスの製造方法
DE10005023C2 (de) * 2000-02-04 2002-11-21 Feintool Internat Holding Ag L Feinschneidpresse
US6902987B1 (en) * 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6362071B1 (en) * 2000-04-05 2002-03-26 Motorola, Inc. Method for forming a semiconductor device with an opening in a dielectric layer
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
US6841808B2 (en) * 2000-06-23 2005-01-11 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device and method for producing the same
US20020030246A1 (en) * 2000-06-28 2002-03-14 Motorola, Inc. Structure and method for fabricating semiconductor structures and devices not lattice matched to the substrate
US6579463B1 (en) 2000-08-18 2003-06-17 The Regents Of The University Of Colorado Tunable nanomasks for pattern transfer and nanocluster array formation
US7301199B2 (en) * 2000-08-22 2007-11-27 President And Fellows Of Harvard College Nanoscale wires and related devices
US6407425B1 (en) 2000-09-21 2002-06-18 Texas Instruments Incorporated Programmable neuron MOSFET on SOI
US6380590B1 (en) * 2001-02-22 2002-04-30 Advanced Micro Devices, Inc. SOI chip having multiple threshold voltage MOSFETs by using multiple channel materials and method of fabricating same
JP3679720B2 (ja) * 2001-02-27 2005-08-03 三洋電機株式会社 窒化物系半導体素子および窒化物系半導体の形成方法
JP2002270516A (ja) 2001-03-07 2002-09-20 Nec Corp Iii族窒化物半導体の成長方法、iii族窒化物半導体膜およびそれを用いた半導体素子
JP3705142B2 (ja) 2001-03-27 2005-10-12 ソニー株式会社 窒化物半導体素子及びその作製方法
JP3956637B2 (ja) * 2001-04-12 2007-08-08 ソニー株式会社 窒化物半導体の結晶成長方法及び半導体素子の形成方法
GB0111207D0 (en) 2001-05-08 2001-06-27 Btg Int Ltd A method to produce germanium layers
US6784074B2 (en) 2001-05-09 2004-08-31 Nsc-Nanosemiconductor Gmbh Defect-free semiconductor templates for epitaxial growth and method of making same
JP3819730B2 (ja) * 2001-05-11 2006-09-13 三洋電機株式会社 窒化物系半導体素子および窒化物半導体の形成方法
JP3785970B2 (ja) * 2001-09-03 2006-06-14 日本電気株式会社 Iii族窒化物半導体素子の製造方法
US20030064535A1 (en) * 2001-09-28 2003-04-03 Kub Francis J. Method of manufacturing a semiconductor device having a thin GaN material directly bonded to an optimized substrate
US6710368B2 (en) * 2001-10-01 2004-03-23 Ken Scott Fisher Quantum tunneling transistor
JP2003142728A (ja) * 2001-11-02 2003-05-16 Sharp Corp 半導体発光素子の製造方法
US6835246B2 (en) 2001-11-16 2004-12-28 Saleem H. Zaidi Nanostructures for hetero-expitaxial growth on silicon substrates
US6576532B1 (en) 2001-11-30 2003-06-10 Motorola Inc. Semiconductor device and method therefor
JP4207781B2 (ja) 2002-01-28 2009-01-14 日亜化学工業株式会社 支持基板を有する窒化物半導体素子及びその製造方法
US6492216B1 (en) 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
EP2154270A3 (en) * 2002-04-15 2013-07-24 The Regents of the University of California Non-polar a-plane gallium nitride thin films grown by metalorganic chemical vapor deposition
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6887773B2 (en) 2002-06-19 2005-05-03 Luxtera, Inc. Methods of incorporating germanium within CMOS process
JP2005530360A (ja) 2002-06-19 2005-10-06 マサチューセッツ・インスティチュート・オブ・テクノロジー Ge光検出器
US7012298B1 (en) 2002-06-21 2006-03-14 Advanced Micro Devices, Inc. Non-volatile memory device
US6617643B1 (en) 2002-06-28 2003-09-09 Mcnc Low power tunneling metal-oxide-semiconductor (MOS) device
US6982204B2 (en) * 2002-07-16 2006-01-03 Cree, Inc. Nitride-based transistors and methods of fabrication thereof using non-etched contact recesses
US20040012037A1 (en) * 2002-07-18 2004-01-22 Motorola, Inc. Hetero-integration of semiconductor materials on silicon
US7375385B2 (en) * 2002-08-23 2008-05-20 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups
US7015497B1 (en) * 2002-08-27 2006-03-21 The Ohio State University Self-aligned and self-limited quantum dot nanoswitches and methods for making same
US6815241B2 (en) 2002-09-25 2004-11-09 Cao Group, Inc. GaN structures having low dislocation density and methods of manufacture
US6855990B2 (en) 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6920159B2 (en) 2002-11-29 2005-07-19 Optitune Plc Tunable optical source
US7589380B2 (en) * 2002-12-18 2009-09-15 Noble Peak Vision Corp. Method for forming integrated circuit utilizing dual semiconductors
US7012314B2 (en) * 2002-12-18 2006-03-14 Agere Systems Inc. Semiconductor devices with reduced active region defects and unique contacting schemes
US6686245B1 (en) * 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
KR100728173B1 (ko) 2003-03-07 2007-06-13 앰버웨이브 시스템즈 코포레이션 쉘로우 트렌치 분리법
WO2004086460A2 (en) * 2003-03-21 2004-10-07 North Carolina State University Method and systems for single- or multi-period edge definition lithography
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
JP2004336040A (ja) * 2003-04-30 2004-11-25 Osram Opto Semiconductors Gmbh 複数の半導体チップの製造方法および電子半導体基体
JP2005051022A (ja) * 2003-07-28 2005-02-24 Seiko Epson Corp 半導体装置およびその製造方法
CN100536167C (zh) * 2003-08-05 2009-09-02 富士通微电子株式会社 半导体装置及其制造方法
US7101742B2 (en) * 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US7579263B2 (en) * 2003-09-09 2009-08-25 Stc.Unm Threading-dislocation-free nanoheteroepitaxy of Ge on Si using self-directed touch-down of Ge through a thin SiO2 layer
US7211864B2 (en) * 2003-09-15 2007-05-01 Seliskar John J Fully-depleted castellated gate MOSFET device and method of manufacture thereof
US6919258B2 (en) * 2003-10-02 2005-07-19 Freescale Semiconductor, Inc. Semiconductor device incorporating a defect controlled strained channel structure and method of making the same
US6902965B2 (en) 2003-10-31 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Strained silicon structure
US7057216B2 (en) * 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US20050104156A1 (en) * 2003-11-13 2005-05-19 Texas Instruments Incorporated Forming a semiconductor structure in manufacturing a semiconductor device using one or more epitaxial growth processes
DE102004005506B4 (de) * 2004-01-30 2009-11-19 Atmel Automotive Gmbh Verfahren zur Erzeugung von aktiven Halbleiterschichten verschiedener Dicke in einem SOI-Wafer
US6995456B2 (en) * 2004-03-12 2006-02-07 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
US7160753B2 (en) * 2004-03-16 2007-01-09 Voxtel, Inc. Silicon-on-insulator active pixel sensors
US6998684B2 (en) * 2004-03-31 2006-02-14 International Business Machines Corporation High mobility plane CMOS SOI
US6991998B2 (en) * 2004-07-02 2006-01-31 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US20060105533A1 (en) * 2004-11-16 2006-05-18 Chong Yung F Method for engineering hybrid orientation/material semiconductor substrate
US7344942B2 (en) * 2005-01-26 2008-03-18 Micron Technology, Inc. Isolation regions for semiconductor devices and their formation
US7224033B2 (en) * 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
US9153645B2 (en) * 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20070054467A1 (en) * 2005-09-07 2007-03-08 Amberwave Systems Corporation Methods for integrating lattice-mismatched semiconductor structure on insulators
US7638842B2 (en) * 2005-09-07 2009-12-29 Amberwave Systems Corporation Lattice-mismatched semiconductor structures on insulators
US8012592B2 (en) * 2005-11-01 2011-09-06 Massachuesetts Institute Of Technology Monolithically integrated semiconductor materials and devices
US7777250B2 (en) * 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US8173551B2 (en) * 2006-09-07 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Defect reduction using aspect ratio trapping
US20080070355A1 (en) * 2006-09-18 2008-03-20 Amberwave Systems Corporation Aspect ratio trapping for mixed signal applications
WO2008039495A1 (en) * 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008039534A2 (en) * 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US20080187018A1 (en) * 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
WO2009035746A2 (en) * 2007-09-07 2009-03-19 Amberwave Systems Corporation Multi-junction solar cells

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0262090A (ja) * 1988-08-29 1990-03-01 Matsushita Electric Ind Co Ltd 光半導体装置の製造方法
JPH07115137A (ja) * 1993-10-20 1995-05-02 Hitachi Ltd 半導体装置の製造方法
JPH09148426A (ja) * 1995-11-24 1997-06-06 Sony Corp 半導体装置の製造方法
JP2001160594A (ja) * 1999-09-20 2001-06-12 Toshiba Corp 半導体装置
JP2004095933A (ja) * 2002-09-02 2004-03-25 Oki Electric Ind Co Ltd Mosfetデバイス及びその製造方法

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009027163A (ja) * 2007-07-11 2009-02-05 Commiss Energ Atom マイクロエレクトロニクス及びオプトエレクトロニクス向けsoi基板の製造方法
JP2010093247A (ja) * 2008-09-16 2010-04-22 Imec 非シリコンチャネルmosデバイス中のフェルミレベルピンニングの低減方法
JP2012516555A (ja) * 2009-01-30 2012-07-19 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド スレッショルド調節半導体合金を堆積させるのに先立ちパターニング不均一性を低減することによる前記半導体合金の厚みばらつきの低減
KR101587200B1 (ko) * 2009-01-30 2016-02-02 어드밴스드 마이크로 디바이시즈, 인코포레이티드 반도체 합금을 증착하기 이전에 패터닝 비-균일성들을 감소시킴으로써 임계치 조정용 반도체 합금의 두께 변화들의 감소
JP2011066410A (ja) * 2009-09-18 2011-03-31 Taiwan Semiconductor Manufacturing Co Ltd ハニカムヘテロエピタキシーを含む半導体装置
JP2014225681A (ja) * 2009-09-18 2014-12-04 台湾積體電路製造股▲ふん▼有限公司Taiwan Semiconductor Manufacturing Company,Ltd. ハニカムヘテロエピタキシーを含む半導体装置
JP2013157631A (ja) * 2009-09-24 2013-08-15 Taiwan Semiconductor Manufacturing Co Ltd センサ、方法、および半導体センサ
JP2016154226A (ja) * 2009-09-24 2016-08-25 台湾積體電路製造股▲ふん▼有限公司Taiwan Semiconductor Manufacturing Company,Ltd. センサ、方法、および半導体センサ
JP2011082526A (ja) * 2009-10-08 2011-04-21 Taiwan Semiconductor Manufacturing Co Ltd 配向ナノスケールパターンを用いて、シリコン上にiii−v族層を成長させる方法
US10020189B2 (en) 2009-10-08 2018-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Growing a III-V layer on silicon using aligned nano-scale patterns
US9601328B2 (en) 2009-10-08 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Growing a III-V layer on silicon using aligned nano-scale patterns
JP2011114336A (ja) * 2009-11-27 2011-06-09 Jiaotong Univ Iii−v族チャネルとiv族ソース−ドレインとを有する半導体デバイス、及びその製造方法
WO2011074195A1 (ja) * 2009-12-15 2011-06-23 住友化学株式会社 半導体基板、半導体デバイスおよび半導体基板の製造方法
US8507952B2 (en) 2009-12-15 2013-08-13 Sumitomo Chemical Company, Limited Semiconductor wafer, semiconductor device, and method for producing semiconductor wafer
JP2011146691A (ja) * 2009-12-15 2011-07-28 Sumitomo Chemical Co Ltd 半導体基板、半導体デバイスおよび半導体基板の製造方法
WO2012169213A1 (ja) * 2011-06-10 2012-12-13 住友化学株式会社 半導体デバイス、半導体基板、半導体基板の製造方法および半導体デバイスの製造方法
WO2012169214A1 (ja) * 2011-06-10 2012-12-13 住友化学株式会社 半導体デバイス、半導体基板、半導体基板の製造方法および半導体デバイスの製造方法
JP2012253381A (ja) * 2012-08-22 2012-12-20 Renesas Electronics Corp 半導体装置
JP2015097265A (ja) * 2013-10-29 2015-05-21 アイメック・ヴェーゼットウェーImec Vzw Iii−v族材料の選択エリア成長用のエピ基板およびiii−v族材料をシリコン基板上に製造する方法
JP2017532757A (ja) * 2014-09-19 2017-11-02 インテル・コーポレーション マイクロエレクトロニクストランジスタにおいてリークを低減するために、ドープされたサブ構造体を作成するための装置及び方法

Also Published As

Publication number Publication date
JP5481067B2 (ja) 2014-04-23
US20070181977A1 (en) 2007-08-09
CN101268547A (zh) 2008-09-17
US7626246B2 (en) 2009-12-01
WO2007014294A2 (en) 2007-02-01
KR20080032234A (ko) 2008-04-14
EP1911086A2 (en) 2008-04-16
KR101329388B1 (ko) 2013-11-14
WO2007014294A3 (en) 2007-08-30
CN101268547B (zh) 2014-07-09

Similar Documents

Publication Publication Date Title
JP5481067B2 (ja) 代替活性エリア材料の集積回路への組み込みのための解決策
US10177249B2 (en) Techniques for forming contacts to quantum well transistors
US9590085B2 (en) Method and structure for III-V FinFET
US7638842B2 (en) Lattice-mismatched semiconductor structures on insulators
US9263586B2 (en) Quantum well fin-like field effect transistor (QWFinFET) having a two-section combo QW structure
US20050205932A1 (en) Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US9018739B2 (en) Semiconductor device and method of fabricating the same
JP5599089B2 (ja) 半導体装置、半導体装置の製造方法、半導体基板、および半導体基板の製造方法
CN111684605B (zh) 半导体装置及其制造方法
US10164053B1 (en) Semiconductor device and method
CN114175267B (zh) 半导体器件及其制造方法
TWI745045B (zh) 半導體元件及其製造方法
WO2007030368A2 (en) Lattice-mismatched semiconductor structures on insulators and their fabrication methods
US8674444B2 (en) Structure and method of forming a transistor with asymmetric channel and source/drain regions
US20220344210A1 (en) Gate structure and method of forming same
WO2018004607A1 (en) Co-integration of gan and self-aligned thin body group iv transistors
US20140106553A1 (en) Process for manufacturing a semiconductor device and an intermediate product for the manufacture of a semiconductor device
US20230352348A1 (en) Structure and method of forming spacers on unfaceted raised source/drain regions
EP2715785B1 (en) Process for manufacturing a semiconductor device and an intermediate product for the manufacture of a semiconductor device
WO2023194211A1 (en) High electron mobility transistor and method of manufacturing thereof

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090727

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090727

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100924

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120620

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120626

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120926

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130404

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131015

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131224

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140128

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140217

R150 Certificate of patent or registration of utility model

Ref document number: 5481067

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250