JP2007019187A5 - - Google Patents

Download PDF

Info

Publication number
JP2007019187A5
JP2007019187A5 JP2005197938A JP2005197938A JP2007019187A5 JP 2007019187 A5 JP2007019187 A5 JP 2007019187A5 JP 2005197938 A JP2005197938 A JP 2005197938A JP 2005197938 A JP2005197938 A JP 2005197938A JP 2007019187 A5 JP2007019187 A5 JP 2007019187A5
Authority
JP
Japan
Prior art keywords
film
interlayer insulating
insulating film
forming
via hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005197938A
Other languages
English (en)
Other versions
JP2007019187A (ja
JP5096669B2 (ja
Filing date
Publication date
Application filed filed Critical
Priority claimed from JP2005197938A external-priority patent/JP5096669B2/ja
Priority to JP2005197938A priority Critical patent/JP5096669B2/ja
Priority to US11/453,882 priority patent/US7354855B2/en
Priority to TW098120878A priority patent/TWI389254B/zh
Priority to TW102102115A priority patent/TWI525747B/zh
Priority to TW095122404A priority patent/TWI385757B/zh
Priority to CN2009101691985A priority patent/CN101656229B/zh
Priority to CNB2006101002616A priority patent/CN100559565C/zh
Priority to KR1020060063325A priority patent/KR101328862B1/ko
Publication of JP2007019187A publication Critical patent/JP2007019187A/ja
Priority to US12/031,046 priority patent/US7932606B2/en
Priority to US12/141,195 priority patent/US7557034B2/en
Priority to US12/141,172 priority patent/US7629251B2/en
Publication of JP2007019187A5 publication Critical patent/JP2007019187A5/ja
Priority to US13/081,332 priority patent/US8487412B2/en
Priority to KR1020110054737A priority patent/KR101344146B1/ko
Priority to US13/525,195 priority patent/US8518821B2/en
Priority to US13/525,251 priority patent/US8581415B2/en
Application granted granted Critical
Publication of JP5096669B2 publication Critical patent/JP5096669B2/ja
Priority to US14/042,938 priority patent/US8704373B2/en
Priority to US14/214,975 priority patent/US20140199831A1/en
Priority to US14/696,365 priority patent/US9391022B2/en
Priority to US15/181,995 priority patent/US9899316B2/en
Priority to US15/616,899 priority patent/US10141257B2/en
Priority to US16/169,796 priority patent/US10600683B2/en
Priority to US16/817,544 priority patent/US10796953B2/en
Priority to US17/023,327 priority patent/US11062938B2/en
Priority to US17/343,448 priority patent/US11600522B2/en
Priority to US18/164,153 priority patent/US20230187275A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (14)

  1. (a)半導体基板の主面に半導体素子を形成し、前記半導体素子の上部に1層または複数層の第1配線を形成する工程と、
    (b)前記第1配線の上部に第1層間絶縁膜を形成し、前記第1層間絶縁膜の中途部に第1ストッパ膜を形成する工程と、
    (c)前記第1層間絶縁膜の上部に第1反射防止膜を形成し、前記第1反射防止膜の上部に第1フォトレジスト膜を形成する工程と、
    (d)前記第1フォトレジスト膜をマスクにして、前記第1反射防止膜、前記第1層間絶縁膜および前記第1ストッパ膜をエッチングすることにより、前記第1層間絶縁膜に第1ビアホールを形成する工程と、
    (e)前記第1フォトレジスト膜および前記第1反射防止膜を除去した後、前記第1ビアホールの内部に第1埋め込み剤を充填する工程と、
    (f)前記工程(e)の後、前記第1層間絶縁膜の上部に、反射防止膜を介することなく、第2フォトレジスト膜を形成する工程と、
    (g)前記第2フォトレジスト膜をマスクにし、且つ、前記第1ストッパ膜をエッチングストッパとして、前記第1ビアホールが形成された領域を含む領域の前記第1層間絶縁膜をエッチングすることにより、前記第1ストッパ膜の上部の前記第1層間絶縁膜に第1配線溝を形成する工程と、
    (h)前記第2フォトレジスト膜および前記第1埋め込み剤を除去した後、前記第1配線溝および前記第1ビアホールの内部に金属膜を埋め込んで第2配線および第1接続部を一体に形成する工程とを含み、
    前記第1ストッパ膜を前記第1層間絶縁膜よりも光反射率の低い材料で構成することにより、前記第1層間絶縁膜の上部に形成した前記第2フォトレジスト膜を露光して前記第1配線溝のパターンを転写する際、前記第1ストッパ膜を反射防止膜として機能させることを特徴とする半導体集積回路装置の製造方法。
  2. 前記第1層間絶縁膜は、酸化シリコンを主体とする絶縁膜であることを特徴とする請求項1記載の半導体集積回路装置の製造方法。
  3. 前記第1層間絶縁膜は、酸化シリコンにフッ素が添加された絶縁膜であることを特徴とする請求項記載の半導体集積回路装置の製造方法。
  4. 前記反射防止膜は、前記第1フォトレジスト膜を除去する際に同時に除去されることを特徴とする請求項記載の半導体集積回路装置の製造方法。
  5. (a)半導体基板の主面上に、第1配線を形成する工程と、
    (b)前記第1配線上に第1層間絶縁膜を形成する工程と、
    (c)前記第1層間絶縁膜上に第1反射防止膜を形成し、前記第1反射防止膜上に第1レジストパターンを形成する工程と、
    (d)前記第1レジストパターンをマスクにして、前記第1反射防止膜および前記第1層間絶縁膜をエッチングすることにより、前記第1層間絶縁膜に第1ビアホールを形成する工程と、
    (e)前記第1レジストパターンおよび前記第1反射防止膜を除去する工程と、
    (f)前記第1ビアホールの内部に第1埋め込み剤を充填する工程と、
    (g)前記工程(f)の後、前記第1層間絶縁膜上に第2反射防止膜を形成し、前記第2反射防止膜上に第2レジストパターンを形成する工程と、
    (h)前記第2レジストパターンをマスクにして、前記第1ビアホールが形成された領域を含む領域の前記第1層間絶縁膜をエッチングすることにより、前記第1層間絶縁膜に第1配線溝を形成する工程と、
    (i)前記第2レジストパターン、前記第2反射防止膜および前記第1埋め込み剤を除去する工程と、
    (j)前記第1配線溝および前記第1ビアホールの内部に金属膜を埋め込んで、第2配線および第1接続部を一体に形成する工程と、
    (k)前記第2配線上に、中途部に第1ストッパ膜を有する第2層間絶縁膜を形成する工程と、
    (l)前記第2層間絶縁膜上に第3反射防止膜を形成し、前記第3反射防止膜上に第3レジストパターンを形成する工程と、
    (m)前記第3レジストパターンをマスクにして、前記第3反射防止膜、前記第2層間絶縁膜および前記第1ストッパ膜をエッチングすることにより、前記第2層間絶縁膜に第2ビアホールを形成する工程と、
    (n)前記第3レジストパターンおよび前記第3反射防止膜を除去する工程と、
    (o)前記第2ビアホールの内部に第2埋め込み剤を充填する工程と、
    (p)前記工程(o)の後、前記第2層間絶縁膜上に、反射防止膜を介することなく、第4レジストパターンを形成する工程と、
    (q)前記第4レジストパターンをマスクにし、且つ、前記第1ストッパ膜をエッチングストッパとして、前記第2ビアホールが形成された領域を含む領域の前記第2層間絶縁膜をエッチングすることにより、前記第1ストッパ膜の上部の前記第2層間絶縁膜に第2配線溝を形成する工程と、
    (r)前記第4レジストパターンおよび前記第2埋め込み剤を除去する工程と、
    (s)前記第2配線溝および前記第2ビアホールの内部に金属膜を埋め込んで、第3配線および第2接続部を一体に形成する工程とを含み、
    前記第2層間絶縁膜の厚さは、前記第1層間絶縁膜の厚さよりも厚く形成されており、
    前記第2配線溝の深さは、前記第1配線溝の深さよりも深く、
    前記第2ビアホールの深さは、前記第1ビアホールの深さよりも深く、
    前記第2ビアホールの口径は、前記第1ビアホールの口径よりも大きく、
    前記第1ストッパ膜を前記第2層間絶縁膜よりも光反射率の低い材料で構成することにより、前記第4レジストパターンを形成する際に、前記第1ストッパ膜を反射防止膜として機能させていることを特徴とする半導体集積回路装置の製造方法。
  6. 前記第1層間絶縁膜は、前記第2層間絶縁膜よりも誘電率の低い材料で構成されていることを特徴とする請求項記載の半導体集積回路装置の製造方法。
  7. 前記第1層間絶縁膜は、SiOCを主体とする材料で構成されていることを特徴とする請求項記載の半導体集積回路装置の製造方法。
  8. 前記第2層間絶縁膜は、酸化シリコン膜を主体とする材料で構成されていることを特徴とする請求項6または7記載の半導体集積回路装置の製造方法。
  9. 前記第2層間絶縁膜は、酸化シリコンにフッ素が添加された絶縁膜であることを特徴とする請求項記載の半導体集積回路装置の製造方法。
  10. 前記第1ストッパ膜は、SiCN膜であることを特徴とする請求項1〜9のいずれか1項に記載の半導体集積回路装置の製造方法。
  11. 前記第1ストッパ膜は、SiNまたはSiON膜であることを特徴とする請求項1〜9のいずれか1項に記載の半導体集積回路装置の製造方法。
  12. 前記第1配線と前記第1層間絶縁膜との間に、前記第1配線を構成する金属の拡散を防ぐバリア絶縁膜を形成することを特徴とする請求項1〜11のいずれか1項に記載の半導体集積回路装置の製造方法。
  13. 前記バリア絶縁膜は、SiCN膜であることを特徴とする請求項12記載の半導体集積回路装置の製造方法。
  14. 前記金属膜は、銅を主体とする金属膜であることを特徴とする請求項1〜13のいずれか1項に記載の半導体集積回路装置の製造方法。
JP2005197938A 2005-07-06 2005-07-06 半導体集積回路装置の製造方法 Active JP5096669B2 (ja)

Priority Applications (25)

Application Number Priority Date Filing Date Title
JP2005197938A JP5096669B2 (ja) 2005-07-06 2005-07-06 半導体集積回路装置の製造方法
US11/453,882 US7354855B2 (en) 2005-07-06 2006-06-16 Semiconductor device and a method of manufacturing the same
TW098120878A TWI389254B (zh) 2005-07-06 2006-06-22 Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
TW102102115A TWI525747B (zh) 2005-07-06 2006-06-22 Semiconductor integrated circuit device and method for manufacturing semiconductor integrated circuit device
TW095122404A TWI385757B (zh) 2005-07-06 2006-06-22 Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
CNB2006101002616A CN100559565C (zh) 2005-07-06 2006-07-05 半导体器件及其制造方法
CN2009101691985A CN101656229B (zh) 2005-07-06 2006-07-05 半导体器件及其制造方法
KR1020060063325A KR101328862B1 (ko) 2005-07-06 2006-07-06 반도체집적회로장치 및 반도체집적회로장치의 제조 방법
US12/031,046 US7932606B2 (en) 2005-07-06 2008-02-14 Semiconductor device and a method of manufacturing the same
US12/141,195 US7557034B2 (en) 2005-07-06 2008-06-18 Semiconductor device and a method of manufacturing the same
US12/141,172 US7629251B2 (en) 2005-07-06 2008-06-18 Semiconductor device and a method of manufacturing the same
US13/081,332 US8487412B2 (en) 2005-07-06 2011-04-06 Semiconductor device and a method of manufacturing the same
KR1020110054737A KR101344146B1 (ko) 2005-07-06 2011-06-07 반도체집적회로장치 및 반도체집적회로장치의 제조 방법
US13/525,251 US8581415B2 (en) 2005-07-06 2012-06-15 Semiconductor device and a method of manufacturing the same
US13/525,195 US8518821B2 (en) 2005-07-06 2012-06-15 Semiconductor device and a method of manufacturing the same
US14/042,938 US8704373B2 (en) 2005-07-06 2013-10-01 Semiconductor device and a method of manufacturing the same
US14/214,975 US20140199831A1 (en) 2005-07-06 2014-03-16 Semiconductor device and a method of manufacturing the same
US14/696,365 US9391022B2 (en) 2005-07-06 2015-04-24 Semiconductor device and a method of manufacturing the same
US15/181,995 US9899316B2 (en) 2005-07-06 2016-06-14 Semiconductor device and a method of manufacturing the same
US15/616,899 US10141257B2 (en) 2005-07-06 2017-06-07 Semiconductor device and a method of manufacturing the same
US16/169,796 US10600683B2 (en) 2005-07-06 2018-10-24 Semiconductor device and a method of manufacturing the same
US16/817,544 US10796953B2 (en) 2005-07-06 2020-03-12 Semiconductor device and a method of manufacturing the same
US17/023,327 US11062938B2 (en) 2005-07-06 2020-09-16 Semiconductor device and a method of manufacturing the same
US17/343,448 US11600522B2 (en) 2005-07-06 2021-06-09 Semiconductor device and a method of manufacturing the same
US18/164,153 US20230187275A1 (en) 2005-07-06 2023-02-03 Semiconductor device and a method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005197938A JP5096669B2 (ja) 2005-07-06 2005-07-06 半導体集積回路装置の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008174669A Division JP4891296B2 (ja) 2008-07-03 2008-07-03 半導体集積回路装置の製造方法

Publications (3)

Publication Number Publication Date
JP2007019187A JP2007019187A (ja) 2007-01-25
JP2007019187A5 true JP2007019187A5 (ja) 2008-08-21
JP5096669B2 JP5096669B2 (ja) 2012-12-12

Family

ID=37597708

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005197938A Active JP5096669B2 (ja) 2005-07-06 2005-07-06 半導体集積回路装置の製造方法

Country Status (5)

Country Link
US (17) US7354855B2 (ja)
JP (1) JP5096669B2 (ja)
KR (2) KR101328862B1 (ja)
CN (2) CN101656229B (ja)
TW (3) TWI525747B (ja)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5096669B2 (ja) * 2005-07-06 2012-12-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP4699172B2 (ja) * 2005-10-25 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置
JP4830455B2 (ja) * 2005-11-10 2011-12-07 ルネサスエレクトロニクス株式会社 半導体装置
US20080272435A1 (en) * 2007-05-02 2008-11-06 Chien-Ting Lin Semiconductor device and method of forming the same
US7825010B2 (en) * 2007-06-07 2010-11-02 Micron Technology, Inc. Die singulation methods
KR100854863B1 (ko) * 2007-06-29 2008-08-28 주식회사 하이닉스반도체 반도체 소자의 제조방법
CN101110393B (zh) * 2007-07-05 2012-03-07 复旦大学 一种CuxO电阻存储器制备与铜互连工艺集成的方法
US7936072B2 (en) 2007-11-12 2011-05-03 Renesas Electronics Corporation Semiconductor device having dual damascene structure
CN102067293B (zh) * 2008-06-18 2013-07-03 富士通株式会社 半导体器件及其制造方法
DE102008044984A1 (de) * 2008-08-29 2010-07-15 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Verspannungsrelaxationsspalte zur Verbesserung der Chipgehäusewechselwirkungsstabilität
US8338265B2 (en) * 2008-11-12 2012-12-25 International Business Machines Corporation Silicided trench contact to buried conductive layer
WO2010125682A1 (ja) * 2009-04-30 2010-11-04 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8227339B2 (en) 2009-11-02 2012-07-24 International Business Machines Corporation Creation of vias and trenches with different depths
JP5622433B2 (ja) * 2010-04-28 2014-11-12 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8946904B2 (en) * 2010-08-27 2015-02-03 Avago Technologies General Ip (Singapore) Pte. Ltd. Substrate vias for heat removal from semiconductor die
CN102386126B (zh) * 2010-09-03 2013-10-30 中芯国际集成电路制造(上海)有限公司 制作用于形成双大马士革结构的半导体器件结构的方法
JP5755471B2 (ja) * 2011-03-10 2015-07-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN102437090B (zh) * 2011-07-12 2015-01-14 上海华力微电子有限公司 无金属阻挡层的铜后道互连工艺
CN103094179B (zh) * 2011-10-27 2015-06-17 中芯国际集成电路制造(上海)有限公司 连接孔形成方法
US9553043B2 (en) * 2012-04-03 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having smaller transition layer via
US9111998B2 (en) 2012-04-04 2015-08-18 Samsung Electronics Co., Ltd Multi-level stack having multi-level contact and method
US9006101B2 (en) * 2012-08-31 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US9390937B2 (en) * 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9287162B2 (en) 2013-01-10 2016-03-15 Samsung Austin Semiconductor, L.P. Forming vias and trenches for self-aligned contacts in a semiconductor structure
US8994173B2 (en) 2013-06-26 2015-03-31 International Business Machines Corporation Solder bump connection and method of making
KR102063808B1 (ko) 2013-07-15 2020-01-08 삼성전자주식회사 정보 저장 소자의 제조 방법
US9040423B2 (en) * 2013-07-17 2015-05-26 United Microelectronics Corp. Method for manufacturing semiconductor device
CN105830211A (zh) 2013-12-17 2016-08-03 德克萨斯仪器股份有限公司 使用光刻-冷冻-光刻-蚀刻工艺的细长接触件
US9997457B2 (en) * 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
JP6282474B2 (ja) * 2014-01-31 2018-02-21 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2016025147A (ja) * 2014-07-17 2016-02-08 ソニー株式会社 電子デバイスおよびその製造方法、並びに電子機器
KR102258112B1 (ko) * 2015-04-01 2021-05-31 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9583442B2 (en) * 2015-06-29 2017-02-28 International Business Machines Corporation Interconnect structure including middle of line (MOL) metal layer local interconnect on etch stop layer
RU2601203C1 (ru) * 2015-07-08 2016-10-27 Акционерное общество "Научно-производственная фирма "Микран" Монолитная интегральная схема на основе полупроводникового соединения
KR102415952B1 (ko) * 2015-07-30 2022-07-05 삼성전자주식회사 반도체 소자의 레이아웃 설계 방법, 및 그를 이용한 반도체 소자의 제조 방법
US10879165B2 (en) * 2015-10-16 2020-12-29 Sony Corporation Semiconductor device and method for manufacturing semiconductor device with low-permittivity layers
KR102579880B1 (ko) 2016-05-12 2023-09-18 삼성전자주식회사 인터포저, 반도체 패키지, 및 인터포저의 제조 방법
WO2018035091A1 (en) 2016-08-15 2018-02-22 University Of Florida Research Foundation, Inc. Methods and compositions relating to tunable nanoporous coatings
US9711501B1 (en) * 2016-09-26 2017-07-18 International Business Machines Corporation Interlayer via
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
WO2018213570A2 (en) 2017-05-17 2018-11-22 University Of Florida Research Foundation Methods and sensors for detection
CN109411406A (zh) * 2017-08-18 2019-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
TWI628756B (zh) * 2017-08-22 2018-07-01 鳳凰先驅股份有限公司 封裝結構及其製作方法
KR102492733B1 (ko) 2017-09-29 2023-01-27 삼성디스플레이 주식회사 구리 플라즈마 식각 방법 및 디스플레이 패널 제조 방법
WO2019126248A1 (en) 2017-12-20 2019-06-27 University Of Florida Research Foundation Methods and sensors for detection
US11705527B2 (en) 2017-12-21 2023-07-18 University Of Florida Research Foundation, Inc. Substrates having a broadband antireflection layer and methods of forming a broadband antireflection layer
CN111886689A (zh) * 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
US10727275B2 (en) * 2018-05-18 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Memory layout for reduced line loading
US11819277B2 (en) 2018-06-20 2023-11-21 University Of Florida Research Foundation, Inc. Intraocular pressure sensing material, devices, and uses thereof
JP6640391B2 (ja) * 2019-01-22 2020-02-05 ルネサスエレクトロニクス株式会社 半導体装置
US11171052B2 (en) * 2019-04-29 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming interconnect structures with selectively deposited pillars and structures formed thereby
US11024533B2 (en) 2019-05-16 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming interconnect structures using via holes filled with dielectric film
US11257755B2 (en) * 2020-06-15 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Metal loss prevention in conductive structures
CN113013090B (zh) * 2021-02-07 2022-06-24 长鑫存储技术有限公司 半导体结构的熔断填充方法及半导体结构
US20220390833A1 (en) * 2021-06-03 2022-12-08 Viavi Solutions Inc. Method of replicating a microstructure pattern

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08191104A (ja) * 1995-01-11 1996-07-23 Hitachi Ltd 半導体集積回路装置およびその製造方法
FR2748601B1 (fr) * 1996-05-07 1998-07-24 Sgs Thomson Microelectronics Procede de formation d'interconnexions dans un circuit integre
US5886410A (en) * 1996-06-26 1999-03-23 Intel Corporation Interconnect structure with hard mask and low dielectric constant materials
US6025226A (en) * 1998-01-15 2000-02-15 International Business Machines Corporation Method of forming a capacitor and a capacitor formed using the method
JP2000077625A (ja) * 1998-08-31 2000-03-14 Hitachi Ltd 半導体集積回路装置の製造方法
JP2000156480A (ja) * 1998-09-03 2000-06-06 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6406995B1 (en) * 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
US6649515B2 (en) * 1998-09-30 2003-11-18 Intel Corporation Photoimageable material patterning techniques useful in fabricating conductive lines in circuit structures
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US20030089992A1 (en) * 1998-10-01 2003-05-15 Sudha Rathi Silicon carbide deposition for use as a barrier layer and an etch stop
KR100452418B1 (ko) * 1999-06-30 2004-10-12 인텔 코오퍼레이션 듀얼 대머신 공정 중에서 하부 배선층을 보호하는 방법
US6159818A (en) * 1999-09-02 2000-12-12 Micron Technology, Inc. Method of forming a container capacitor structure
JP4858895B2 (ja) * 2000-07-21 2012-01-18 富士通セミコンダクター株式会社 半導体装置の製造方法
US6821896B1 (en) * 2001-05-31 2004-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method to eliminate via poison effect
JP2002373937A (ja) 2001-06-15 2002-12-26 Fujitsu Ltd 半導体装置及びその製造方法
JP3745257B2 (ja) * 2001-08-17 2006-02-15 キヤノン販売株式会社 半導体装置及びその製造方法
JP2003092349A (ja) * 2001-09-18 2003-03-28 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2003092287A (ja) * 2001-09-19 2003-03-28 Nec Corp アッシング方法
JP2003124307A (ja) 2001-10-15 2003-04-25 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2003163265A (ja) * 2001-11-27 2003-06-06 Nec Corp 配線構造およびその製造方法
US6605874B2 (en) * 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
JP2003309172A (ja) * 2002-04-17 2003-10-31 Nec Electronics Corp デュアルダマシンプロセスにおけるパターン形成方法
JP2003332426A (ja) 2002-05-17 2003-11-21 Renesas Technology Corp 半導体装置の製造方法および半導体装置
JP4040363B2 (ja) * 2002-05-20 2008-01-30 富士通株式会社 半導体装置
US6617690B1 (en) * 2002-08-14 2003-09-09 Ibm Corporation Interconnect structures containing stress adjustment cap layer
JP2004119698A (ja) * 2002-09-26 2004-04-15 Seiko Epson Corp 半導体装置およびその製造方法
TW582084B (en) * 2002-10-03 2004-04-01 Taiwan Semiconductor Mfg Method for manufacturing capacitor by combining dual damascene process and structure thereof
JP2004153015A (ja) * 2002-10-30 2004-05-27 Fujitsu Ltd 半導体装置及びその製造方法
DE10250889B4 (de) * 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US6709918B1 (en) * 2002-12-02 2004-03-23 Chartered Semiconductor Manufacturing Ltd. Method for making a metal-insulator-metal (MIM) capacitor and metal resistor for a copper back-end-of-line (BEOL) technology
JP3898133B2 (ja) 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
DE10301243B4 (de) * 2003-01-15 2009-04-16 Infineon Technologies Ag Verfahren zum Herstellen einer integrierten Schaltungsanordnung, insbesondere mit Kondensatoranordnung
JP3683570B2 (ja) * 2003-02-19 2005-08-17 松下電器産業株式会社 半導体装置の製造方法
JP4454242B2 (ja) * 2003-03-25 2010-04-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US7021320B2 (en) * 2003-04-11 2006-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of removing a via fence
US20040222527A1 (en) * 2003-05-06 2004-11-11 Dostalik William W. Dual damascene pattern liner
US6977218B2 (en) 2003-07-17 2005-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating copper interconnects
US7052990B2 (en) * 2003-09-03 2006-05-30 Infineon Technologies Ag Sealed pores in low-k material damascene conductive structures
US7345350B2 (en) * 2003-09-23 2008-03-18 Micron Technology, Inc. Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias
JP2005142369A (ja) 2003-11-06 2005-06-02 Renesas Technology Corp 半導体装置の製造方法
KR100534103B1 (ko) * 2004-01-14 2005-12-06 삼성전자주식회사 초임계 유체를 이용한 미세 전자소자의 제조 방법
US7015133B2 (en) * 2004-04-14 2006-03-21 Taiwan Semiconductor Manufacturing Company Dual damascene structure formed of low-k dielectric materials
TWI267116B (en) * 2004-07-14 2006-11-21 Taiwan Semiconductor Mfg Method of preventing photoresist poisoning of a low-dielectric-constant insulator
US7605033B2 (en) * 2004-09-01 2009-10-20 Micron Technology, Inc. Low resistance peripheral local interconnect contacts with selective wet strip of titanium
US7253121B2 (en) * 2004-09-09 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming IMD films
JP4492949B2 (ja) * 2004-11-01 2010-06-30 ルネサスエレクトロニクス株式会社 電子デバイスの製造方法
TWI254986B (en) * 2004-12-29 2006-05-11 United Microelectronics Corp Method for fabricating a dual damascene and polymer removal
JP4985401B2 (ja) * 2005-07-04 2012-07-25 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP5096669B2 (ja) * 2005-07-06 2012-12-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR100632467B1 (ko) * 2005-08-12 2006-10-09 삼성전자주식회사 반도체 메모리 소자 및 그 제조 방법
US7964470B2 (en) * 2006-03-01 2011-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Flexible processing method for metal-insulator-metal capacitor formation

Similar Documents

Publication Publication Date Title
JP2007019187A5 (ja)
JP3300643B2 (ja) 半導体装置の製造方法
JP4256347B2 (ja) 半導体装置の製造方法
JP4104426B2 (ja) 半導体装置の製造方法
CN102760693B (zh) 形成半导体器件的方法
TWI654665B (zh) 使用半雙向圖案化形成半導體裝置的方法及中間半導體裝置
JP2004281936A (ja) 半導体装置の製造方法
US9865473B1 (en) Methods of forming semiconductor devices using semi-bidirectional patterning and islands
KR100571417B1 (ko) 반도체 소자의 듀얼 다마신 배선 및 그 제조 방법
KR100641500B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성 방법
TWI228749B (en) Method for manufacturing semiconductor device
JP2006245236A (ja) 半導体装置の製造方法
JP2005159008A (ja) 半導体装置の製造方法
JP2006133315A (ja) 平坦化材料、反射防止膜形成材料、及びこれらを用いた半導体装置の製造方法
TW201250773A (en) Manufacturing method for dual damascene structure
JP2007073808A (ja) 半導体装置の製造方法及び半導体装置
JP2010010270A (ja) 半導体装置の製造方法
KR20100011121A (ko) 반도체 소자 및 그의 제조 방법
KR100759256B1 (ko) 감광막 스페이서를 이용한 듀얼 다마신 패턴 형성방법
KR100641491B1 (ko) 반도체의 극 미세 컨택 플러그 형성방법
KR100470390B1 (ko) 에스램소자 제조시 다마신을 이용한 국부배선 스페이스최소화방법
KR100604414B1 (ko) 반도체 소자의 금속 배선 형성 방법
JP4263053B2 (ja) 半導体装置の製造方法
KR100393966B1 (ko) 반도체 소자의 이중 다마신 형성방법
JP2009088013A (ja) 半導体装置の製造方法