CN100559565C - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN100559565C
CN100559565C CNB2006101002616A CN200610100261A CN100559565C CN 100559565 C CN100559565 C CN 100559565C CN B2006101002616 A CNB2006101002616 A CN B2006101002616A CN 200610100261 A CN200610100261 A CN 200610100261A CN 100559565 C CN100559565 C CN 100559565C
Authority
CN
China
Prior art keywords
film
interlayer dielectric
interconnection
via hole
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2006101002616A
Other languages
English (en)
Other versions
CN1893020A (zh
Inventor
堀田胜彦
屉原乡子
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Publication of CN1893020A publication Critical patent/CN1893020A/zh
Application granted granted Critical
Publication of CN100559565C publication Critical patent/CN100559565C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5256Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive
    • H01L23/5258Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive the change of state resulting from the use of an external beam, e.g. laser beam or ion beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01004Beryllium [Be]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30105Capacitance

Abstract

为了简化多级Cu互连的双大马士革形成步骤,省略了在光致抗蚀剂膜之下形成抗反射膜的步骤。具体地描述,利用形成在层间绝缘膜上方的光致抗蚀剂膜作为掩膜,干法蚀刻层间绝缘膜,以及通过在层间绝缘膜中形成的停止膜的表面处终止蚀刻,形成互连沟槽。停止膜由具有低的光学反射率的SiCN膜制成,由此使得当对光致抗蚀剂膜进行曝光时将停止膜用作抗反射膜。

Description

半导体器件及其制造方法
相关申请的交叉引用
本申请要求于2005年7月6日提交的日本专利申请No.2005-197938的优先权,据此将其内容通过参考引入本申请。
技术领域
本发明涉及一种半导体集成电路器件的制造技术,特别地涉及一种当应用于使用双大马士革(damascene)工艺形成互连中时有效的技术。
背景技术
近年来,被称为大马士革工艺的精细间距互连形成方法正在成为制造微型半导体集成电路器件的领先方法。
大马士革工艺包括:在半导体衬底上方的层间绝缘膜中形成精细互连沟槽;在包括该互连沟槽内部的互连绝缘膜上方淀积金属膜;通过化学机械抛光去除互连沟槽外部的金属膜;以及在互连沟槽中形成微细嵌入互连。
被称为“双大马士革工艺”的工艺是上述大马士革工艺,但是具有较少的步骤。它包括以下步骤:在形成于层间绝缘膜中的互连沟槽之下,形成将连接到下级互连的过孔;以及在互连沟槽和过孔中同时填充金属膜,以形成互连。在过孔中预先形成金属栓塞(plug)以及然后在互连沟槽中形成嵌入互连的工艺被称为单大马士革工艺。
通常采用Cu(铜)作为用于嵌入互连的金属材料,因为即使形成精细互连也不会使可靠性降低。当通过大马士革工艺在层间绝缘膜中形成嵌入互连时,通常的做法是通过低介电绝缘材料制作层间绝缘膜,以便降低在两个相邻互连之间产生的电容。例如,在日本未审专利公开No.2004-221275或者日本未审专利公开No.2003-124307中,描述了一种通过大马士革工艺在由低介电材料制成的层间绝缘膜中形成嵌入互连的技术。
在日本未审专利公开No.2003-163265中,公开了一种通过单大马士革工艺制造互连层的方法,包括使用SiCN膜作为抗蚀剂图形的抗反射膜来形成过孔。
发明内容
接下来将描述本发明人研究的通过双大马士革工艺形成多级Cu互连的步骤的概要。
(步骤1)根据传统已知工艺,在半导体衬底上方形成半导体元件以及在半导体元件上方形成下级互连。
(步骤2)在下级互连上方淀积层间绝缘膜以及在层间绝缘膜上方形成抗反射膜之后,在抗反射膜上方形成光致抗蚀剂膜。该光致抗蚀剂膜是这样一种膜,已经通过利用在其中形成有过孔图形的光掩膜进行曝光以及然后显影,将在其中形成有过孔形成区域的图形转移到该膜上。形成抗反射膜,以便防止光致抗蚀剂膜的曝光分辨率的降低,其中由于曝光光线从下级互连的表面反射并且入射到光致抗蚀剂膜上而会发生上述曝光分辨率的降低。在光致抗蚀剂膜之下形成的抗反射膜也被称为BARC(底部抗反射涂层)。
(步骤3)利用光致抗蚀剂膜作为掩膜,干法蚀刻抗反射膜和层间绝缘膜,以在层间绝缘膜中形成过孔。然后,去除光致抗蚀剂膜和抗反射膜,并且将填料填充在过孔中。填料由具有与抗反射膜基本相似的成分的绝缘材料制成。按下面的方式将填料填充在过孔中。在包括过孔内部的层间绝缘膜上方淀积填料,以及然后通过回蚀刻(etch back)去除过孔外部的填料。通过该回蚀刻,在过孔中填充的填料的表面变得几乎平坦,并且变成与层间绝缘膜的表面基本在同一平面上。
(步骤4)在层间绝缘膜上方形成第二抗反射膜,以及在该抗反射膜上方形成第二光致抗蚀剂膜。该第二光致抗蚀剂膜是这样一种膜,即已经通过利用在其中形成有互连沟槽图形的光掩膜进行曝光以及然后显影,将在其中形成有互连沟槽区域的图形转移到该膜上。利用第二光致抗蚀剂膜作为掩膜,干法蚀刻第二抗反射膜,以及然后干法蚀刻层间绝缘膜同时留下其下部而不蚀刻,由此在过孔上方形成互连沟槽。
(步骤5)在去除第二光致抗蚀剂膜之后,也去除第二抗反射膜。当去除第二抗反射膜时,也去除填充在过孔中的填料,以从过孔的底部露出下级互连的表面。然后在互连沟槽和过孔中形成Cu互连。通过溅射或镀覆,在包括互连沟槽和过孔的内部的层间绝缘膜上方淀积Cu膜,以及然后通过化学机械抛光,去除互连沟槽外部的Cu膜,由此来形成Cu互连。通过重复上述步骤2到5,在半导体衬底上方形成多级Cu互连。
在形成在半导体衬底上方的多级Cu互连中,上级互连通常具有较大的宽度和厚度。因而,在上部层间绝缘膜中形成的过孔具有比在下部层间绝缘膜中形成的过孔更大的直径和深度。
但是,当过孔具有较大直径和宽度时,在上述步骤3中,变得难以在过孔中完全填充填料。作为结果,当在包括过孔内部的层间绝缘膜上方淀积填料之后通过回蚀刻去除过孔外部的填料时,在过孔中填充的填料的表面没有变得平坦,并且在填料的表面和层间绝缘膜的表面之间存在差异,这导致在步骤4中不能在层间绝缘膜上方均匀地形成抗反射膜的问题。
本发明的一个目的是提供一种通过双大马士革工艺以高生产率来形成多级Cu互连的技术。
本发明的另一个目的是提供一种能够简化通过双大马士革工艺形成多级Cu互连的步骤的技术。
通过在此的描述和附图,本发明的上述和其他目的以及新颖特征将变得明显。
接下来,将简要描述本申请所公开的发明的典型发明的概述。
本发明的半导体集成电路器件的制造方法包括以下步骤:(a)在半导体衬底的主表面上方形成半导体元件,以及在半导体元件上方形成包括一层或者多层的第一级互连;(b)在第一级互连上方形成第一层间绝缘膜,以及在第一层间绝缘膜的一部分中形成第一停止膜;(c)在第一层间绝缘膜上方形成第一抗反射膜,以及在第一抗反射膜上方形成第一光致抗蚀剂膜;(d)利用第一光致抗蚀剂膜作为掩膜蚀刻第一抗反射膜、第一层间绝缘膜和第一停止膜,以在第一层间绝缘膜中形成第一过孔;(e)去除第一光致抗蚀剂膜和第一抗反射膜,以及然后在第一过孔中填充第一填料;(f)在步骤(e)之后,在第一层间绝缘膜上方形成第二光致抗蚀剂膜,而不使用抗反射膜;(g)通过利用第二光致抗蚀剂膜作为掩膜进行蚀刻,从包括已经形成第一过孔的区域的区域中去除第一层间绝缘膜,由此在第一停止膜上方的第一层间绝缘膜中形成第一互连沟槽;以及(h)去除第二光致抗蚀剂膜和第一填料,以及然后在第一互连沟槽和第一过孔中填充金属膜,以形成第二级互连,其中第一停止膜由具有低的光学反射率的材料制成,使得当对第一层间绝缘膜上方形成的第二光致抗蚀剂膜进行曝光以将第一互连沟槽的图形转移到第二光致抗蚀剂膜时将其用作抗反射膜。
接下来,将简要描述可由本申请所公开的发明的典型发明所获得的优点。
本发明使得能够通过双大马士革工艺以高生产率形成多级Cu互连。另外,本发明使得能够简化多级Cu互连的双大马士革形成步骤。
附图说明
图1是说明根据本发明一个实施例的半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图2是说明在图1之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图3是说明在图2之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图4是说明在图3之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图5是说明在图4之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图6是说明在图5之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图7是说明在图6之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图8是说明在图7之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图9是说明在图8之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图10是说明在图9之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图11是说明在图10之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图12是说明在图11之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图13是说明在图12之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图14是说明在图13之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图15是说明在图14之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图16是说明在图15之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图17是说明在图16之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图18是说明在图17之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图19是说明在图18之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图20是说明在图19之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图21是说明在图20之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图22是说明在图21之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图23是说明在图22之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图24是说明在图23之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图25是说明在图24之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图26是说明在图25之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图27是说明根据本发明另一个实施例的半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图28是说明在图27之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;
图29是说明在图28之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图;以及
图30是说明在图29之后半导体集成电路器件的制造步骤的半导体衬底的部分横截面图。
具体实施方式
以下将基于附图具体地描述本发明的实施例。在用于描述以下所述的实施例的所有附图中,具有相同功能的部件用相同的参考数字来标识,并且省略重叠的描述。
(实施例1)
在该实施例中,将描述具有例如四层Cu互连和熔丝(fuse)的半导体集成电路器件。接下来,按照参考图1到图26的步骤顺序,描述该半导体集成电路器件的制造方法。
如图1所示,在例如由单晶硅制成的半导体衬底(之后将简称为“衬底”)的主表面上方形成作为半导体元件的n沟道MISFET(Qn)和p沟道MISFET(Qp)。在该图中,数字2、4和5表示的分别是元件隔离沟槽、p阱和n阱。
通过在蚀刻衬底1所形成的沟槽中填充例如氧化硅膜3的绝缘膜来形成元件隔离沟槽2。通过将p型杂质(硼)和n型杂质(磷)离子注入到衬底1中并且对衬底1进行热处理以使这些杂质扩散到衬底1中来形成p阱4和n阱5。
n沟道MISFET(Qn)由栅极绝缘膜6、栅电极7、侧壁间隔层8、一对n型半导体区域(源极和漏极)11等等组成,其中栅极绝缘膜6由形成在p阱4的表面上方的氧化硅膜或者氮氧化硅膜制成,栅电极7由例如形成在栅极绝缘膜6上方的多晶硅膜制成,侧壁间离层8由例如形成在栅电极7的侧表面上方的氧化硅膜制成,以及一对n型半导体区域11形成在栅电极7的两侧上的p阱4中。p沟道MISFET(Qp)由栅极绝缘膜6、栅电极7、侧壁间隔层8、一对p型半导体区域(源极和漏极)12等等组成,其中一对p型半导体区域12形成在栅电极7的两侧上的n阱5中。在构成n沟道MISFET(Qn)的栅电极7的多晶硅膜中,引入n型杂质(磷),而将p型杂质(硼)引入到构成p沟道MISFET(Qp)的栅电极7的多晶硅膜中。在n沟道MISFET(Qn)的栅电极7和n型半导体区域(源极和漏极)11的相应表面以及p沟道MISFET(Qp)的栅电极7和p型半导体区域(源极和漏极)12的相应表面上方,形成Co(钴)硅化物膜9,用于降低栅电极7以及源极和漏极的每一个的电阻的目的。
如图2所示,在n沟道MISFET(Qn)和p沟道MISFET(Qp)上方形成栓塞16和第一级互连19,并且n沟道MISFET(Qn)和p沟道MISFET(Qp)经由栓塞16连接到第一级互连19。
按照以下方式形成第一级互连19。首先,在衬底1上方淀积蚀刻停止膜13和绝缘膜14之后,通过化学机械抛光,使绝缘膜14的表面平坦化。该蚀刻停止膜13由例如通过CVD淀积的氮化硅膜制成,而绝缘膜14由例如通过CVD淀积的氧化硅膜制成。
然后,对n沟道MISFET(Qn)的n型半导体区域(源极和漏极)11和p沟道MISFET(Qp)的p型半导体区域(源极和漏极)12的每一个上方的绝缘膜14进行蚀刻,之后,蚀刻在所得到的绝缘膜14之下的蚀刻停止膜13,以形成接触孔15。然后,在接触孔15的内部形成栓塞16。该栓塞16由例如TiN(氮化钛)膜或者W(钨)膜的膜叠层形成。TiN膜用作W膜的阻挡金属膜。该阻挡金属膜可以由TiN膜和Ti(钛)膜的膜叠层制成。
在通过CVD在绝缘膜14上方淀积大约200nm厚的绝缘膜17(SiOC膜17)和由氧化硅膜制成的大约50nm厚的绝缘膜18之后,利用光致抗蚀剂膜(未示出)作为掩膜来干法蚀刻绝缘膜18和SiOC膜17,以形成互连沟槽20。绝缘膜17(SiOC膜17)是用于降低互连电容的低介电绝缘膜,并且是例如介电常数比氧化硅膜(例如TEOS(四乙氧基硅烷)氧化物膜)低的绝缘膜。通常,具有低达大约4.1到4.2或者更低的特定介电常数ε的膜(例如TEOS膜)被称为低介电绝缘膜。在本实施例中,特定介电常数为大约2.7。在SiOC膜17上方形成的绝缘膜18用作保护膜,用于防止具有低机械强度的SiOC膜17由于化学机械抛光而另外将发生的劣化。
通过溅射在互连沟槽20的内部淀积由TiN膜或者TiN膜和Ti膜的膜叠层制成的大约50nm厚的阻挡金属膜,以及然后通过溅射或镀覆来淀积能够完全填充互连沟槽20内部的厚(约从800nm到1600nm)Cu膜。阻挡金属膜用作用于防止Cu膜扩散到周围绝缘膜中的膜。除了TiN膜之外,可使用几乎不与Cu发生反应的各种导电膜作为阻挡金属膜:例如,诸如WN(氮化钨)膜和TaN(氮化钽)膜的金属氮化物膜;通过将Si添加到这种金属氮化物膜所获得的合金膜;诸如Ta膜、Ti膜、W膜和TiW膜的难熔金属膜;以及这些难熔金属膜的膜叠层。
通过化学机械抛光去除互连沟槽20外部的Cu膜和阻挡金属膜,由此在互连沟槽20的内部嵌入主要由Cu组成的金属膜。以这种方式,第一级互连19由留在互连沟槽20内部的阻挡金属膜和Cu膜的膜叠层制成。
如图3所示,在第一级互连19上方连续淀积阻挡金属膜21和22、层间绝缘膜23和绝缘膜24。阻挡绝缘膜21是用于防止作为第一级互连19的材料的Cu扩散到层间绝缘膜23中的绝缘膜,并且它由例如具有大约20nm到100nm厚度并且通过例如等离子体CVD淀积的SiCN膜制成。阻挡绝缘膜22是用于防止在构成位于下方的阻挡绝缘膜21的SiCN膜中包含的胺化合物扩散到层间绝缘膜21中的绝缘膜,并且它由例如通过CVD淀积的厚度约为10nm到100nm的SiCO膜制成。胺化合物扩散到层间绝缘膜23中造成它在随后的步骤中进一步扩散到形成在绝缘膜23上方的光致抗蚀剂膜中,这会使光致抗蚀剂膜的感光功能失效。
层间绝缘膜23由例如上述SiOC膜的低介电绝缘膜制成,以便降低在第一级互连29与将在后面步骤形成的第二级互连之间的电容。SiOC膜通过CVD来淀积,并且它的厚度为大约460nm。通过该涂覆方法也可以形成例如层间绝缘膜23的低介电膜。与下部的绝缘膜18类似,形成在层间绝缘膜23上方的绝缘膜24是用于当通过化学机械抛光形成Cu互连时保护层间绝缘膜23的绝缘膜,该层间绝缘膜23由具有低机械强度的SiOC膜制成。绝缘膜24由例如通过CVD淀积的大约50nm厚的氧化硅膜制成。
如图4所示,在绝缘膜24上方形成抗反射膜25,之后在抗反射膜25上方形成光致抗蚀剂膜26。当形成光致抗蚀剂膜26时,从第一级互连19的表面反射的曝光光线入射在光致抗蚀剂膜26上,并且使分辨率劣化。形成抗反射膜25,用于防止这种分辨率的劣化。抗反射膜是一种被称为“BARC(底部抗反射涂层)”的膜,并且具有比位于下方的绝缘膜24和层间绝缘膜23高的折射率。光致抗蚀剂膜26是这样一种膜,即已经通过具有在其中形成有过孔图形的光掩膜(未示出)进行曝光并且然后显影,将具有对应于过孔形成区域的开口的图形转移到该膜上。
如图5所示,利用光致抗蚀剂膜26作为掩膜,连续干法蚀刻抗反射膜25、绝缘膜24和层间绝缘膜23,由此在第一级互连19上方形成过孔27。
然后,去除光致抗蚀剂膜26和抗反射膜25。当抗反射膜25由上述BARC制成时,它具有类似于光致抗蚀剂膜26的成分,使得通过一次清洗可以同时去除光致抗蚀剂膜26和抗反射膜25。如图6所示,将填料28填充在过孔27的内部。填料28由具有几乎与抗反射膜25类似的成分的绝缘材料制成。通过在包括过孔27内部的绝缘膜24上旋涂填料28,使其固化,并且然后通过回蚀刻去除过孔27外部的填料28,由此填充填料28。用于将第一级互连19连接到将在稍后形成的第二级互连的过孔27的直径相对较小,使得通过采用该回蚀刻,在过孔27中填充的填料28几乎具有平坦的表面,并且同时,其表面与绝缘膜24的表面几乎在同一平面上。
如图7所示,在绝缘膜24上方形成抗反射膜30,以及然后,在抗反射膜30上方形成光致抗蚀剂膜31。在本实施例中,使用上述BARC作为抗反射膜30。光致抗蚀剂膜31是这样一种膜,即已经通过在其中形成有互连沟槽图形的光掩膜(未示出)进行曝光并且然后显影,将在其中具有互连沟槽形成区域的开口的图形转移到该膜上。
如图8所示,利用光致抗蚀剂膜31作为掩膜,连续干法蚀刻抗反射膜30和绝缘膜24,之后干法蚀刻层间绝缘膜23,同时留下其下部而不蚀刻,由此形成互连沟槽32。层间绝缘膜23不具有用作蚀刻停止层的膜,使得在控制蚀刻时间的同时形成互连沟槽32。形成具有比层间绝缘膜23高的介电常数的膜不可避免地增加了互连电容,因为下级互连的尺寸设计为比上级互连的尺寸更精细。在本实施例中,通过不在层间绝缘膜23中形成蚀刻停止膜,可以降低互连电容。另外,因为在下层的互连沟槽设计为具有比在上层的互连沟槽更浅的深度,所以可以减小形成互连沟槽的蚀刻量。因此,通过控制蚀刻时间而不特别地设置蚀刻停止膜,可以调整膜厚度。
如图9所示,在去除光致抗蚀剂膜31之后,通过干法蚀刻去除绝缘膜24上方的抗反射膜30。同时蚀刻在过孔27中填充的填料28和位于其之下的阻挡绝缘膜22和21,以从过孔27的底部露出第一级互连19的表面。
如图10所示,然后在互连沟槽32和过孔27的内部形成第二级互连33。通过溅射,在包括互连沟槽32和过孔27的内部的绝缘膜24上方淀积厚度为大约50nm的薄TiN膜(阻挡金属膜),来形成第二级互连33。然后通过溅射或镀覆,在该TiN膜上方淀积用于填充互连沟槽32和过孔27的厚Cu膜,之后通过化学机械抛光,去除在互连沟槽32外部的Cu膜和阻挡金属膜。绝缘膜24具有比层间绝缘膜23更强的机械强度,使得它可用作层间绝缘膜23的保护膜。
如图11所示,在第二级互连33上方淀积阻挡绝缘膜34、层间绝缘膜35和抗反射膜36之后,利用在抗反射膜上方形成的光致抗蚀剂膜37作为掩膜,干法蚀刻抗反射膜36和层间绝缘膜35,由此在第二级互连33上方形成过孔38。
与用于覆盖第一级互连19的表面的阻挡绝缘膜21相似,阻挡绝缘膜34是用于防止作为互连材料的Cu扩散到层间绝缘膜35中的绝缘膜,并且它由例如通过等离子体CVD淀积的厚度为大约20nm到100nm的SiCN膜制成。
在衬底1上方形成的多级互连中,上级互连的互连电容变得小于下级互连的互连电容,因为在下级互连中,两个相邻互连之间的距离较宽。当在稍后将形成的两个第三级互连之间的电容或者在第三级互连和第二级互连之间的电容不是重要因素时,层间绝缘膜35由例如通过CVD淀积的约700nm厚的氧化硅膜制成。因为氧化硅膜具有比作为为低介电材料的SiCO膜更致密的膜质量,所以即使当在由SiCN膜制成的阻挡绝缘膜34上方直接淀积由氧化硅膜制成的层间绝缘膜35时,胺化合物的扩散也不会成为问题。因为SiCN膜对于氧化硅膜具有相对低的粘附力,所以通过在其间形成SiCN膜,可以改善在阻挡绝缘膜34和层间绝缘膜35之间的粘附力。作为构成层间绝缘膜35的氧化硅系列材料,可以使用添加有用于降低其介电常数的F(氟)的氧化硅。
另一方面,当两个第三级互连(43)之间的电容或者第三级互连与第二级互连之间的电容是重要因素时,层间绝缘膜35由例如SiCO膜的低介电材料制成。在这种情况下,期望在阻挡绝缘膜34和层间绝缘膜35之间形成SiCO膜,以防止胺化合物扩散到阻挡绝缘膜34中。在下面的描述中,层间绝缘膜35由氧化硅膜制成。
如图12所示,在去除光致抗蚀剂膜37和抗反射膜36之后,在过孔38中填充填料39。正如以上所述,填料39由具有几乎类似于上述抗反射膜的成分的绝缘材料制成。填料39的填充方法也与在过孔27中填充填料28所采用的方法类似。因为用于将第二级互连33连接到稍后将形成的第三级互连的过孔38的直径相对较小,所以在过孔38中填充的填料39的表面几乎是平坦的,并且同时与层间绝缘膜35在同一平面上。
如图13所示,在层间绝缘膜35中形成互连沟槽42。通过在层间绝缘膜35上方形成抗反射膜40,在抗反射膜40上方形成光致抗蚀剂膜41,利用光致抗蚀剂膜41作为掩膜来干法蚀刻抗反射膜40,以及然后干法蚀刻层间绝缘膜35同时留下其下部而不蚀刻,由此形成互连沟槽42。在本实施例中,通过与用于形成互连沟槽32所采用的类似的时间控制的蚀刻,形成互连沟槽42。
如图14所示,在互连沟槽42和过孔38中形成第三级互连43。通过去除光致抗蚀剂膜41以及然后利用干法蚀刻去除抗反射膜40,形成第三级互连43。通过去除在过孔38中填充的填料39以及位于下方的阻挡绝缘膜34,以从过孔38的底部露出第二级互连33的表面,由此去除抗反射膜40。在包括互连沟槽42和过孔38的内部的层间绝缘膜35上方,通过溅射,淀积薄TiN膜(阻挡金属膜)。在通过溅射或者镀覆在TiN膜上方淀积厚Cu膜之后,通过化学机械抛光,去除互连沟槽42外部的Cu膜和阻挡金属膜。
如图15所示,在第三级互连43上方淀积阻挡绝缘膜44和层间绝缘膜45。阻挡绝缘膜44是用于防止Cu扩散的绝缘膜,并且与位于下方的阻挡绝缘膜34和21类似,它由通过等离子体CVD淀积的约为50nm到100nm的SiCN膜制成。在随后步骤中将形成在层间绝缘膜45中的第四级互连中,每个互连的尺寸、互连之间的距离以及每个互连的厚度都比下级互连的大。因此,层间绝缘膜45由通过CVD淀积的约1μm厚的氧化硅膜制成。在阻挡绝缘膜44和层间绝缘膜45之间可以形成SiCO膜,用于改善其间的粘附力的目的。作为构成层间绝缘膜45的氧化硅系列材料,可以使用添加有用于降低其介电常数的F的氧化硅。
当通过蚀刻层间绝缘膜45同时留下其下部而不蚀刻来形成互连沟槽时,具有较大厚度的层间绝缘膜45使得很难以高精确度控制互连沟槽的深度。具体地描述,互连沟槽52和53比上述互连沟槽32和42深,使得它们不能被容易地通过用于形成互连沟槽32和43的时间控制的蚀刻来形成。因此,通过在层间绝缘膜45中形成具有不同于层间绝缘膜45的蚀刻选择性的停止膜46,以及在停止膜46的表面处停止蚀刻,来控制互连沟槽的深度。在本实施例中,使用通过等离子体CVD淀积的约10nm到100nm厚的SiCN膜作为形成在层间绝缘膜45中的停止膜46。SiCN膜相对于氧化硅膜具有高的蚀刻选择性,并且具有低的节电常数,使得它可用作停止膜46。与层间绝缘膜45相比,它还具有较低的光学反射率(具有较小的折射率),使得它也用作下面将描述的抗反射膜。
如图16所示,在层间绝缘膜45上方形成抗反射膜47之后,利用在抗反射膜47上方形成的光致抗蚀剂膜48作为掩膜,来连续地干法蚀刻抗反射膜47、层间绝缘膜45、停止膜46和层间绝缘膜45,由此在第三级互连43上方形成过孔49。
如图17所示,在去除光致抗蚀剂膜48和抗反射膜47之后,在过孔49中填充填料50。填料50的材料和填充方法与上述那些类似。与位于下方的过孔38和27相比,用于形成第四级互连的过孔49具有更大直径和深度,这防止了填料50的完全填充。因而,在过孔49中填充的填料50不具有平坦表面,并且它不可避免地具有与层间绝缘膜45的表面的台阶差。
如图18所示,在层间绝缘膜45上方形成光致抗蚀剂膜51。正如以上所述,在过孔49中填充的填料50不具有乎坦表面并且具有与层间绝缘膜45的表面的台阶差,使得很难在层间绝缘膜45的整个表面上方涂覆均匀厚度的抗反射膜。因而,在层间绝缘膜45上方直接形成光致抗蚀剂膜51,而不使用抗反射膜。
光致抗蚀剂膜51是这样一种膜,即已经通过在其中形成有互连沟槽图形和熔丝图形的光掩膜(未示出)进行曝光并且然后显影,将在其中形成有互连沟槽形成区域和熔丝形成区域的图形转移到该膜上。正如以上所述,因为在层间绝缘膜45中形成由具有低的光学反射率的SiCN膜制成的停止膜46,所以可防止例如另外由于曝光光线从第三级互连43的表面反射并且入射到光致抗蚀剂膜51上所会产生的分辨率劣化的不利性,而无需在光致抗蚀剂膜51之下形成抗反射膜。因此,在光致抗蚀剂膜51之下形成抗反射膜的步骤不是必需的,这带来制造工艺的简化。要求形成在层间绝缘膜45中的停止膜46具有与氧化硅膜不同的蚀刻选择性、具有低的光学反射率以及低的介电常数。除了上述SiCN之外,这种绝缘材料的例子包括氮化硅(SiN)以及氮氧化硅(SiON)。其中,最优选的是SiCN。
如图19所示,利用光致抗蚀剂膜51作为掩膜,于法蚀刻层间绝缘膜45,并且在停止膜46的表面处停止蚀刻,由此在停止膜46上方的层间绝缘膜45中形成互连沟槽52和53。
如图20所示,在去除光致抗蚀剂膜51之后,通过干法蚀刻去除填充在过孔49中的填料50,以从过孔49的底部露出第三级互连43的表面。
如图21所示,在互连沟槽52和过孔49的内部形成第四级互连54,同时在互连沟槽53的内部形成将作为熔丝55的第四级互连54。虽然在图中没有示出,但是,熔丝55经由下级互连连接到电阻器。通过构成MISFET(Qn和Qp)的栅电极7层的多晶硅膜形成电阻器。当探针测试(将在下面描述)在CMOS存储器的一部分中发现一些缺陷时,通过使用激光束等等来切断熔丝55,以改变电阻器的电阻,并且使冗余存储器代替有缺陷的存储器。
通过溅射在包括互连沟槽52和53以及过孔49的内部的层间绝缘膜45上方淀积薄TiN膜(阻挡金属膜),通过溅射或者镀覆在TiN膜上方形成厚Cu膜,以及然后通过化学机械抛光去除互连沟槽52和53外部的Cu膜和阻挡金属膜,由此形成第四级互连54和熔丝55。
蚀刻停止膜46保留在层间绝缘膜45中,但是在第四级互连中的两个相邻互连之间的距离大于在位于下方的第一级、第二级或者第三级互连中的两个相邻互连之间的距离,以及层间绝缘膜45具有较大的膜厚度,使得基本上可以忽略互连之间的电容以及互连层之间的电容。
如图22所示,在第四级互连54和熔丝55上方淀积阻挡绝缘膜56和层间绝缘膜57。阻挡绝缘膜56是用于防止Cu扩散的绝缘膜,并且与位于下方的阻挡膜44、34和21类似,它由通过等离子体CVD淀积的SiCN膜制成。与位于下方的层间绝缘膜45和32类似,层间绝缘膜57由厚度大约为900nm的氧化硅系列绝缘膜制成。在图22和图22之后的图中,省略了位于第四级互连54之下的部分。
正如以下所述,在第四级互连54和熔丝55上方形成层间绝缘膜和表面保护膜。在熔丝55上方的层间绝缘膜和表面保护膜中,形成用于使熔丝55暴露于激光束的开口。如果水经由该开口从外部进入电路,则熔丝55可能会被腐蚀。在本实施例1中,将阻挡绝缘膜56的厚度(例如从大约150nm到200nm)制成大于位于下方的阻挡绝缘膜44、34和21的厚度,以改善熔丝55的耐潮性。
如图23所示,在层间绝缘膜57上方形成最上级互连(第五级互连)60,之后在最上级互连60上方形成表面保护膜61。按下面的方式形成最上级互连60。利用光致抗蚀剂膜作为掩膜,干法蚀刻第四级互连54上方的层间绝缘膜57,以及然后干法蚀刻位于层间绝缘膜57之下的阻挡绝缘膜56,以形成过孔58。在过孔58的内部形成栓塞59。与下层的栓塞16类似,栓塞59由TiN膜和W膜的膜叠层制成。在层间绝缘膜57上方,通过溅射淀积从大约50nm到100nm厚的TiN膜、大约1μm厚的Al(铝)合金膜和从大约50nm到100nm厚的TiN膜。利用光致抗蚀剂膜(未示出)作为掩膜,蚀刻这些导电膜,以形成最上级互连60。在最上级互连60上方的表面保护膜61由通过等离子体CVD淀积的约为200nm厚的氧化硅膜和约为600nm厚的氮化硅膜的膜叠层制成。
如图24所示,利用光致抗蚀剂膜(未示出)作为掩膜,干法蚀刻表面保护膜61,以露出最上级互连60的一部分,由此形成键合焊盘60B。干法蚀刻熔丝55上方的表面保护膜61和层间绝缘膜57,以形成开口62。在形成该开口期间,通过在覆盖熔丝55的阻挡绝缘膜56的表面处终止蚀刻,在熔丝55上方留下阻挡绝缘膜56。
因为熔丝55上方的阻挡绝缘膜56比位于下方的阻挡绝缘膜44、34或21厚,所以可以保持耐潮性。具体地描述,假设阻挡绝缘膜56的厚度等于阻挡绝缘膜44、34或21的厚度,则例如通过用于在熔丝55上方形成开口62的蚀刻步骤、用于去除光致抗蚀剂膜的氧等离子体灰化步骤、清洗步骤等等,使阻挡绝缘膜进一步变薄,这不利地导致耐潮性降低。特别是,在蚀刻开口62时,必须蚀刻比位于下方的层间绝缘膜厚的膜,从而担心通过过蚀刻使阻挡绝缘膜56变得极其薄。因此,需要形成厚度大于位于下层的阻挡绝缘膜44、34或21的阻挡绝缘膜56。
在把探针(未示出)施加到键合焊盘60B的表面上的同时,执行电路的电测试(探针测试)。当探针测试显示在半导体器件的一部分中存在缺陷时,经由开口62使熔丝55暴露于激光束,以切断熔丝55,由此通过冗余存储器替代有缺陷的存储器。
在熔丝55上方留下的绝缘膜的厚度足以使得通过暴露于激光束而被切断(将在之后描述)。根据需要,可以留下绝缘膜57。
如图25所示,在表面保护膜61上方淀积聚酰亚胺树脂膜63之后,在聚酰亚胺树脂膜63上方形成引出互连64,由此电连接键合焊盘60B和引出互连64。该引出互连64是用于将构成CMOS存储器的外部连接端子的焊料凸点电连接到键合焊盘60B的互连。通过在表面保护膜61上方淀积聚酰亚胺树脂膜63,以及利用光致抗蚀剂膜(未示出)作为掩膜蚀刻键合焊盘60B上方的聚酰亚胺树脂膜63,来形成引出互连64。然后,在表面保护膜61上方形成其中形成有引出互连64的形成区域的光致抗蚀剂膜(未示出)之后,通过镀覆或溅射,在表面保护膜61上方淀积Cu膜。
如图26所示,利用聚酰亚胺树脂膜65覆盖由Cu膜制成的引出互连64的表面,以及然后蚀刻聚酰亚胺树脂膜65的一部分,以露出引出互连64的一个端部。通过镀覆,在其表面上形成Au(金)膜66。然后通过印刷方法在Au(金)膜66表面上形成焊料凸点67,由此形成半导体集成电路器件的外部连接端子。
在引出互连64的形成步骤期间,通过光致抗蚀剂膜的蚀刻或者灰化,而使熔丝55上方的阻挡绝缘膜56变薄,但是可以通过预先使阻挡绝缘膜56变厚来防止耐潮性的劣化。
(实施例2)
在实施例1中,在层间绝缘膜中形成过孔之后形成互连沟槽。另一方面,在本实施例中,在层间绝缘膜中形成互连沟槽之后形成过孔。
如图27所示,在第三级互连43上方淀积阻挡绝缘膜44和层间绝缘膜45。在层间绝缘膜45中形成停止膜46。使用通过等离子体CVD淀积的大约10nm到100nm厚的SiCN膜作为停止膜46。直到该步骤的那些步骤与实施例1中参考图1到图15描述的类似。
如图28所示,在层间绝缘膜45上方形成光致抗蚀剂膜51之后,利用光致抗蚀剂膜51作为掩膜干法蚀刻层间绝缘膜45。通过在停止膜46的表面处停止蚀刻,在停止膜46上方的层间绝缘膜45中形成互连沟槽52和53。这里,在层间绝缘膜45和光致抗蚀剂膜51之间没有形成抗反射膜,但是如实施例1那样,在层间绝缘膜45中形成了由具有低的光学反射率的SiCN膜制成的停止膜46。即使在光致抗蚀剂膜51之下不形成抗反射膜,也可以抑制另外由于曝光光线从第三级互连43的表面反射并且入射在光致抗蚀剂膜51上而会引起的分辨率降低。
如图29所示,在层间绝缘膜45上方形成光致抗蚀剂膜48之后,利用光致抗蚀剂膜48作为掩膜,干法蚀刻层间绝缘膜45和阻挡绝缘膜44,由此形成过孔49,以及从过孔49的底部露出第三级互连43。在这种情况下,停止膜46用作抗反射膜,使得可以抑制另外由于曝光光线从第三级互连43的表面反射并且入射在光致抗蚀剂膜48上而会引起的分辨率降低。
在去除光致抗蚀剂膜48之后,在互连沟槽52和过孔49中形成第四级互连54,并且在互连沟槽53中形成熔丝55。以与实施例1中类似的方式,形成第四级互连54和熔丝55。
在本实施例中,可以简化第四级互连54的形成步骤,其中在层间绝缘膜45中形成互连沟槽52和53之后形成过孔49。另外,可以以高生产率形成第四级互连54。
基于一些实施例,具体地描述了由本发明人所做出的本发明。本发明并不局限于这些实施例,而是不用说,可以在不偏离本发明范围的情况下做出变化。例如,本发明可以应用于具有五个或者更多Cu互连层的半导体器件的制造方法。
当应用于通过双大马士革工艺形成有多级互连的半导体集成电路器件时,本发明是有效的。

Claims (50)

1.一种半导体集成电路器件的制造方法,包括以下步骤:
(a)在半导体衬底的主表面上方形成半导体元件,以及在所述半导体元件上方形成至少一个第一级互连;
(b)在所述第一级互连上方形成第一层间绝缘膜,以及在所述第一层间绝缘膜中形成第一停止膜;
(c)在所述第一层间绝缘膜上方形成第一抗反射膜,以及在所述第一抗反射膜上方形成第一光致抗蚀剂膜;
(d)利用所述第一光致抗蚀剂膜作为掩膜,蚀刻所述第一抗反射膜、所述第一层间绝缘膜和所述第一停止膜,以在所述第一层间绝缘膜中形成第一过孔;
(e)去除所述第一光致抗蚀剂膜和第一抗反射膜,以及然后在所述第一过孔的内部填充第一填料;
(f)在所述步骤(e)之后,在所述第一层间绝缘膜上方形成第二光致抗蚀剂膜,而不使用抗反射膜;
(g)利用所述第二光致抗蚀剂膜作为掩膜,对在包括形成了所述第一过孔的区域的区域中的所述第一层间绝缘膜进行蚀刻,由此在所述第一停止膜上方的所述第一层间绝缘膜中形成第一互连沟槽;以及
(h)去除所述第二光致抗蚀剂膜和第一填料,以及然后在所述第一互连沟槽和第一过孔中填充金属膜,以形成第二级互连,
其中,所述第一停止膜由具有低的光学反射率的材料制成,并且当对所述第一层间绝缘膜上方形成的所述第二光致抗蚀剂膜进行曝光以及将所述第一互连沟槽的图形转移到所述第二光致抗蚀剂膜时,将所述第一停止膜用作抗反射膜。
2.根据权利要求1的半导体集成电路器件的制造方法,其中形成所述第一级互连的步骤包括以下步骤:
(i)在所述第一级互连之下的互连层上方形成第二层间绝缘膜;
(j)在所述第二层间绝缘膜上方形成第二抗反射膜,以及在所述第二抗反射膜上方形成第三光致抗蚀剂膜;
(k)利用所述第三光致抗蚀剂膜作为掩膜,蚀刻所述第二抗反射膜和第二层间绝缘膜,由此在所述第二层间绝缘膜中形成第二过孔;
(l)在去除所述第三光致抗蚀剂膜和第二抗反射膜之后,在所述第二过孔中填充第二填料;
(m)在所述步骤(l)之后,在所述第二层间绝缘膜上方形成第三抗反射膜,以及在所述第三抗反射膜上方形成第四光致抗蚀剂膜;
(n)利用所述第四光致抗蚀剂膜作为掩膜,对在包括形成了所述第二过孔的区域的区域中的所述第二层间绝缘膜进行蚀刻,同时留下所述第二层间绝缘膜的下部而不蚀刻,由此在所述第二层间绝缘膜中形成第二互连沟槽;以及
(o)在去除所述第四光致抗蚀剂膜和第二填料之后,在所述第二互连沟槽和第二过孔中填充金属膜,以形成所述第一级互连。
3.根据权利要求1的半导体集成电路器件的制造方法,其中所述第一停止膜是SiCN膜。
4.根据权利要求1的半导体集成电路器件的制造方法,其中在所述第一级互连和所述第一层间绝缘膜之间,形成用于防止构成所述第一级互连的金属的扩散的阻挡绝缘膜。
5.根据权利要求4的半导体集成电路器件的制造方法,其中所述阻挡绝缘膜是SiCN膜。
6.根据权利要求4的半导体集成电路器件的制造方法,其中所述第一层间绝缘膜是具有氧化硅作为主要成分的绝缘膜,以及所述阻挡绝缘膜是SiCN膜或者通过在SiCN膜上方层叠SiCO膜所获得的两层膜。
7.根据权利要求2的半导体集成电路器件的制造方法,其中所述第一过孔的直径大于所述第二过孔的直径。
8.根据权利要求2的半导体集成电路器件的制造方法,其中所述第一层间绝缘膜比所述第二层间绝缘膜厚。
9.根据权利要求2的半导体集成电路器件的制造方法,其中所述金属膜具有作为主要成分的铜。
10.一种半导体集成电路器件的制造方法,包括以下步骤:
(a)在半导体衬底的主表面上方形成第一级互连;
(b)在所述第一级互连上方形成第一层间绝缘膜;
(c)在所述第一层间绝缘膜中,形成用于连接到所述第一级互连的第一过孔;
(d)在包括形成了所述第一过孔的区域的区域中的所述第一层间绝缘膜中,形成第一互连沟槽;
(e)在所述第一互连沟槽和第一过孔中填充金属膜,以形成第二级互连;
(f)在所述第二级互连上方,形成具有第一绝缘膜的第二层间绝缘膜;
(g)在所述第二层间绝缘膜中,形成用于连接到所述第二级互连的第二过孔;
(h)在包括形成了所述第二过孔的区域的区域中的所述第二层间绝缘膜中,形成第二互连沟槽;以及
(i)在所述第二互连沟槽和第二过孔中填充金属膜,以形成第三级互连;
其中,所述第二层间绝缘膜比所述第一层间绝缘膜厚,
其中,在所述步骤(h)中,通过使用所述第一绝缘膜作为蚀刻停止膜,来形成所述第二互连沟槽,以及
其中,在所述步骤(d)中,在不使用蚀刻停止膜的情况下,形成所述第一互连沟槽。
11.根据权利要求10的半导体集成电路器件的制造方法,
其中在所述步骤(d)中,通过控制所述第一层间绝缘膜的蚀刻时间,来形成所述第一互连沟槽。
12.根据权利要求10的半导体集成电路器件的制造方法,其中所述第一互连沟槽比所述第一过孔浅,同时所述第二互连沟槽比所述第二过孔浅。
13.根据权利要求10的半导体集成电路器件的制造方法,其中所述第二过孔比所述第一过孔深,同时所述第二互连沟槽比所述第一互连沟槽深。
14.一种半导体集成电路器件,包括:
(a)第一级互连,形成在半导体衬底的主表面上方;
(b)第一层间绝缘膜,形成在所述第一级互连上方,
(c)第一过孔,形成在所述第一层间绝缘膜中并且连接到所述第一级互连;
(d)第一互连沟槽,形成在包括形成了所述第一过孔的区域的区域中的所述第一层间绝缘膜中;
(e)第二级互连,通过在所述第一互连沟槽和第一过孔中填充金属膜而形成;
(f)第二层间绝缘膜,形成在所述第二级互连上方;
(g)第二过孔,形成在所述第二层间绝缘膜中并且连接到所述第二级互连;
(h)第二互连沟槽,形成在包括形成了所述第二过孔的区域的区域中的所述第二层间绝缘膜中;以及
(i)第三级互连,通过在所述第二互连沟槽和第二过孔中填充金属膜而形成,
其中,所述第二层间绝缘膜比所述第一层间绝缘膜厚,以及在所述第二层间绝缘膜中形成第一蚀刻停止膜。
15.根据权利要求14的半导体集成电路器件,其中在所述第一层间绝缘膜中没有形成蚀刻停止膜。
16.根据权利要求14的半导体集成电路器件,其中所述第二过孔比所述第一过孔深,以及所述第二互连沟槽比所述第一互连沟槽深。
17.根据权利要求14的半导体集成电路器件,其中所述金属膜具有作为主要成分的铜。
18.一种半导体集成电路器件,包括:
(a)第一互连,形成在半导体衬底上方;
(b)第一层间绝缘膜,形成在所述第一互连上方;
(c)第一过孔,形成在所述第一层间绝缘膜中,用于与所述第一互连连接;
(d)第一互连沟槽,形成在所述第一层间绝缘膜中,用于与所述第一过孔连接;
(e)第二互连和第一连接部分,形成在一起并且通过在所述第一过孔中和在所述第一互连沟槽中填充第一金属膜而形成;
(f)第二层间绝缘膜,形成在所述第二互连上方;
(g)第二过孔,形成在所述第二层间绝缘膜中,用于与所述第二互连连接;
(h)第二互连沟槽,形成在所述第二层间绝缘膜中,用于与所述第二过孔连接;以及
(i)第三互连和第二连接部分,形成在一起并且通过在所述第二过孔中和在所述第二互连沟槽中填充第二金属膜而形成;
其中所述第二层间绝缘膜的厚度大于所述第一层间绝缘膜的厚度,
其中所述第二互连沟槽的深度深于所述第一互连沟槽的深度,
其中所述第二层间绝缘膜包括由不同于所述第二层间绝缘膜的材料制成的第一停止膜,
其中所述第三互连的底部布置在所述第一停止膜上,以及
其中所述第二互连的底部布置在所述第一层间绝缘膜上。
19.根据权利要求18的半导体集成电路器件,
其中所述第一层间绝缘膜的介电常数低于所述第二层间绝缘膜的介电常数。
20.根据权利要求18的半导体集成电路器件,
其中所述第二过孔的深度深于所述第一过孔的深度。
21.根据权利要求18的半导体集成电路器件,
还包括第一阻挡金属,形成在所述第一过孔和所述第一互连沟槽的内部并且形成在所述第一层间绝缘膜和所述第一金属膜之间。
22.根据权利要求18的半导体集成电路器件,
还包括第二阻挡金属,形成在所述第二过孔和所述第二互连沟槽的内部并且形成在所述第二层间绝缘膜和所述第二金属膜之间。
23.根据权利要求18的半导体集成电路器件,
其中所述第一层间绝缘膜由作为主要成分的SiOC膜形成。
24.根据权利要求18的半导体集成电路器件,
其中所述第二层间绝缘膜由作为主要成分的氧化硅膜形成。
25.根据权利要求24的半导体集成电路器件,
其中所述第二层间绝缘膜由添加有氟的氧化硅膜形成。
26.根据权利要求18的半导体集成电路器件,
其中第一停止膜由SiCN膜形成。
27.根据权利要求18的半导体集成电路器件,
其中所述第一停止膜由SiN膜或SiON膜形成。
28.根据权利要求18的半导体集成电路器件,
其中所述第一和第二金属膜具有作为主要成分的铜。
29.一种半导体集成电路器件的制造方法,包括以下步骤:
(a)在半导体衬底上方形成第一互连;
(b)在所述第一互连上方形成第一层间绝缘膜;
(c)在所述第一层间绝缘膜中形成第一过孔,用于与所述第一互连连接;
(d)在所述第一层间绝缘膜中形成第一互连沟槽,用于与所述第一过孔连接;
(e)在所述第一过孔中和在所述第一互连沟槽中填充第一金属膜,以一起形成第二互连和第一连接部分;
(f)在所述第二互连上方形成第二层间绝缘膜;
(g)在所述第二层间绝缘膜中形成第二过孔,用于与所述第二互连连接;
(h)在所述第二层间绝缘膜中形成第二互连沟槽,用于与所述第二过孔连接;以及
(i)在所述第二过孔中和在所述第二互连沟槽中填充第二金属膜,以一起形成第三互连和第二连接部分;
其中所述第二层间绝缘膜的厚度大于所述第一层间绝缘膜的厚度,
其中所述第二互连沟槽的深度深于所述第一互连沟槽的深度,
其中所述第二层间绝缘膜包括由不同于所述第二层间绝缘膜的材料制成的第一停止膜,
其中在所述步骤(h)中,利用所述第一停止膜作为蚀刻停止层来形成所述第二互连沟槽,以及
其中在所述步骤(d)中,在没有蚀刻停止层的情况下形成所述第一互连沟槽。
30.根据权利要求29的半导体集成电路器件的制造方法,
其中所述第一层间绝缘膜的介电常数低于所述第二层间绝缘膜的介电常数。
31.根据权利要求29的半导体集成电路器件的制造方法,
其中在所述步骤(d)中,通过控制所述第一层间绝缘膜的蚀刻时间来形成所述第一互连沟槽。
32.根据权利要求29的半导体集成电路器件的制造方法,
其中所述第二过孔的深度深于所述第一过孔的深度。
33.根据权利要求29的半导体集成电路器件的制造方法,
在所述步骤(e)之前还包括步骤:在所述第一过孔和第一互连沟槽的内部形成第一阻挡金属。
34.根据权利要求29的半导体集成电路器件的制造方法,
在所述步骤(i)之前还包括步骤:在所述第二过孔和第二互连沟槽的内部形成第二阻挡金属。
35.根据权利要求29的半导体集成电路器件的制造方法,
其中所述第一层间绝缘膜由作为主要组分的SiOC膜形成。
36.根据权利要求29的半导体集成电路器件的制造方法,
其中所述第二层间绝缘膜由作为主要成分的氧化硅膜形成。
37.根据权利要求36的半导体集成电路器件的制造方法,
其中所述第二层间绝缘膜由添加有氟的氧化硅膜形成。
38.根据权利要求29的半导体集成电路器件的制造方法,
其中所述第一停止膜由SiCN膜形成。
39.根据权利要求29的半导体集成电路器件的制造方法,
其中所述第一停止膜由SiN膜或SiON膜形成。
40.根据权利要求29的半导体集成电路器件的制造方法,
其中所述第一和第二金属膜具有作为主要成分的铜。
41.一种半导体集成电路器件的制造方法,包括以下步骤:
(a)在半导体衬底上方形成第一互连;
(b)在所述第一互连上方形成第一层间绝缘膜;
(c)在所述第一层间绝缘膜上方形成第一抗反射膜,并在所述第一抗反射膜上方形成第一抗蚀剂图形;
(d)利用所述第一抗蚀剂图形作为掩膜,蚀刻所述第一抗反射膜和第一层间绝缘膜,以在所述第一层间绝缘膜中形成第一过孔;
(e)去除所述第一抗蚀剂图形和第一抗反射膜;
(f)在所述第一过孔的内部填充第一填充剂;
(g)在所述步骤(e)之后,在所述第一层间绝缘膜上方形成第二抗反射膜,并在所述第二抗反射膜上方形成第二抗蚀剂图形;
(h)利用所述第二抗蚀剂图形作为掩膜,蚀刻在包括其中已形成所述第二过孔的区域的区域中的所述第一层间绝缘膜,由此在所述第一层间绝缘膜中形成第一互连沟槽;
(i)去除所述第二抗蚀剂图形、第二抗反射膜和第一填充剂;
(j)在所述第一过孔中和在所述第一互连沟槽中填充第一金属膜,以一起形成第二互连和第一连接部分;
(k)在所述第二互连上方形成第二层间绝缘膜,其中所述第二层间绝缘膜包括第一停止膜;
(l)在所述第二层间绝缘膜上方形成第三抗反射膜,并在所述第三抗反射膜上方形成第三抗蚀剂图形;
(m)利用所述第三抗蚀剂图形作为掩膜,蚀刻所述第三抗反射膜、第二层间绝缘膜和第一停止膜,以在所述第二层间绝缘膜中形成第二过孔;
(n)去除所述第三抗蚀剂图形和第三抗反射膜;
(o)在所述第二过孔的内部填充第二填充剂;
(p)在所述步骤(e)之后,在不使用抗反射膜的情况下,在所述第二层间绝缘膜上方形成第四抗蚀剂图形;
(q)利用所述第四抗蚀剂图形作为掩膜并利用所述第一停止膜作为蚀刻停止层,蚀刻在包括其中已形成所述第二过孔的区域的区域中的所述第二层间绝缘膜,由此在所述第一停止膜上方的所述第二层间绝缘膜中形成第二互连沟槽;
(r)去除所述第四抗蚀剂图形和第二填充剂;以及
(s)在所述第二过孔中和在所述第二互连沟槽中填充第二金属膜,以一起形成第三互连和第二连接部分;
其中所述第二层间绝缘膜的厚度大于所述第一层间绝缘膜的厚度,
其中所述第二互连沟槽的深度深于所述第一互连沟槽的深度,
其中所述第二过孔的直径大于所述第一过孔的直径,以及
其中所述第一停止膜由具有比所述第二层间绝缘膜低的光学反射系数的材料制成,由此当形成所述第四抗蚀剂图形时所述第一停止膜用作抗反射膜。
42.根据权利要求41的半导体集成电路器件的制造方法,
其中所述第一层间绝缘膜的介电常数低于所述第二层间绝缘膜的介电常数。
43.根据权利要求41的半导体集成电路器件的制造方法,
其中所述第一层间绝缘膜由作为主要成分的SiOC膜形成。
44.根据权利要求41的半导体集成电路器件的制造方法,
其中所述第二层间绝缘膜由作为主要成分的氧化硅膜形成。
45.根据权利要求44的半导体集成电路器件的制造方法,
其中所述第二层间绝缘膜由添加有氟的氧化硅膜形成。
46.根据权利要求41的半导体集成电路器件的制造方法,
其中所述第一停止膜由SiCN膜形成。
47.根据权利要求41的半导体集成电路器件的制造方法,
其中所述第一停止膜由SiN膜或SiON膜形成。
48.根据权利要求41的半导体集成电路器件的制造方法,
其中在所述第一互连和所述第一层间绝缘膜之间形成用于防止构成所述第一互连的金属的扩散的阻挡绝缘膜。
49.根据权利要求48的半导体集成电路器件的制造方法,
其中所述阻挡绝缘膜为SiCN膜。
50.根据权利要求41的半导体集成电路器件的制造方法,
其中所述金属膜具有作为主要成分的铜。
CNB2006101002616A 2005-07-06 2006-07-05 半导体器件及其制造方法 Active CN100559565C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005197938A JP5096669B2 (ja) 2005-07-06 2005-07-06 半導体集積回路装置の製造方法
JP197938/2005 2005-07-06

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2009101691985A Division CN101656229B (zh) 2005-07-06 2006-07-05 半导体器件及其制造方法

Publications (2)

Publication Number Publication Date
CN1893020A CN1893020A (zh) 2007-01-10
CN100559565C true CN100559565C (zh) 2009-11-11

Family

ID=37597708

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2006101002616A Active CN100559565C (zh) 2005-07-06 2006-07-05 半导体器件及其制造方法
CN2009101691985A Active CN101656229B (zh) 2005-07-06 2006-07-05 半导体器件及其制造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2009101691985A Active CN101656229B (zh) 2005-07-06 2006-07-05 半导体器件及其制造方法

Country Status (5)

Country Link
US (17) US7354855B2 (zh)
JP (1) JP5096669B2 (zh)
KR (2) KR101328862B1 (zh)
CN (2) CN100559565C (zh)
TW (3) TWI389254B (zh)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5096669B2 (ja) * 2005-07-06 2012-12-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP4699172B2 (ja) * 2005-10-25 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置
JP4830455B2 (ja) * 2005-11-10 2011-12-07 ルネサスエレクトロニクス株式会社 半導体装置
US20080272435A1 (en) * 2007-05-02 2008-11-06 Chien-Ting Lin Semiconductor device and method of forming the same
US7825010B2 (en) * 2007-06-07 2010-11-02 Micron Technology, Inc. Die singulation methods
KR100854863B1 (ko) * 2007-06-29 2008-08-28 주식회사 하이닉스반도체 반도체 소자의 제조방법
CN101110393B (zh) * 2007-07-05 2012-03-07 复旦大学 一种CuxO电阻存储器制备与铜互连工艺集成的方法
US7936072B2 (en) 2007-11-12 2011-05-03 Renesas Electronics Corporation Semiconductor device having dual damascene structure
CN102067293B (zh) * 2008-06-18 2013-07-03 富士通株式会社 半导体器件及其制造方法
DE102008044984A1 (de) * 2008-08-29 2010-07-15 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Verspannungsrelaxationsspalte zur Verbesserung der Chipgehäusewechselwirkungsstabilität
US8338265B2 (en) * 2008-11-12 2012-12-25 International Business Machines Corporation Silicided trench contact to buried conductive layer
US20120032323A1 (en) * 2009-04-30 2012-02-09 Masahiro Matsumoto Semiconductor device and method of manufacturing the same
US8227339B2 (en) 2009-11-02 2012-07-24 International Business Machines Corporation Creation of vias and trenches with different depths
JP5622433B2 (ja) * 2010-04-28 2014-11-12 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8946904B2 (en) * 2010-08-27 2015-02-03 Avago Technologies General Ip (Singapore) Pte. Ltd. Substrate vias for heat removal from semiconductor die
CN102386126B (zh) * 2010-09-03 2013-10-30 中芯国际集成电路制造(上海)有限公司 制作用于形成双大马士革结构的半导体器件结构的方法
JP5755471B2 (ja) * 2011-03-10 2015-07-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN102437090B (zh) * 2011-07-12 2015-01-14 上海华力微电子有限公司 无金属阻挡层的铜后道互连工艺
CN103094179B (zh) * 2011-10-27 2015-06-17 中芯国际集成电路制造(上海)有限公司 连接孔形成方法
US9553043B2 (en) * 2012-04-03 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having smaller transition layer via
US9111998B2 (en) 2012-04-04 2015-08-18 Samsung Electronics Co., Ltd Multi-level stack having multi-level contact and method
US9006101B2 (en) * 2012-08-31 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US9390937B2 (en) * 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9287162B2 (en) 2013-01-10 2016-03-15 Samsung Austin Semiconductor, L.P. Forming vias and trenches for self-aligned contacts in a semiconductor structure
US8994173B2 (en) 2013-06-26 2015-03-31 International Business Machines Corporation Solder bump connection and method of making
KR102063808B1 (ko) 2013-07-15 2020-01-08 삼성전자주식회사 정보 저장 소자의 제조 방법
US9040423B2 (en) * 2013-07-17 2015-05-26 United Microelectronics Corp. Method for manufacturing semiconductor device
EP3084823A4 (en) * 2013-12-17 2017-08-02 Texas Instruments Incorporated Elongated contacts using litho-freeze-litho-etch process
US9997457B2 (en) * 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
JP6282474B2 (ja) 2014-01-31 2018-02-21 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2016025147A (ja) * 2014-07-17 2016-02-08 ソニー株式会社 電子デバイスおよびその製造方法、並びに電子機器
KR102258112B1 (ko) 2015-04-01 2021-05-31 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9583442B2 (en) * 2015-06-29 2017-02-28 International Business Machines Corporation Interconnect structure including middle of line (MOL) metal layer local interconnect on etch stop layer
RU2601203C1 (ru) * 2015-07-08 2016-10-27 Акционерное общество "Научно-производственная фирма "Микран" Монолитная интегральная схема на основе полупроводникового соединения
KR102415952B1 (ko) 2015-07-30 2022-07-05 삼성전자주식회사 반도체 소자의 레이아웃 설계 방법, 및 그를 이용한 반도체 소자의 제조 방법
JP6828689B2 (ja) * 2015-10-16 2021-02-10 ソニー株式会社 半導体装置、および半導体装置の製造方法
KR102579880B1 (ko) 2016-05-12 2023-09-18 삼성전자주식회사 인터포저, 반도체 패키지, 및 인터포저의 제조 방법
WO2018035091A1 (en) 2016-08-15 2018-02-22 University Of Florida Research Foundation, Inc. Methods and compositions relating to tunable nanoporous coatings
US9711501B1 (en) * 2016-09-26 2017-07-18 International Business Machines Corporation Interlayer via
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
US11467094B2 (en) 2017-05-17 2022-10-11 University Of Florida Research Foundation, Inc. Methods and sensors for detection
CN109411406A (zh) * 2017-08-18 2019-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
TWI628756B (zh) * 2017-08-22 2018-07-01 鳳凰先驅股份有限公司 封裝結構及其製作方法
KR102492733B1 (ko) 2017-09-29 2023-01-27 삼성디스플레이 주식회사 구리 플라즈마 식각 방법 및 디스플레이 패널 제조 방법
US11480527B2 (en) 2017-12-20 2022-10-25 University Of Florida Research Foundation, Inc. Methods and sensors for detection
WO2019126171A1 (en) * 2017-12-21 2019-06-27 University Of Florida Research Foundation Substrates having a broadband antireflection layer and methods of forming a broadband antireflection layer
KR20200123482A (ko) * 2018-03-19 2020-10-29 램 리써치 코포레이션 챔퍼리스 (chamferless) 비아 통합 스킴 (scheme)
US10727275B2 (en) * 2018-05-18 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Memory layout for reduced line loading
US11819277B2 (en) 2018-06-20 2023-11-21 University Of Florida Research Foundation, Inc. Intraocular pressure sensing material, devices, and uses thereof
JP6640391B2 (ja) * 2019-01-22 2020-02-05 ルネサスエレクトロニクス株式会社 半導体装置
US11171052B2 (en) * 2019-04-29 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming interconnect structures with selectively deposited pillars and structures formed thereby
US11024533B2 (en) 2019-05-16 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming interconnect structures using via holes filled with dielectric film
US11257755B2 (en) * 2020-06-15 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Metal loss prevention in conductive structures
CN113013090B (zh) * 2021-02-07 2022-06-24 长鑫存储技术有限公司 半导体结构的熔断填充方法及半导体结构
US20220390833A1 (en) * 2021-06-03 2022-12-08 Viavi Solutions Inc. Method of replicating a microstructure pattern

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08191104A (ja) * 1995-01-11 1996-07-23 Hitachi Ltd 半導体集積回路装置およびその製造方法
FR2748601B1 (fr) * 1996-05-07 1998-07-24 Sgs Thomson Microelectronics Procede de formation d'interconnexions dans un circuit integre
US5886410A (en) * 1996-06-26 1999-03-23 Intel Corporation Interconnect structure with hard mask and low dielectric constant materials
US6025226A (en) * 1998-01-15 2000-02-15 International Business Machines Corporation Method of forming a capacitor and a capacitor formed using the method
JP2000077625A (ja) * 1998-08-31 2000-03-14 Hitachi Ltd 半導体集積回路装置の製造方法
JP2000156480A (ja) * 1998-09-03 2000-06-06 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6649515B2 (en) 1998-09-30 2003-11-18 Intel Corporation Photoimageable material patterning techniques useful in fabricating conductive lines in circuit structures
US6406995B1 (en) 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
US20030089992A1 (en) 1998-10-01 2003-05-15 Sudha Rathi Silicon carbide deposition for use as a barrier layer and an etch stop
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
IL147301A0 (en) * 1999-06-30 2002-08-14 Intel Corp Method of projecting an underlying wiring layer during dual damascene processing
US6159818A (en) * 1999-09-02 2000-12-12 Micron Technology, Inc. Method of forming a container capacitor structure
JP4858895B2 (ja) * 2000-07-21 2012-01-18 富士通セミコンダクター株式会社 半導体装置の製造方法
US6821896B1 (en) * 2001-05-31 2004-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method to eliminate via poison effect
JP2002373937A (ja) 2001-06-15 2002-12-26 Fujitsu Ltd 半導体装置及びその製造方法
JP3745257B2 (ja) * 2001-08-17 2006-02-15 キヤノン販売株式会社 半導体装置及びその製造方法
JP2003092349A (ja) * 2001-09-18 2003-03-28 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2003092287A (ja) 2001-09-19 2003-03-28 Nec Corp アッシング方法
JP2003124307A (ja) 2001-10-15 2003-04-25 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2003163265A (ja) * 2001-11-27 2003-06-06 Nec Corp 配線構造およびその製造方法
US6605874B2 (en) * 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
JP2003309172A (ja) * 2002-04-17 2003-10-31 Nec Electronics Corp デュアルダマシンプロセスにおけるパターン形成方法
JP2003332426A (ja) 2002-05-17 2003-11-21 Renesas Technology Corp 半導体装置の製造方法および半導体装置
JP4040363B2 (ja) 2002-05-20 2008-01-30 富士通株式会社 半導体装置
US6617690B1 (en) 2002-08-14 2003-09-09 Ibm Corporation Interconnect structures containing stress adjustment cap layer
JP2004119698A (ja) * 2002-09-26 2004-04-15 Seiko Epson Corp 半導体装置およびその製造方法
TW582084B (en) * 2002-10-03 2004-04-01 Taiwan Semiconductor Mfg Method for manufacturing capacitor by combining dual damascene process and structure thereof
JP2004153015A (ja) * 2002-10-30 2004-05-27 Fujitsu Ltd 半導体装置及びその製造方法
DE10250889B4 (de) * 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US6709918B1 (en) * 2002-12-02 2004-03-23 Chartered Semiconductor Manufacturing Ltd. Method for making a metal-insulator-metal (MIM) capacitor and metal resistor for a copper back-end-of-line (BEOL) technology
JP3898133B2 (ja) 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
DE10301243B4 (de) * 2003-01-15 2009-04-16 Infineon Technologies Ag Verfahren zum Herstellen einer integrierten Schaltungsanordnung, insbesondere mit Kondensatoranordnung
JP3683570B2 (ja) * 2003-02-19 2005-08-17 松下電器産業株式会社 半導体装置の製造方法
JP4454242B2 (ja) 2003-03-25 2010-04-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US7021320B2 (en) * 2003-04-11 2006-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of removing a via fence
US20040222527A1 (en) * 2003-05-06 2004-11-11 Dostalik William W. Dual damascene pattern liner
US6977218B2 (en) 2003-07-17 2005-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating copper interconnects
US7052990B2 (en) 2003-09-03 2006-05-30 Infineon Technologies Ag Sealed pores in low-k material damascene conductive structures
US7345350B2 (en) * 2003-09-23 2008-03-18 Micron Technology, Inc. Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias
JP2005142369A (ja) 2003-11-06 2005-06-02 Renesas Technology Corp 半導体装置の製造方法
KR100534103B1 (ko) * 2004-01-14 2005-12-06 삼성전자주식회사 초임계 유체를 이용한 미세 전자소자의 제조 방법
US7015133B2 (en) 2004-04-14 2006-03-21 Taiwan Semiconductor Manufacturing Company Dual damascene structure formed of low-k dielectric materials
TWI267116B (en) * 2004-07-14 2006-11-21 Taiwan Semiconductor Mfg Method of preventing photoresist poisoning of a low-dielectric-constant insulator
US7605033B2 (en) * 2004-09-01 2009-10-20 Micron Technology, Inc. Low resistance peripheral local interconnect contacts with selective wet strip of titanium
US7253121B2 (en) * 2004-09-09 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming IMD films
JP4492949B2 (ja) * 2004-11-01 2010-06-30 ルネサスエレクトロニクス株式会社 電子デバイスの製造方法
TWI254986B (en) * 2004-12-29 2006-05-11 United Microelectronics Corp Method for fabricating a dual damascene and polymer removal
KR100989083B1 (ko) * 2005-07-04 2010-10-25 후지쯔 세미컨덕터 가부시키가이샤 반도체 장치 및 그 제조 방법
JP5096669B2 (ja) * 2005-07-06 2012-12-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR100632467B1 (ko) * 2005-08-12 2006-10-09 삼성전자주식회사 반도체 메모리 소자 및 그 제조 방법
US7964470B2 (en) * 2006-03-01 2011-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Flexible processing method for metal-insulator-metal capacitor formation

Also Published As

Publication number Publication date
US11062938B2 (en) 2021-07-13
US8518821B2 (en) 2013-08-27
KR20070005519A (ko) 2007-01-10
US20120248613A1 (en) 2012-10-04
US20150228579A1 (en) 2015-08-13
KR20110082500A (ko) 2011-07-19
US20200211897A1 (en) 2020-07-02
US8581415B2 (en) 2013-11-12
US9391022B2 (en) 2016-07-12
US8704373B2 (en) 2014-04-22
TW200945495A (en) 2009-11-01
US7354855B2 (en) 2008-04-08
KR101344146B1 (ko) 2013-12-23
TWI525747B (zh) 2016-03-11
US7629251B2 (en) 2009-12-08
US7932606B2 (en) 2011-04-26
CN101656229B (zh) 2012-02-01
TW200705602A (en) 2007-02-01
TWI385757B (zh) 2013-02-11
US7557034B2 (en) 2009-07-07
JP5096669B2 (ja) 2012-12-12
US9899316B2 (en) 2018-02-20
US11600522B2 (en) 2023-03-07
US20140199831A1 (en) 2014-07-17
US20120252205A1 (en) 2012-10-04
TW201322372A (zh) 2013-06-01
US8487412B2 (en) 2013-07-16
TWI389254B (zh) 2013-03-11
KR101328862B1 (ko) 2013-11-13
CN1893020A (zh) 2007-01-10
US20080286964A1 (en) 2008-11-20
US20210296165A1 (en) 2021-09-23
US10141257B2 (en) 2018-11-27
US20080254616A1 (en) 2008-10-16
US20190088537A1 (en) 2019-03-21
US20080211108A1 (en) 2008-09-04
US20230187275A1 (en) 2023-06-15
US10796953B2 (en) 2020-10-06
CN101656229A (zh) 2010-02-24
US20110183513A1 (en) 2011-07-28
US20140038409A1 (en) 2014-02-06
US20200411370A1 (en) 2020-12-31
US10600683B2 (en) 2020-03-24
US20170271259A1 (en) 2017-09-21
US20070020829A1 (en) 2007-01-25
JP2007019187A (ja) 2007-01-25
US20160293542A1 (en) 2016-10-06

Similar Documents

Publication Publication Date Title
CN100559565C (zh) 半导体器件及其制造方法
US8686538B2 (en) Semiconductor device with a fuse formed by a damascene technique and a method of manufacturing the same
KR100812731B1 (ko) 조화된 응력을 갖는 상호 접속물들 및 그의 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: RENESAS ELECTRONICS CORPORATION

Free format text: FORMER OWNER: RENESAS TECHNOLOGY CORP.

Effective date: 20100907

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: TOKYO TO, JAPAN TO: KANAGAWA, JAPAN

TR01 Transfer of patent right

Effective date of registration: 20100907

Address after: Kanagawa

Patentee after: Renesas Electronics Corporation

Address before: Tokyo, Japan, Japan

Patentee before: Renesas Technology Corp.

CP02 Change in the address of a patent holder
CP02 Change in the address of a patent holder

Address after: Tokyo, Japan, Japan

Patentee after: Renesas Electronics Corporation

Address before: Kanagawa

Patentee before: Renesas Electronics Corporation