JP2006500472A - 物理的気相堆積システムにおけるアニール方法及び装置 - Google Patents

物理的気相堆積システムにおけるアニール方法及び装置 Download PDF

Info

Publication number
JP2006500472A
JP2006500472A JP2003578610A JP2003578610A JP2006500472A JP 2006500472 A JP2006500472 A JP 2006500472A JP 2003578610 A JP2003578610 A JP 2003578610A JP 2003578610 A JP2003578610 A JP 2003578610A JP 2006500472 A JP2006500472 A JP 2006500472A
Authority
JP
Japan
Prior art keywords
substrate
chamber
annealing
temperature
disposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2003578610A
Other languages
English (en)
Inventor
サン−ホー ユー,
ヨンワー チャ,
キ ユーン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006500472A publication Critical patent/JP2006500472A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

処理チャンバに配置された材料をアニールしてケイ化物層を形成するための方法及び装置が提供される。1つの態様において、シリコン材料が配置された基板を、チャンバ内の基板支持体上に配置するステップと、少なくともシリコン材料上に金属層を形成するステップと、上記基板をその場でアニールして、金属ケイ化物層を生成するステップとを備えた基板面を処理する方法が提供される。別の態様において、この方法は、ロードロックチャンバと、該ロードロックチャンバに結合された中間基板移送領域であって、第1基板移送チャンバ及び第2基板移送チャンバで構成される中間基板移送領域と、上記第1基板移送チャンバに配置された物理的気相堆積処理チャンバと、上記第2基板移送チャンバに配置されたアニールチャンバとを備えた装置において実行される。

Description

発明の背景
発明の分野
本発明は、半導体デバイスの製造に関し、半導体基板上に材料を堆積してアニールすることに関する。
関連技術の説明
半導体基板における超大規模集積(ULSI)回路の最近の改良は、半導体デバイスの将来の世代がサブクオータミクロンの多レベル金属化を必要とするであろうことを示している。この技術の中心をなす多レベル相互接続は、高アスペクト比のアパーチャーに形成された相互接続特徴部であってコンタクト、ビア、ライン及び他の特徴部を含むような相互接続特徴部の平坦化を必要とする。これらの相互接続特徴部を確実に形成することは、ULSIの成功にとって非常に重要であると共に、個々の基板及びダイにおいて回路密度及びクオリティを高めるための努力を継続するためにも非常に重要である。
ULSI回路は、金属酸化物半導体(MOS)デバイス、例えば、相補的金属酸化物半導体(CMOS)電界効果トランジスタ(FET)を含む。これらトランジスタは、ソース領域とドレイン領域との間に配置された半導体ゲートを含むことができる。集積回路構造体の形成、特に、ポリシリコンゲート電極を使用するMOSデバイスの形成においては、ポリシリコンゲート電極の上並びにシリコン基板のソース及びドレイン領域の上に金属ケイ化物層を設けて、ソース及びドレイン領域を金属相互接続部に電気的接続することにより、低い抵抗値を容易に得ると共にデバイスの性能を改善することが習慣となっている。
CMOS処理技術に現在使用されている1つの重要な処理技術は、チタン及びコバルトのような耐火金属の自己整合ケイ化作用(Self-Aligned Salicidation)(サリサイド(salicide))である。例えば、コバルト(Co)を使用するサリサイドプロセスでは、高導電率のオーバー層を形成することによりソース及びドレイン並びにポリシリコンゲート抵抗が減少されると共に、ソース及びドレインとその後に形成される金属相互接続部との有効接触面積を増加することにより接触抵抗が減少される。サリサイド処理技術とは、パターン化されたシリコン基板に堆積されたコバルトのような耐火金属は、特定の処理条件のもとで露出シリコンと選択的に反応するが、酸化シリコン材料とは反応しないという原理を利用しようとするものである。
例えば、通常基板表面にパターン化されたシリコンにコバルトの層がスパッタリングされ、次いで、熱アニールプロセスを受けて、ケイ化コバルト(CoSi)を形成する。パターン化されたシリコンの外側又は酸化シリコンの保護層に堆積されたコバルトのような未反応コバルトは、その後、選択的にエッチング除去することができる。ケイ化コバルトの選択的反応は、基板表面に形成されたソース、ドレイン及びポリシリコンゲート領域に低抵抗率耐火金属ケイ化物をマスクレスで自己整列形成すると共に、半導体デバイスの相互接続導体を生じさせる。エッチングプロセスの後に、更に別の基板処理が行われ、例えば、ケイ化物材料のシート抵抗を更に減少するのに使用される付加的な熱アニーリングが行われる。
しかしながら、ケイ化コバルトプロセスを従来の製造装置に一体化することは困難である。ケイ化コバルトプロセスを実行する現在の処理システムは、堆積及びアニールプロセス段階用の個別チャンバ間で基板を移送することを必要とする。チャンバ間の移送は、基板を汚染物に露出させ、基板表面に堆積されたシリコン又はコバルトの潜在的な酸化を招く。
基板の表面に酸化物が形成されると、ケイ化物層の抵抗が増加すると共に、回路全体の信頼性が低下し得る。例えば、堆積したコバルト材料が酸化すると、コバルトが凝集したり、ケイ化物層が不規則に成長したりする。コバルト層の凝集や不規則な成長は、ソース及びドレイン電極が異なる厚みや表面積を有するといったデバイス不良を引き起こす。更に、基板表面においてケイ化コバルトが過剰に成長すると、デバイス間に導電性経路が形成され、短絡やデバイスの故障を招く。
コバルト及びシリコンの汚染を制限する1つの解決策は、チャンバ間で基板を移送する前にコバルト及びシリコン膜にチタン又は窒化チタンのキャップ膜をスパッタリングすることである。このキャップ膜は、基板をアニールした後であって基板を更に処理する前に除去される。しかしながら、窒化チタンの堆積及び除去プロセスを追加すると、ケイ化物生成に必要な処理段階の数が増加し、従って、処理効率が低下し、処理の複雑さが増大し、しかも、基板のスループットが低下する。
それ故、処理の複雑さを低減し且つ処理効率及びスループットを改善しながら基板にケイ化物材料を形成するための方法及び装置が要望される。
発明の概要
ここに述べる本発明の実施形態は、一般に、堆積及びアニールプロセスを使用して金属ケイ化物層を形成するための方法及び装置を提供する。1つの態様において、ロードロックチャンバと、該ロードロックチャンバに結合された中間基板移送領域であって、第1基板移送チャンバ及び第2基板移送チャンバで構成され、上記第1基板移送チャンバが上記ロードロックチャンバに結合され、上記第2基板移送チャンバが上記第1基板移送チャンバに結合されるような中間基板移送領域と、上記第1基板移送チャンバに配置された物理的気相堆積(PVD)処理チャンバと、上記第2基板移送チャンバに配置されたアニールチャンバとを備えた基板処理システムが提供される。
他の態様において、シリコン材料が配置された基板を、金属ターゲットが設けられた堆積チャンバに配置された基板支持体上に配置するステップと、上記基板支持体に電流を付与して上記基板を第1温度に加熱するステップと、上記堆積チャンバに不活性ガスを導入するステップと、上記不活性ガスの環境中で金属ターゲットと上記基板支持体との間にバイアスを印加することによりプラズマを発生して、上記金属ターゲットから材料をスパッタリングするステップと、上記スパッタリングされた材料を少なくとも上記シリコン材料上に堆積するステップと、上記基板ペデスタルと上記基板との間にバックサイドガスを供給するステップと、上記第1温度より高い第2温度において上記基板をその場でアニールして、金属ケイ化物層を生成するステップとを備えた基板にケイ化物層を生成する方法が提供される。
別の態様において、シリコン材料が配置された基板をロードロックに導入するステップと、物理的気相堆積処理チャンバが配置された第1移送チャンバに上記基板を移送するステップであって、上記第1移送チャンバは上記ロードロックに結合され、上記堆積チャンバには金属ターゲット及び加熱ペデスタルが配置されるようなステップと、上記基板を上記物理的気相堆積チャンバに配置するステップと、上記シリコン材料上に金属層を堆積するステップと、アニールチャンバが配置された第2移送チャンバへ上記基板を移送する前に上記基板をアニールするステップであって、上記第2移送チャンバが上記第1移送チャンバに結合されるようなステップと、上記アニールチャンバにおいて上記基板をアニールして金属ケイ化物層を生成するステップとを備えた基板処理方法が提供される。
本発明の上記態様が達成され且つ詳細に理解できるように、上記で簡単に要約した本発明を、添付図面に示されたその実施形態を参照して詳細に説明する。
しかしながら、添付図面は、本発明の典型的な実施形態を示すものに過ぎず、それ故、本発明の範囲を何ら限定するものではなく、本発明は、他の等しく有効な実施形態も受け入れることに注意されたい。
好ましい実施形態の詳細な説明
ここに述べる本発明の実施形態は、堆積チャンバ又は基板処理システムにおいて金属ケイ化物層を形成するための方法及び装置を提供する。物理的気相堆積(PVD)プロセスを参照して以下に述べる1つの実施形態は、本発明を例示するためのもので、本発明の範囲を限定するものと解釈すべきではない。本発明の態様は、金属ケイ化物層を形成するためにアニールが望まれる化学的気相堆積のような他のプロセスにも効果的に使用できる。
図1は、ここに述べる金属堆積及びアニールプロセスの少なくとも1つの実施形態を遂行するのに適した一体化された多チャンバ基板処理システムの概略上面図である。堆積及びアニールプロセスは、PVDチャンバが配置された多チャンバ処理システム又はクラスターツールにおいて実行することができる。効果的に使用できる1つの処理プラットホームは、カリフォルニア州サンタクララに所在するアプライド・マテリアルズ・インクから商業的に入手できるEndura(登録商標)処理プラットホームである。
処理プラットホーム35は、通常、2つの移送チャンバ48、50と、少なくとも1つの長射程の物理的気相堆積(PVD)チャンバ36と、付加的な処理チャンバ38及び40と、アニールチャンバ41とを含む一群のプロセスチャンバを備えている。一般に、アニールチャンバ41及びPVDチャンバ36は、異なる真空圧力で動作される別々の移送チャンバに配置される。チャンバ38及び40は、オペレータが希望する他の材料を堆積するためのPVDチャンバ又は化学気相堆積(CVD)チャンバを含む。オペレータが希望する構成に基づき移送チャンバ48又は50においてアニールチャンバ41として、真空圧力で基板をアニールすることのできる急速加熱アニール(RTA)チャンバが使用されてもよい。
処理プラットホーム35は、更に、汚染物を除去するためのアプライド・マテリアルズから入手できるプレクリーンIIチャンバのような1つ以上の前清掃チャンバ42と、2つの脱ガスチャンバ44と、2つのロードロックチャンバ46とを備えている。処理プラットホーム35は、通常、移送チャンバ48、50に各々配置された移送ロボット49、51と、これら移送チャンバ48、50を分離する2つの冷却又は予熱チャンバ52とを備えている。処理プラットホーム35は、マイクロプロセッサコントローラ54をプログラミングすることにより自動化される。又、処理プラットホーム35の第1移送チャンバ48にRTAチャンバ(図示せず)を配置して、堆積後アニールプロセスを行った後に、基板をプラットホーム35から取り出すようにしてもよい。図示されていないが、複数の真空ポンプが各移送チャンバ及び各処理チャンバと流体連通状態に配置され、各チャンバ内の圧力を独立して調整する。これらポンプは、ロードロックチャンバから処理チャンバへと装置を横切って圧力の増加する真空勾配を確立する。
図2は、ここに述べる金属堆積及びアニールプロセスの少なくとも1つの実施形態を遂行するのに適した一体化された多チャンバ基板処理システムの別の実施形態の概略上面図である。この実施形態において、2つのPVD堆積チャンバが、2つの脱ガスチャンバ44及び2つのロードロックチャンバ46と共に第1移送チャンバ48に配置される。PVD堆積チャンバの1つは、真空アニールチャンバ、又はプレクリーンIIチャンバのような前清掃チャンバ42で構成される。第2の移送チャンバ50には、2つのアニールチャンバ41が配置される。第1移送チャンバ48の動作圧力は、一般に、第2移送チャンバ50より低い。というのは、第1移送チャンバ48では高真空のPVDプロセスが実行され、一方、第2移送チャンバ50では大気圧アニールプロセスのような高圧力プロセスが実行されるからである。
図3は、長射程の物理的気相堆積チャンバの一実施形態を示す。適当な長射程のPVDチャンバの一例は、カリフォルニア州サンタクララのアプライド・マテリアルズ・インクから商業的に入手できるALPS plus(登録商標)及びSIP(登録商標)PVD処理チャンバである。
一般に、長射程のPVDチャンバ36は、ターゲット142のようなスパッタリングソースと、半導体基板154を受け入れるための基板支持ペデスタル152とを収容し、このペデスタルは、図示されたようなチャンバ壁であるか又は接地シールドである接地エンクロージャー壁150内に配置される。
チャンバ36は、誘電体アイソレータ146を介して接地導電性アルミニウムアダプタ144に支持され、Oリングによりシールされる。ターゲット142は、金属性コバルト表面層と、それより作用性の高い金属のバックプレートとの接合された複合体である。ターゲット142は、スパッタリング中に基板表面に堆積されるべき材料で構成される。ターゲットは、例えば、金属ケイ化物層を形成するのに使用されるコバルト、チタン、タンタル、タングステン、モリブデン、白金、ニッケル、鉄、ニオブ、パラジウム及びその組合せを含む材料を含む。例えば、コバルト元素、ニッケルコバルト合金、又はニッケル鉄合金で構成されたターゲットを、ターゲット142として使用することができる。
制御可能なDC電源148は、負の電圧又はバイアスをターゲット142に印加し、通常、約0Vから約2400Vをターゲット142に印加して、ガスをプラズマ状態に励起する。次いで、アダプタ144がアルミニウムのチャンバ側壁150にシールされ接地される。プラズマからのイオンがターゲット142に衝撃して、その下に配置された基板154へと原子及び大きな粒子をスパッタする。供給電力は電圧で表わされるが、キロワット又は電力密度(W/cm)として表わされてもよい。チャンバに供給される電力量は、スパッタリングの量と、処理される基板のサイズとに基づいて変化させることができる。
ペデスタル152は、スパッタ被覆されるべき基板154を、ターゲット142の主面に平面対向して支持する。基板支持ペデスタル152は、ターゲット142のスパッタ面にほぼ平行に配置された平らな基板受け入れ面を有する。運転に際し、基板154が基板支持ペデスタル152に配置され、チャンバ36にプラズマが発生される。少なくとも約90mmの長い射程距離がターゲット142と基板を分離する。基板支持ペデスタル152及びターゲット142は、200mmの基板の場合に約100mmから約300mmの距離だけ分離される。基板支持ペデスタル152及びターゲット142は、300mmの基板の場合に約150mmから約400mmの距離だけ分離される。基板とターゲットとの間の分離が基板直径の50%より大きい場合に、長射程の処理チャンバとみなす。
RF電源156は、ある用途では、基板154に負のDC自己バイアスを誘起するためにペデスタル電極152に接続されるが、他の用途では、ペデスタル152が接地されるか又は電気的に浮動に保たれる。DC電源148又は別の電源を使用して、例えば、約0Vから約500Vの負のバイアスを基板支持ペデスタル152に印加してもよい。ペデスタル152は、チャンバ下壁160に結合されたベロー158を介して垂直方向に移動可能であり、チャンバ下部のロードロックバルブ(図示せず)により基板154をペデスタル152に移送した後に、堆積位置へ持ち上げることができる。
処理ガスは、ガスソース162からマスフローコントローラ164を経てチャンバの下部へ供給される。下部チャンバのポンピングポート168を経て接続される真空ポンピングシステム166は、10−6トル未満の基本的圧力にチャンバを維持することができるが、チャンバ内の処理圧力は、通常、コバルトスパッタリングの場合、0.2から2ミリトル、好ましくは、1ミリトル未満に維持される。処理ガスは、非反応性又は不活性種、例えば、アルゴン(Ar)、キセノン(Xe)、ヘリウム(He)、又はその組合せを含む。
ターゲット142の後部には、回転可能なマグネトロン170が配置され、これは、ベースプレート174に支持された複数の蹄鉄形磁石172を含み、ベースプレート174は、チャンバ140及び基板154の中心軸に一致する回転シャフト176に結合される。蹄鉄形磁石172は、通常、腎臓の形状を有する、閉じたパターンに配置される。それらは、チャンバ内においてターゲット142の前面にほぼ平行に且つそれに接近して磁界を発生して、電子を捕獲し、ひいては、局部的なプラズマ密度を高め、これにより、スパッタリング率を高める。磁石172は、ターゲット142をより均一にスパッタして基板154を被覆するために回転される。
本発明のチャンバ36は、接地された下部シールド180を備え、この下部シールドは、図4の分解断面図に明確に示されたように、その上部フランジ182がアダプタ144の張出部184に支持され且つそれに電気的に接続されている。下部シールド180のフランジ182にはダークスペースシールド186が支持され、このダークスペースシールド186の上面に凹設されるスクリュー(図示せず)が、これとフランジ182を、それらスクリューを受け入れるタップ穴を有するアダプタ張出部184に固定する。この金属ねじ込み結合は、2つのシールド180、186をアダプタ144に接地する。両シールド180、186は、通常、硬質の非磁性ステンレススチールから形成される。ダークスペースシールド186は、ターゲット142の環状側部くぼみに密接に嵌合する上部を有し、ダークスペースシールド186とターゲット142との間には狭いギャップ188があり、これは、プラズマの貫通を防止するに充分なほど狭く、ひいては、セラミックアイソレータ146に金属層がスパッタ被覆されてターゲット142を電気的に短絡するのを防止するに充分なほど狭い。又、ダークスペースシールド186は、下方に突出したチップ190も含み、これは、下部シールド180とダークスペースシールド186との間の界面がスパッタ堆積した金属により接合されるのを防止する。
全体を示した図3に戻ると、下部シールド180は、第1直径のほぼ管状の上部194と、それより小さな第2直径のほぼ管状の下部196とにおいて下方に延びて、アダプタ144及びチャンバ本体150の壁にほぼ沿ってペデスタル152の上面より下へと延びている。又、半径方向に延びる下部198を含むボウル上の底部と、ペデスタル152のすぐ外側の上方に延びる内部100も有している。カバーリング102は、ペデスタル152がその下方のロード位置にあるときには下部シールド180の上方に延びた内部100の頂部にのせられるが、ペデスタル152がその上方の堆積位置にあるときにはペデスタル152の外周にのせられ、ペデスタル152がスパッタ堆積されるのを防止する。付加的な堆積リング(図示せず)を使用して、基板154の周囲が堆積されないようシールドしてもよい。
又、チャンバ36は、より方向性をもって材料を基板にスパッタリングするようにしてもよい。1つの態様においては、この方向性スパッタリングは、ターゲット142と基板支持ペデスタル152との間にコリメータ110を配置して、基板154に対し堆積材料の均一且つ対称的なフラックスを与えることにより達成される。
金属性リングコリメータ110が下部シールドの張出部106にのせられ、コリメータ110を接地する。このリングコリメータ110は、図5の平面図に良く示されたように、クロス支柱118、120によりリンクされた3つの同心的管状区分112、114、116を備えている。外側の管状区分116は、下部シールド180の張出部106にのせられる。下部シールド180を使用してコリメータ110を支持することは、チャンバの設計及び保守を簡単化する。少なくとも2つの内部管状区分112、114は、スパッタされた粒子を部分的にコリメートする高アスペクト比のアパーチャーを画成するに充分な長さである。更に、コリメータ110の上面は、バイアスされたターゲット142に対向する接地平面として働き、特に、プラズマ電子を基板154から離しておく。
本発明に使用できるコリメータの別の形式は、図6の平面図に部分的に示されたハニカムコリメータ124であり、これは、六角形のアパーチャー128を分離している六角形の壁126が密接に詰め込み配列されたメッシュ構造を有している。ハニカムコリメータ124の利点は、コリメータ124の厚みを、必要に応じて、その中心から周囲へと通常は凸形状に変化させて、アパーチャー128のアスペクト比もコリメータ124にわたって同様に変化させられることである。コリメータは、1つ以上の凸状の側部を有してもよい。これは、スパッタのフラックス密度を基板にわたって調整し、堆積の均一性を高めることができる。PVDチャンバに使用できるコリメータは、ここに述べる本発明の態様及び特許請求の範囲と矛盾しない程度に参考としてここに援用する1997年7月22日付の米国特許第5,650,052号に開示されている。
図7A及び図7Bを参照すれば、基板支持ペデスタル152の実施形態は、電源に電気的に接続された抵抗ヒータにより加熱することもできるし、流体導体接続された流体源、即ち液体熱交換器を通る熱媒体により冷却することもできる。基板支持ペデスタル152の実施形態を以下に説明するが、これは、例示のためのものであり、本発明の範囲をこれに限定するものではない。
基板支持ペデスタル152の一実施形態が図7Aに示されている。この基板支持ペデスタル152は、高温高真空アニールプロセスに使用するのに適している。一般に、この基板支持ペデスタル152は、シャフト245に結合されたベース240に配置された加熱部分210を備えている。
加熱部分210は、一般に、熱伝導性材料220及び基板支持面275に配置された加熱素子250を備えている。熱伝導性材料220は、加熱素子250と基板支持面275との間に効率的な熱伝達を与えるように動作温度において充分な熱コンダクタンスを有するものであれば、いかなる材料でもよい。伝導性材料の一例は、スチールである。基板支持面275は、誘電体材料を含んでもよく、通常、そこに配置される基板280に対して実質的に平らな受け入れ面を含む。
加熱素子250は、伝導性材料220内に埋設されたリードを有する導電性ワイヤのような抵抗加熱素子であり、伝導性材料220に電気を通流する電気回路を完成するように設けられる。加熱素子250は、例えば、熱伝導性材料220に配置された個別の加熱コイルを含む。電気ワイヤは、電圧ソース(図示せず)を電気抵抗性加熱コイルの端に接続して、コイルを加熱するに充分なエネルギーを供給する。コイルは、基板支持ペデスタル152の領域をカバーするいかなる形状のものでもよい。もし必要であれば、付加的な加熱容量を与えるために2つ以上のコイルを使用してもよい。
本体は、加熱部分の支持体を形成し、そこには流体チャンネル290が配置される。流体チャンネル290は、一般に、加熱部分210の表面に結合され、基板支持ペデスタル152を加熱又は冷却することができる。加熱素子250と、流体チャンネル290とを組み合せると、一般に、基板支持ペデスタル152の表面の温度制御が達成される。
流体チャンネル290は、遠隔位置の流体ソース(図示せず)から流体を循環するための流体入口及び出口を有する同心リング又は一連のリング或いは他の希望の構成を含むものでよい。流体チャンネル290は、基板支持ペデスタル152のシャフト245に形成された流体通路292により流体ソース294に接続される。
加熱素子250は、基板支持ペデスタル上の基板を約900℃まで加熱することができ、一方、流体チャンネルは、基板を約0℃の温度に冷却することができる。加熱素子250と流体チャンネル290との組み合せは、一般に、基板支持ペデスタル152に使用される材料の特性と、チャンバ36内で基板を処理するのに使用されるプロセスパラメータとに基づいて、基板280の温度を約10℃と約900℃との間で制御するのに使用される。
サーモカップルのような温度センサ260を、例えば、加熱部分210の付近で基板支持ペデスタル152に取り付け又は埋設し、従来のやり方で温度を監視することができる。例えば、測定された温度をフィードバックループに使用して、電源から抵抗ヒータに供給される電流を制御し、基板の温度を希望の温度又は希望の温度範囲内に維持又は制御することができる。制御ユニット(図示せず)を使用して、温度センサから信号を受け取り、それに応答して加熱電源又は流体ソースを制御することもできる。
加熱及び冷却要素の電源及び流体供給源は、一般に、チャンバ36の外部に配置される。例えば、各抵抗性ヒータは、基板支持ペデスタル152のベース240及びシャフト245に形成されたユーティリティ通路(図示せず)を経て配置されたワイヤにより電圧ソースを経て連絡し、チャンバ36の外部に位置する電源のようなユーティリティソースに接続される。流体通路294を含むユーティリティ通路は、基板支持ペデスタル152のベース240及びシャフト245に沿って軸方向に配置される。柔軟な保護シース295がシャフト245の周りに配置され、基板支持ペデスタル152からチャンバ壁(図示せず)へと延びて、基板支持ペデスタル152とチャンバの内部との間の汚染を防止する。
基板支持ペデスタル152は、更に、加熱部分210の基板受け入れ面275をバックサイドガスソース(図示せず)に流体接続するガスチャンネル(図示せず)を含む。流体チャンネル270は、加熱部分と基板280との間の熱伝達ガス又はマスキングガスのバックサイドガス通過制御通路を画成する。
チャンバに配置される支持ペデスタルは、堆積中に基板を支持するための静電チャックを備えている。支持ペデスタルとして使用することのできる適当な静電チャックは、カリフォルニア州サンタクララのアプライド・マテリアルズ・インクから入手できるMCA(登録商標)静電Eチャック又はパイロリティック・ボロン・ニトライド(Pyrolytic Boron Nitride)静電Eチャックを含む。
図7Bは、基板支持ペデスタル152の加熱部分に取り付けられるか又はそれを形成する静電チャック210を有する基板支持ペデスタル152の別の実施形態を示す。この静電チャック210は、電極230と、誘電体材料235が被覆された基板受け入れ面275とを含む。導電性ワイヤ(図示せず)が電極230を電圧ソース(図示せず)へ接続する。基板280は、誘電体材料235に接触配置され、直流電圧が電極230に印加されて、基板を把持するための静電吸引力を形成する。
一般に、電極230は、熱伝導性材料220において、そこに配置された加熱素子250と離間関係で配置される。加熱素子250は、一般に、熱伝導性材料220において電極230から垂直方向に離間されて平行に配置される。通常、電極は、加熱素子と基板受け入れ面275との間に配置されるが、他の構成を使用してもよい。又、静電チャック210の底部に配置された流体チャンネル290は、基板支持ペデスタル152の温度制御を達成するのに使用され、基板支持ペデスタルのベース240に形成された流体通路292により流体ソースに接続される。温度センサ260は、静電チャック210に取り付けられるか又は埋設され、温度を監視する。
静電チャック210は、更に、基板支持ペデスタル152に形成されたチャンネル270も含み、該チャンネルは、静電チャック210の基板受け入れ面275をバックサイドガスソース(図示せず)に流体接続する。流体チャンネル270は、静電チャック210と基板280との間の熱伝達ガス又はマスキングガスのバックサイドガス通過制御通路を画成する。
上述した基板支持ペデスタル152の実施形態は、高真空アニールチャンバを形成するのに使用される。高真空アニールチャンバは、上述した長射程チャンバ36のようなPVDチャンバ内に配置された基板支持ペデスタル152を含み、この場合、ブランクターゲットが配置されるか又はターゲットをもたず、且つターゲット又は基板支持ペデスタルにバイアスが接続されない。運転に際し、基板が基板支持ペデスタルに配置され、次いで、バックサイドガスが存在する状態又は存在しない状態で、基板が加熱素子250により希望の処理温度に加熱され、更に、基板をアニールして希望のアニール結果を得るに充分な時間処理され、次いで、チャンバから取り出される。
ここに述べる基板支持ペデスタル152の実施形態は、基板をアニールするのに使用できるが、急速熱アニール(RTA)チャンバのような商業的に入手できるアニールチャンバを使用して、基板をアニールし、ケイ化物膜を生成してもよい。本発明は、ホットプレート設計及び加熱ランプ設計を含む種々の熱アニールチャンバ設計を利用して、電気メッキの結果を向上させることも意図する。本発明にとって有用な1つの特定の熱アニールチャンバは、カリフォルニア州サンタクララに所在するアプライド・マテリアルズ・インクから入手できるWxZ(登録商標)チャンバである。本発明にとって有用な1つの特定のホットプレート熱アニールチャンバは、カリフォルニア州サンタクララに所在するアプライド・マテリアルズ・インクから入手できるRTP XEplus Centura(登録商標)熱処理チャンバである。1つの特定のランプアニールチャンバは、カリフォルニア州サンタクララに所在するアプライド・マテリアルズ・インクから入手できるRadiance(登録商標)熱処理チャンバである。
真空圧力で動作できるアニールチャンバをPVD移送チャンバ50に配置し、真空を中断せずに堆積後アニールを行うことができる。ほぼ大気圧で動作できるアニールチャンバを第1移送チャンバ48に配置することができる。図3に示す実施形態では、コバルトターゲットをもつPVD堆積チャンバが第1移送チャンバ48に配置され、ほぼ大気圧で動作できるアニールチャンバ41が第2移送チャンバ50に配置される。
金属ケイ化物プロセス
金属ケイ化物層の生成に使用される堆積及びアニール段階は、例えば、堆積チャンバ又は処理システムにおいて、真空を中断せずに、その場で行うことができる。その場でとは、ここでは、真空を中断せずに同じチャンバ又は同じ処理システムにおいて2つ以上のプロセスを実行するものとして広く定義される。例えば、その場でのアニールは、金属堆積と同じ処理チャンバにおいて実行することができる。
別の例では、その場でのアニールは、堆積チャンバに隣接したチャンバにおいて実行され、両チャンバは、ある移送チャンバに結合され、移送チャンバの真空は、処理中に中断されない。更に別の例では、その場でのアニールは、同じ処理システムにおいて別々の処理圧力で実行され、例えば、システム35の第1及び第2移送チャンバ48、50に各々配置された処理チャンバ及びアニールチャンバにおいて、システム35の真空を中断したり基板を別の処理システムへ移送したりせずに、基板が処理される。
以下、コバルト膜の堆積について説明するが、本発明は、チタン、タンタル、タングステン、モリブデン、白金、ニッケル、鉄、ニオブ、パラジウム及びその組合せを含む他の材料を使用して、ここに述べるように金属ケイ化物材料を生成することも意図する。
金属堆積
一実施形態では、チャンバ36に配置されたシリコン基板に金属層が堆積され、基板ペデスタル152においてアニールされ、真空を中断せずに金属ケイ化物層を生成する。金属ケイ化物層を生成するための金属は、上述したPVDチャンバ36を使用して堆積される。堆積されるべきコバルトのような材料のターゲット142は、チャンバ36の上部に配置される。基板154がチャンバ36に入れられ、基板支持ペデスタル152に配置される。基板154は、その上にシリコン材料が配置されて、金属ケイ化物膜が生成されるところの特徴部を画成するように一般的にパターン化される。
チャンバ38には、約5sccmから約30sccmの流量で処理ガスが導入される。チャンバの圧力は、従順なPVD金属層の堆積を促進するために約5ミリトル以下に維持される。堆積中には、約0.2ミリトルから約2ミリトルのチャンバ圧力を使用するのが好ましい。更に好ましくは、基板にコバルトをスパッタリングするには、約0.2ミリトルから約1.0ミリトルのチャンバ圧力で充分であることが観察されている。
約0ボルト(V)から約2400Vの電力レベルをターゲット142に印加することによりプラズマが発生される。例えば、200mm基板に材料をスパッタリングするには、約0Vから約1000Vの電力レベルがターゲット142に印加される。基板表面へのスパッタ材料の方向性を改善するには、約0Vから約500Vの電力レベルが基板支持ペデスタル152に印加される。基板は、堆積プロセス中に約10℃と約600℃との間の温度に維持される。
堆積プロセスは、例えば、アルゴンのような不活性ガスを、約5sccmから約30sccmの流量でチャンバへ導入し、チャンバの圧力を約0.2ミリトルから約1.0ミリトルに維持し、約0ボルトから約1000ボルトの負のバイアスをターゲット142に印加してガスをプラズマ状態に励起し、スパッタリングプロセス中に、基板を約10℃から約600℃、好ましくは、約50℃から約300℃、最も好ましくは、約50℃から約100℃の温度に維持し、更に、200mm基板の場合、ターゲットを基板表面から約100mmから約300mm離間させることを含む。このプロセスを使用して、コバルトが、約300Å/分から約2000Å/分の率でシリコン材料に堆積される。
一般的なその場でのアニールプロセス
コバルト及びシリコン層は、次いで、約300℃から約900℃の温度において約10秒間から約600秒間その場でアニールされて、金属ケイ化物層を生成する。このアニールプロセスは、堆積チャンバ内の不活性ガス環境のもとで、先ず、不活性ガスを約0sccm(即ちバックサイドガスなし)から約15sccmの流量でチャンバに導入し、チャンバの圧力を約2ミリトル以下に維持し、基板を約300℃から約900℃の温度に約5秒間から約600秒間加熱して金属ケイ化物層を生成することにより行われる。
堆積チャンバにおけるバックサイドガスを伴う堆積及びアニールプロセス
金属が200℃以下の基板温度で堆積され、次いで、バックサイドガス流を導入することにより約400℃以上の温度で基板支持ペデスタル152において迅速にアニールされる。堆積プロセスは、例えば、アルゴンのような不活性ガスを約5sccmから約30sccmの流量でチャンバへ導入し、チャンバの圧力を約0.2ミリトルから約1.0ミリトルに維持し、約0ボルトから約1000ボルトの負のバイアスをターゲット142に印加して、ガスをプラズマ状態へと励起し、基板を約200℃の温度に維持し、更に、200mm基板の場合にターゲットを基板表面から約100mmから約300mm離間させることを含む。
基板の温度は、バックサイドガスの不存在中で、基板を400℃以上の温度に通常加熱する加熱レベルで基板を加熱することにより、約200℃に維持される。この低温度制御は、真空圧力におけるペデスタルの表面と基板の裏面との間の非効率的な熱伝達により達成される。このプロセスを使用して、コバルトを約300Å/分から約2000Å/分の率でシリコン材料上に堆積することができる。
次いで、堆積チャンバにおいて、プラズマを終了し、バックサイドガスを基板支持体に付与して、堆積プロセスに使用した同じ加熱レベルで約400℃から約600℃の温度への基板の加熱を促進することにより、アニールプロセスを実行することができる。アニールプロセスは、約400℃から約600℃の温度において約5秒間から約300秒間行われる。基板は、500℃の堆積チャンバにおいて約60秒間から120秒間アニールされるのが好ましい。
2つのチャンバにおける低温堆積及び2段階のその場でのアニールプロセス
別の実施形態では、金属層がチャンバ36においてシリコン基板に物理的気相堆積され、第1温度で第1時間周期中アニールされ、システム35において第2チャンバ、例えば、チャンバ41へ移送され、更に、第2温度で第2時間周期中アニールされ、真空を中断せずに金属ケイ化物層を生成する。
金属の物理的気相堆積は、上述したように、約200℃以下の温度、好ましくは、約0℃から約100℃の温度で実行される。上述した2段階のその場でのアニールプロセスの第1段階は、堆積チャンバにおいて不活性ガス環境のもとで、先ず、不活性ガスを約0sccmから約15sccmの流量でチャンバに導入し、チャンバの圧力を約0ミリトルから約2ミリトルに維持し、更に、基板を約400℃から約600℃の温度に約5秒間から約300秒間加熱することにより実行される。基板は、堆積チャンバにおいて約500℃で約60秒間から約120秒間アニールされるのが好ましい。
次いで、基板は、堆積チャンバから取り出され、図2について上述した移送チャンバ48のような同じ移送チャンバに配置された真空アニールチャンバへ移送される。高真空アニールチャンバは、上述したブランクターゲット及び基板支持ペデスタル152、又は商業用の高真空アニールペデスタル、例えば、カリフォルニア州サンタクララのアプライド・マテリアルズ・インクから商業的に入手できる高温度高均一性HTHU(登録商標)基板支持体を有するPVDチャンバを含む。
次いで、約0ミリトルから約2ミリトルにチャンバ圧力を維持し、基板を約600℃から約900℃の温度に約5秒間から約300秒間の時間周期中加熱して、金属ケイ化物層を生成することにより、第2のアニール段階が実行される。基板は、アニールチャンバにおいて、800℃で約60秒間から120秒間アニールされるのが好ましい。
2つのチャンバにおける低温堆積及び2段階のアニールプロセス
2チャンバ堆積及びアニールプロセスの別の実施形態では、金属層が、ここに述べるプロセスに基づき、堆積チャンバにおいて約200℃以下、好ましくは、約0℃から約100℃で堆積される。次いで、基板は、堆積チャンバにおいて、上述したアニールプロセスに基づいてアニールされる。次いで、基板は、第2のアニールプロセスのために図2の移送チャンバ50に配置されたRTAチャンバへ移送される。
RTAアニールチャンバにおけるアニール動作は、窒素(N)、アルゴン(Ar)、ヘリウム(He)及びその組合せを約4%以下の水素(H)と共に含むプロセスガスを、20リッター/分より多いプロセスガス流量で導入して、酸素含有量を100ppm未満に制御し、ほぼ周囲圧力のチャンバ圧力を維持し、更に、基板を約600℃から約900℃の温度に約5秒間から約300秒間加熱して、金属ケイ化物層を生成することにより実行される。基板は、RTAアニールチャンバにおいて800℃で約30秒間アニールされるのが好ましい。
3つのチャンバにおける低温堆積及び2段階のアニールプロセス
別の実施形態では、金属層がチャンバ36においてシリコン基板上に堆積され、システム35の同じ移送チャンバ48に配置された真空アニールチャンバのような第1アニールチャンバへ移送され、第1温度で第1時間周期中アニールされ、システム35の第2アニールチャンバ、例えば、チャンバ41へ移送され、更に、第2温度で第2時間周期中アニールされて、真空を中断せずに金属ケイ化物層を生成する。
金属堆積は、堆積チャンバにおいて、上述したプロセスに基づき、約200℃以下の基板温度、好ましくは、約0℃から約100℃で実行される。アニールプロセスのこの実施形態の第1段階は、処理システムに配置された第1の高真空アニールチャンバにおいて、不活性ガスを0sccmから約15sccmの流量でアニールチャンバへ導入し、チャンバの圧力を約0ミリトルから約2ミリトルに維持し、更に、基板を約400℃から約600℃の温度に約5秒間から約300秒間加熱することにより、その場で実行される。
基板は、堆積チャンバにおいて、約500℃で約60秒間から約120秒間アニールされるのが好ましい。この第1アニール段階は、CoSiのような耐酸素膜を生成すると考えられる。
基板は、処理システムの第2の高真空アニールチャンバへ移送することによりその場でアニールされる。この第2のアニール段階は、チャンバの圧力を約2ミリトル以下に維持し、更に、基板を約600℃から約900℃の温度に約5秒間から約300秒間の時間周期中加熱して、金属ケイ化物層を生成することにより実行される。基板は、アニールチャンバにおいて、約800℃で約60秒間から約120秒間アニールされるのが好ましい。
或いは又、基板は、移送チャンバ又は処理システムの外部に配置された第2のアニールチャンバ、例えば、大気圧RTAチャンバへ移送されてもよい。RTAアニールチャンバにおけるアニール動作は、窒素(N)、アルゴン(Ar)、ヘリウム(He)及びその組合せを約4%以下の水素(H)と共に含むプロセスガスを、20リッター/分より多いプロセスガス流量で導入して、酸素含有量を100ppm未満に制御し、ほぼ周囲圧力のチャンバ圧力を維持し、更に、基板を約400℃から約900℃の温度に約5秒間から約300秒間加熱して、金属ケイ化物層を生成することにより実行される。基板は、RTAアニールチャンバにおいて800℃で約30秒間アニールされるのが好ましい。
高温堆積及びアニールプロセス
金属は、高い堆積温度で堆積することができる。堆積プロセスは、例えば、アルゴンのような不活性ガスを約5sccmから約30sccmの流量でチャンバへ導入し、チャンバの圧力を約0.2ミリトルから約1.0ミリトルに維持し、約0ボルトから約1000ボルトの負のバイアスをターゲット142に印加して、ガスをプラズマ状態へと励起し、バックサイドガスを付与することにより基板をほぼアニール温度、即ち約400℃から約600℃に維持し、更に、200mm基板の場合に基板表面からターゲットを約100mmから約300mm離間させることを含む。バックサイドガスの不存在中で基板を加熱することにより温度が約200℃に維持されてもよい。このプロセスを使用して、コバルトを約300Å/分から約2000Å/分の率でシリコン材料上に堆積することができる。
次いで、プラズマを終了させ、堆積プロセスに使用された同じ加熱レベルで基板を約400℃から600℃の温度に加熱することにより、堆積チャンバにおいてアニールプロセスを実行することができる。このアニールプロセスは、約400℃から約600℃の温度で約5秒間から約300秒間実行される。基板は、堆積チャンバにおいて、約500℃で約60秒間から約120秒間アニールされるのが好ましい。
次いで、第2のアニール段階が、真空を中断せずにアニールチャンバにおいて実行されるか、或いは個別の移送チャンバ又は処理システムに配置されたアニールチャンバにおいて実行される。第2のアニール段階は、基板を約600℃から約900℃の温度に約5秒間から約300秒間の時間周期中加熱して、金属ケイ化物層を生成することを含む。基板は、約800℃で約60秒間から約120秒間アニールされるのが好ましい。
コバルト、チタン、タンタル、タングステン、モリブデン、白金、ニッケル、鉄、ニオブ、及びパラジウムのケイ化物、並びにその組み合せを含む金属ケイ化物材料が、図8に示すMOSデバイスの形成に使用される。図示されたMOS構造では、N+ソース及びドレイン領域402及び404がP型シリコン基板400においてフィールド酸化物部分406の付近に形成される。ゲート酸化物層408及びポリシリコンゲート電極410が、ソース領域402とドレイン領域404との間でシリコン基板400上に形成され、ポリシリコンゲート電極410の側壁には酸化物スペーサ412が形成される。
MOS構造体の上、より詳細には、ソース及びドレイン領域402及び404の露出したシリコン表面、並びにポリシリコンゲート電極410の露出した上面に、ここに述べるプロセスによりコバルト層が堆積される。コバルト材料は、402及び404におけるその下のシリコンとのその後の反応に充分な量のコバルトを与えるために約1000Å以下の厚みに堆積される。コバルトは、シリコン材料上に約50Åから約500Åの厚みに堆積される。次いで、コバルト層がここに述べるようにその場でアニールされ、ケイ化コバルトを生成する。
未反応コバルトが基板表面から除去されて、ケイ化コバルトが、ポリシリコンゲート電極410の上並びにシリコン基板400のソース及びドレイン領域402及び404の上に各々形成された均一厚みのケイ化コバルト(CoSi)部分414、416及び418として残る。このようにして形成された構造体上に誘電体材料を堆積して、デバイスにコンタクト画成部420を形成するようにエッチングしてもよい。
以上、本発明の実施形態を説明したが、本発明の基本的な範囲から逸脱せずに本発明の他の実施形態及び更に別の実施形態が案出できるであろうから、本発明の範囲は、特許請求の範囲によって限定されるものとする。
半導体基板に従順なPVD層を堆積するのに適すると共に、その堆積された層をアニールするのに適した一体化された多チャンバ装置の一実施形態を示す概略上面図である。 半導体基板に従順なPVD層を堆積するのに適すると共に、その堆積された層をアニールするのに適した一体化された多チャンバ装置の別の実施形態を示す概略上面図である。 本発明に包含されるスパッタリングチャンバの一実施形態を示す断面図である。 ターゲット付近のシールドの上部エリアを含む図3の拡大図である。 リングコリメータの一実施形態を示す平面図である。 ハニカムコリメータの一実施形態を示す部分平面図である。 基板をアニールするためのペデスタルの一実施形態を示す断面図である。 基板をアニールするためのペデスタルの別の実施形態を示す断面図である。 トランジスタとのコンタクトとして使用されるケイ化物材料の簡単な断面図である。
符号の説明
35…処理プラットホーム、36…物理的気相堆積(PVD)チャンバ、38、40…付加的な処理チャンバ、41…アニールチャンバ、42…前清掃チャンバ、44…脱ガスチャンバ、46…ロードロックチャンバ、48、50…移送チャンバ、49、51…移送ロボット、54…マイクロプロセッサコントローラ、110…リングコリメータ、111、114、116…同心的管状区分、124…ハニカムコリメータ、142…ターゲット、144…アダプタ、146…誘電体アイソレータ、148…DC電源、152…基板支持ペデスタル、154…半導体基板、156…RF電源、158…ベロー、162…ガスソース、164…マスフローコントローラ、170…回転可能なマグネトロン、172…蹄鉄形磁石、174…ベースプレート、176…回転シャフト、180…下部シールド、182…上部フランジ、184…張出部、186…ダークスペースシールド、188…ギャップ、190…下方に突出したチップ、194、196…管状部分、210…加熱部分、220…熱伝導性材料、230…電極、240…ベース、245…シャフト、250…加熱素子、260…温度センサ、275…基板支持面、290…流体チャンネル、294…流体ソース。

Claims (36)

  1. ロードロックチャンバと、
    上記ロードロックチャンバに結合された中間基板移送領域であって、第1基板移送チャンバ及び第2基板移送チャンバで構成され、上記第1基板移送チャンバが上記ロードロックチャンバに結合され、上記第2基板移送チャンバが上記第1基板移送チャンバに結合されるような中間基板移送領域と、
    上記第1基板移送チャンバに配置された物理的気相堆積(PVD)処理チャンバと、
    上記第2基板移送チャンバに配置されたアニールチャンバと、
    を備えた基板処理システム。
  2. 上記中間基板移送領域及び各々の上記処理チャンバと連通する複数の真空ポンプを更に備え、該複数のポンプは、装置を横切って上記ロードロックチャンバから上記処理チャンバへ圧力の増加する真空勾配を確立する、請求項1に記載の装置。
  3. 上記第2移送チャンバは、上記第1基板移送チャンバよりチャンバ圧力が高い。請求項1に記載の装置。
  4. 上記PVD処理チャンバには、アニールペデスタルが配置されている、請求項1に記載の装置。
  5. 上記PVD処理チャンバは、コバルト、チタン、タンタル、タングステン、モリブデン、白金、ニッケル、鉄、ニオブ、パラジウム及びその組合せのグループから選択された材料のターゲットを有する、請求項1に記載の装置。
  6. 上記PVD処理チャンバには、コバルトターゲット及びアニールペデスタルが配置されている、請求項1に記載の装置。
  7. 上記アニールチャンバは、急速加熱アニールチャンバを含む、請求項1に記載の装置。
  8. 上記第1基板移送チャンバ、上記第2基板移送チャンバ又はその組合体に配置された化学的気相堆積チャンバを更に備えた、請求項1に記載の装置。
  9. 上記装置は、コバルトターゲット及びアニールペデスタルが配置された2つのPVD処理チャンバが上記第1移送領域に配置されると共に、2つのアニール処理チャンバが上記第2移送チャンバに配置され、上記第2移送チャンバは、上記第1移送チャンバより運転温度が高い、請求項1に記載の装置。
  10. 上記物理的気相堆積(PVD)処理チャンバは、
    スパッタリングソースを包囲するチャンバと、
    上記スパッタリングソースのスパッタリング面にほぼ平行に配置された基板支持部材であって、基板を受け入れるように構成されたほぼ平らな基板受け入れ面、上記基板支持部材に配置された電気抵抗加熱素子、及び流体供給源に接続されてコントローラにより調整される流体チャンネルを含むような基板支持部材と、
    上記スパッタリングソースと上記基板支持部材との間に取り付けられるコリメータと、
    を備えた、請求項1に記載の装置。
  11. 上記基板支持部材は、
    電極と、該電極上に配置されて基板を受け入れるように構成されたほぼ平らな基板受け入れ面を有する電気的アイソレータとを有する静電チャックと、
    上記静電チャックに配置された電気抵抗加熱素子と、
    流体供給源に接続されてコントローラにより調整される流体チャンネルと、
    を備えた、請求項10に記載の装置。
  12. 上記電気抵抗加熱素子を電圧源に接続するための導電性リードを更に備えた、請求項10に記載の装置。
  13. 上記基板支持部材に結合された少なくとも1つの温度センサを更に備えた、請求項12に記載の装置。
  14. 上記支持ペデスタルに配置されたチャンネルに結合されたガスソースを更に備え、上記チャンネルは、上記ガスソースを上記平らな基板受け入れ面に結合する、請求項12に記載の装置。
  15. 上記コリメータは、上面及び下面を有し、その少なくとも一方の面は、凸面である、請求項11に記載の装置。
  16. シリコン材料が配置された基板を、金属ターゲットが設けられた堆積チャンバに配置された基板支持体上に配置するステップと、
    上記基板支持体に電流を付与して上記基板を第1温度に加熱するステップと、
    上記堆積チャンバに不活性ガスを導入するステップと、
    上記不活性ガスの環境中で金属ターゲットと上記基板支持体との間にバイアスを印加することによりプラズマを発生して、上記金属ターゲットから材料をスパッタリングするステップと、
    上記スパッタリングされた材料を少なくとも上記シリコン材料に堆積するステップと、
    上記基板ペデスタルと上記基板との間にバックサイドガスを供給するステップと、
    上記第1温度より高い第2温度において上記基板をその場でアニールして、金属ケイ化物層を形成するステップと、
    を備えた基板にケイ化物層を形成する方法。
  17. 上記基板は、上記ターゲットから約90mmから約400mmのところに配置される、請求項16に記載の方法。
  18. 上記金属材料は、コバルト、チタン、タンタル、タングステン、モリブデン、白金、ニッケル、鉄、ニオブ、パラジウム及びその組合せのグループから選択される、請求項16に記載の方法。
  19. 上記スパッタリングされた材料をコリメートするステップを更に備えた、請求項16に記載の方法。
  20. 上記第1温度は、約200℃以下である、請求項16に記載の方法。
  21. 上記基板を第2温度においてその場でアニールする上記ステップは、上記基板支持体上で上記基板を約300℃から約900℃の温度でアニールする段階を含む、請求項16に記載の方法。
  22. 上記基板は、約10秒間から約600秒間アニールされる、請求項21に記載の方法。
  23. 上記基板をその場でアニールする上記ステップは、上記基板を上記堆積チャンバ内で第2温度において第1時間周期中アニールする段階と、上記基板をアニールチャンバへ移送する段階と、処理システム内で真空を中断せずに上記基板を上記第2温度より高い第3温度で第2時間周期中アニールする段階とを含む、請求項22に記載の方法。
  24. 上記第2温度は、約300℃から約500℃であり、上記第3温度は、約400℃から約900℃である、請求項23に記載の方法。
  25. 上記第1時間周期は、約5秒から約300秒であり、上記第2時間周期は、約5秒から約300秒である、請求項23に記載の方法。
  26. 上記基板をその場でアニールする上記ステップは、上記基板を第1アニールチャンバ内で上記第1温度より高い第2温度においてアニールする段階と、上記基板を第2アニールチャンバへ移送する段階と、処理システム内で真空を中断せずに上記基板を上記第2温度より高い第3温度で第2時間周期中アニールする段階とを含む、請求項23に記載の方法。
  27. 上記基板の面は、上記基板を上記堆積チャンバ内で第1温度において第1時間周期中アニールし、上記基板を熱アニールチャンバへ移送し、次いで、処理システム内で真空を中断せずに上記基板を第2温度で第2時間周期中アニールすることにより処理される、請求項23に記載の方法。
  28. シリコン材料が配置された基板をロードロックに導入するステップと、
    上記ロードロックと真空密連通する第1移送チャンバへ上記基板を移送するステップと、
    上記第1移送チャンバと真空密連通する物理的気相堆積チャンバ内の加熱ペデスタルに上記基板を配置するステップと、
    シリコン材料に金属層を堆積するステップと、
    アニールチャンバが配置された第2移送チャンバへ上記基板を移送する前に上記基板をアニールするステップであって、上記第2移送チャンバは、上記第1移送チャンバと真空密連通されるようなステップと、
    上記アニールチャンバ内で上記基板をアニールして、金属ケイ化物層を生成するステップと、
    を備えた基板処理方法。
  29. 上記基板を移送する前に上記基板をアニールする上記ステップは、上記物理的気相堆積処理チャンバにおいて上記基板をアニールすることを含む、請求項28に記載の方法。
  30. 上記第1移送チャンバと真空密連通する真空アニールチャンバを更に備えた、請求項28に記載の方法。
  31. 上記アニールチャンバ内で上記基板をアニールする上記ステップは、上記真空アニールチャンバにおいて上記基板をアニールすることを含む、請求項30に記載の方法。
  32. 上記基板をアニールする上記ステップは、真空を中断せずに約300℃から約900℃の温度で上記基板をアニールすることを含む、請求項28に記載の方法。
  33. 金属層を堆積する上記ステップは、約200℃以下の基板温度で金属ターゲットをスパッタリングすることを含む、請求項28に記載の方法。
  34. 上記第2移送チャンバは、上記第1基板移送チャンバよりチャンバ圧力が高い、請求項28に記載の方法。
  35. 上記金属ターゲットは、コバルト、チタン、タンタル、タングステン、モリブデン、白金、ニッケル、鉄、ニオブ、パラジウム及びその組合せのグループから選択された材料で構成される、請求項28に記載の方法。
  36. 上記物理的気相堆積処理チャンバには、コバルトターゲット及びアニールペデスタルが配置されている、請求項28に記載の装置。
JP2003578610A 2001-07-25 2002-07-25 物理的気相堆積システムにおけるアニール方法及び装置 Withdrawn JP2006500472A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/916,234 US20030029715A1 (en) 2001-07-25 2001-07-25 An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
PCT/US2002/023578 WO2003080887A2 (en) 2001-07-25 2002-07-25 Methods and apparatus for annealing in physical vapor deposition systems

Publications (1)

Publication Number Publication Date
JP2006500472A true JP2006500472A (ja) 2006-01-05

Family

ID=25436918

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003578610A Withdrawn JP2006500472A (ja) 2001-07-25 2002-07-25 物理的気相堆積システムにおけるアニール方法及び装置

Country Status (3)

Country Link
US (3) US20030029715A1 (ja)
JP (1) JP2006500472A (ja)
WO (1) WO2003080887A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011524471A (ja) * 2008-06-17 2011-09-01 アプライド マテリアルズ インコーポレイテッド 均一蒸着のための装置及び方法
JP2012204655A (ja) * 2011-03-25 2012-10-22 Ulvac Japan Ltd NiSi膜の形成方法、シリサイド膜の形成方法、シリサイドアニール用金属膜の形成方法、真空処理装置、及び成膜装置
KR20170130347A (ko) * 2016-03-14 2017-11-28 가부시끼가이샤 도시바 처리 장치 및 콜리메이터

Families Citing this family (409)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405112B2 (en) * 2000-08-25 2008-07-29 Advanced Micro Devices, Inc. Low contact resistance CMOS circuits and methods for their fabrication
US7589017B2 (en) * 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7469558B2 (en) * 2001-07-10 2008-12-30 Springworks, Llc As-deposited planar optical waveguides with low scattering loss and methods for their manufacture
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030036242A1 (en) * 2001-08-16 2003-02-20 Haining Yang Methods of forming metal-comprising materials and capacitor electrodes; and capacitor constructions
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7404877B2 (en) * 2001-11-09 2008-07-29 Springworks, Llc Low temperature zirconia based thermal barrier layer by PVD
JP2003158091A (ja) * 2001-11-20 2003-05-30 Oki Electric Ind Co Ltd 半導体装置および半導体装置の製造方法
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6884327B2 (en) * 2002-03-16 2005-04-26 Tao Pan Mode size converter for a planar waveguide
US20030175142A1 (en) * 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
US7378356B2 (en) 2002-03-16 2008-05-27 Springworks, Llc Biased pulse DC reactive sputtering of oxide films
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6743721B2 (en) * 2002-06-10 2004-06-01 United Microelectronics Corp. Method and system for making cobalt silicide
US20030235973A1 (en) * 2002-06-21 2003-12-25 Jiong-Ping Lu Nickel SALICIDE process technology for CMOS devices
US7993773B2 (en) 2002-08-09 2011-08-09 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US8236443B2 (en) * 2002-08-09 2012-08-07 Infinite Power Solutions, Inc. Metal film encapsulation
US8431264B2 (en) * 2002-08-09 2013-04-30 Infinite Power Solutions, Inc. Hybrid thin-film battery
US8404376B2 (en) 2002-08-09 2013-03-26 Infinite Power Solutions, Inc. Metal film encapsulation
US8445130B2 (en) * 2002-08-09 2013-05-21 Infinite Power Solutions, Inc. Hybrid thin-film battery
US8394522B2 (en) * 2002-08-09 2013-03-12 Infinite Power Solutions, Inc. Robust metal film encapsulation
US8021778B2 (en) * 2002-08-09 2011-09-20 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US20070264564A1 (en) 2006-03-16 2007-11-15 Infinite Power Solutions, Inc. Thin film battery on an integrated circuit or circuit board and method thereof
US7826702B2 (en) * 2002-08-27 2010-11-02 Springworks, Llc Optically coupling into highly uniform waveguides
US7147759B2 (en) * 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
JP3921437B2 (ja) * 2002-10-17 2007-05-30 富士通株式会社 半導体装置の製造方法
US6896773B2 (en) * 2002-11-14 2005-05-24 Zond, Inc. High deposition rate sputtering
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US20040214417A1 (en) * 2003-03-11 2004-10-28 Paul Rich Methods of forming tungsten or tungsten containing films
KR100576363B1 (ko) * 2003-05-30 2006-05-03 삼성전자주식회사 인시투 화학기상증착 금속 공정 및 그에 사용되는화학기상증착 장비
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7675174B2 (en) * 2003-05-13 2010-03-09 Stmicroelectronics, Inc. Method and structure of a thick metal layer using multiple deposition chambers
US8728285B2 (en) * 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
US7238628B2 (en) * 2003-05-23 2007-07-03 Symmorphix, Inc. Energy conversion and storage films and devices by physical vapor deposition of titanium and titanium oxides and sub-oxides
KR100680944B1 (ko) * 2003-05-27 2007-02-08 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20040238876A1 (en) * 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
KR100560666B1 (ko) * 2003-07-07 2006-03-16 삼성전자주식회사 반도체 소자 제조용 금속막 증착 시스템 및 그 운용 방법
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
US7312163B2 (en) * 2003-09-24 2007-12-25 Micron Technology, Inc. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US20050239287A1 (en) * 2003-10-03 2005-10-27 Mei-Yun Wang Silicide formation using a metal-organic chemical vapor deposited capping layer
US20050092598A1 (en) * 2003-11-05 2005-05-05 Industrial Technology Research Institute Sputtering process with temperature control for salicide application
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
KR100555541B1 (ko) * 2003-12-23 2006-03-03 삼성전자주식회사 코발트 실리사이드막 형성방법 및 그 형성방법을 이용한반도체 장치의 제조방법
US7071102B2 (en) * 2004-01-06 2006-07-04 Macronix International Co., Ltd. Method of forming a metal silicide layer on non-planar-topography polysilicon
US9123508B2 (en) * 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR100564617B1 (ko) * 2004-03-05 2006-03-28 삼성전자주식회사 금속 샐리사이드막의 형성방법 및 그 방법을 사용한반도체 장치의 제조방법
JP4390616B2 (ja) * 2004-04-27 2009-12-24 Necエレクトロニクス株式会社 洗浄液及び半導体装置の製造方法
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US7268065B2 (en) * 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
KR20070048177A (ko) * 2004-06-28 2007-05-08 캠브리지 나노테크 인크. 증착 시스템 및 방법
KR101050863B1 (ko) * 2004-06-30 2011-07-20 매그나칩 반도체 유한회사 반도체 소자의 확산 방지막 형성 방법
KR100587686B1 (ko) * 2004-07-15 2006-06-08 삼성전자주식회사 질화 티타늄막 형성방법 및 이를 이용한 커패시터 제조방법
KR100629266B1 (ko) * 2004-08-09 2006-09-29 삼성전자주식회사 샐리사이드 공정 및 이를 사용한 반도체 소자의 제조방법
US7368368B2 (en) * 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
TW200633216A (en) * 2004-10-05 2006-09-16 St Microelectronics Crolles 2 Gate structure and manufacturing method
JP5095412B2 (ja) * 2004-12-08 2012-12-12 シモーフィックス,インコーポレーテッド LiCoO2の堆積
US7959769B2 (en) * 2004-12-08 2011-06-14 Infinite Power Solutions, Inc. Deposition of LiCoO2
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7514353B2 (en) * 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
JP2006261608A (ja) * 2005-03-18 2006-09-28 Canon Inc デバイス製造装置及び制御方法
US7794574B2 (en) * 2005-04-14 2010-09-14 Tango Systems, Inc. Top shield for sputtering system
US7785455B2 (en) * 2005-04-14 2010-08-31 Tango Systems, Inc. Cross-contaminant shield in sputtering system
US7192878B2 (en) * 2005-05-09 2007-03-20 United Microelectronics Corp. Method for removing post-etch residue from wafer surface
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7588669B2 (en) * 2005-07-20 2009-09-15 Ascentool, Inc. Single-process-chamber deposition system
US7432184B2 (en) * 2005-08-26 2008-10-07 Applied Materials, Inc. Integrated PVD system using designated PVD chambers
US7534080B2 (en) * 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US20070078398A1 (en) * 2005-08-27 2007-04-05 Dextradeur Alan J Multi-branched anti-reflux valve
US7838133B2 (en) * 2005-09-02 2010-11-23 Springworks, Llc Deposition of perovskite and other compound ceramic films for dielectric applications
US20070059878A1 (en) * 2005-09-14 2007-03-15 Yu-Lan Chang Salicide process
CN100431105C (zh) * 2005-09-22 2008-11-05 联华电子股份有限公司 自对准金属硅化物工艺
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070108041A1 (en) * 2005-11-11 2007-05-17 Guo George X Magnetron source having increased usage life
US7638022B2 (en) * 2006-02-27 2009-12-29 Ascentool, Inc Magnetron source for deposition on large substrates
JP4782037B2 (ja) 2006-03-03 2011-09-28 キヤノンアネルバ株式会社 磁気抵抗効果素子の製造方法及び製造装置
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US20080006523A1 (en) * 2006-06-26 2008-01-10 Akihiro Hosokawa Cooled pvd shield
TWI395335B (zh) * 2006-06-30 2013-05-01 Applied Materials Inc 奈米結晶的形成
CN101140871B (zh) * 2006-09-04 2010-11-10 中芯国际集成电路制造(上海)有限公司 半导体器件中金属硅化物接触的制造方法
US7485572B2 (en) * 2006-09-25 2009-02-03 International Business Machines Corporation Method for improved formation of cobalt silicide contacts in semiconductor devices
US20080076246A1 (en) * 2006-09-25 2008-03-27 Peterson Brennan L Through contact layer opening silicide and barrier layer formation
KR20090069323A (ko) * 2006-09-29 2009-06-30 인피니트 파워 솔루션스, 인크. 가요성 기판의 마스킹 및 가요성 기판에 배터리 층을 증착하기 위한 재료의 구속
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8197781B2 (en) * 2006-11-07 2012-06-12 Infinite Power Solutions, Inc. Sputtering target of Li3PO4 and method for producing same
US8236152B2 (en) * 2006-11-24 2012-08-07 Ascentool International Ltd. Deposition system
US20080121620A1 (en) * 2006-11-24 2008-05-29 Guo G X Processing chamber
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US8791018B2 (en) * 2006-12-19 2014-07-29 Spansion Llc Method of depositing copper using physical vapor deposition
US20080170959A1 (en) * 2007-01-11 2008-07-17 Heraeus Incorporated Full density Co-W magnetic sputter targets
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
ITMI20070446A1 (it) * 2007-03-06 2008-09-07 St Microelectronics Srl Processo perfabbricare circuiti integrati formati su un substrato seminconduttore e comprendenti strati di tungsteno
DE102007015503B4 (de) * 2007-03-30 2013-03-21 Globalfoundries Inc. Verfahren und System zum Steuern des chemisch-mechanischen Polierens durch Berücksichtigung zonenspezifischer Substratdaten
US8152975B2 (en) * 2007-03-30 2012-04-10 Ascentool International Deposition system with improved material utilization
CN101652499B (zh) * 2007-04-06 2013-09-25 国立大学法人东北大学 磁控溅射装置
US8082741B2 (en) * 2007-05-15 2011-12-27 Brooks Automation, Inc. Integral facet cryopump, water vapor pump, or high vacuum pump
WO2008149446A1 (ja) * 2007-06-07 2008-12-11 Canon Anelva Corporation 半導体製造装置および方法
EP2006411A1 (en) * 2007-06-19 2008-12-24 Applied Materials, Inc. Evaporation apparatus having a rotatable evaporation unit receptacle
KR100890047B1 (ko) * 2007-06-28 2009-03-25 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US8049178B2 (en) * 2007-08-30 2011-11-01 Washington State University Research Foundation Semiconductive materials and associated uses thereof
KR101334221B1 (ko) * 2007-09-03 2013-11-29 주식회사 원익아이피에스 다층금속박막 제조 방법 및 장치
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8268488B2 (en) 2007-12-21 2012-09-18 Infinite Power Solutions, Inc. Thin film electrolyte for thin film batteries
KR20100102180A (ko) * 2007-12-21 2010-09-20 인피니트 파워 솔루션스, 인크. 전해질 막을 위한 표적을 스퍼터링하는 방법
KR101606183B1 (ko) 2008-01-11 2016-03-25 사푸라스트 리써치 엘엘씨 박막 배터리 및 기타 소자를 위한 박막 캡슐화
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US8350519B2 (en) * 2008-04-02 2013-01-08 Infinite Power Solutions, Inc Passive over/under voltage control and protection for energy storage devices associated with energy harvesting
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8500962B2 (en) 2008-07-21 2013-08-06 Ascentool Inc Deposition system and methods having improved material utilization
US20100012481A1 (en) * 2008-07-21 2010-01-21 Guo G X Deposition system having improved material utilization
US8906523B2 (en) 2008-08-11 2014-12-09 Infinite Power Solutions, Inc. Energy device with integral collector surface for electromagnetic energy harvesting and method thereof
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
WO2010027112A1 (en) * 2008-09-04 2010-03-11 Integrated Process Systems Ltd Method of manufacturing multi-level metal thin film and apparatus for manufacturing the same
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
JP5650646B2 (ja) * 2008-09-12 2015-01-07 インフィニット パワー ソリューションズ, インコーポレイテッド 電磁エネルギーを介したデータ通信のための一体型伝導性表面を有するエネルギーデバイスおよび電磁エネルギーを介したデータ通信のための方法
US20100075499A1 (en) * 2008-09-19 2010-03-25 Olsen Christopher S Method and apparatus for metal silicide formation
WO2010042594A1 (en) * 2008-10-08 2010-04-15 Infinite Power Solutions, Inc. Environmentally-powered wireless sensor module
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US8900471B2 (en) 2009-02-27 2014-12-02 Applied Materials, Inc. In situ plasma clean for removal of residue from pedestal surface without breaking vacuum
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) * 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
WO2010135559A1 (en) * 2009-05-20 2010-11-25 Infinite Power Solutions, Inc. Method of integrating electrochemical devices into and onto fixtures
US20100304027A1 (en) * 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN102576828B (zh) * 2009-09-01 2016-04-20 萨普拉斯特研究有限责任公司 具有集成薄膜电池的印刷电路板
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8227344B2 (en) * 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
DE102010028458A1 (de) * 2010-04-30 2011-11-03 Globalfoundries Dresden Module One Llc & Co. Kg Halbleiterbauelement mit Kontaktelementen und Metallsilizidgebieten, die in einer gemeinsamen Prozesssequenz hergestellt sind
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP2013528912A (ja) 2010-06-07 2013-07-11 インフィニット パワー ソリューションズ, インコーポレイテッド 再充電可能高密度電気化学素子
US8492899B2 (en) 2010-10-14 2013-07-23 International Business Machines Corporation Method to electrodeposit nickel on silicon for forming controllable nickel silicide
IT1402530B1 (it) 2010-10-25 2013-09-13 St Microelectronics Srl Circuiti integrati con retro-metallizzazione e relativo metodo di produzione.
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8831180B2 (en) * 2011-08-04 2014-09-09 General Electric Company Apparatus for scatter reduction for CT imaging and method of fabricating same
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
EP2841619A4 (en) 2012-04-26 2015-06-03 Intevac Inc ENGINE SOURCE FOR PROCESSING PHYSICAL STEAM SEPARATION
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140065799A1 (en) * 2012-09-03 2014-03-06 Intermolecular, Inc. Methods and Systems for Low Resistance Contact Formation
US20140065819A1 (en) * 2012-09-03 2014-03-06 Intermolecular, Inc. Methods and Systems for Low Resistance Contact Formation
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US20140134838A1 (en) * 2012-11-09 2014-05-15 Primestar Solar, Inc. Methods of annealing a conductive transparent oxide film layer for use in a thin film photovoltaic device
US8729702B1 (en) * 2012-11-20 2014-05-20 Stmicroelectronics, Inc. Copper seed layer for an interconnect structure having a doping concentration level gradient
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8859417B2 (en) 2013-01-03 2014-10-14 Globalfoundries Inc. Gate electrode(s) and contact structure(s), and methods of fabrication thereof
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
DE112014000750T5 (de) * 2013-02-14 2015-10-29 Veeco Instruments Inc. Materialwachstumsstufen bei variabler Temperatur und Dünnfilmwachstum
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9230835B2 (en) * 2013-03-15 2016-01-05 Applied Materials, Inc. Integrated platform for fabricating n-type metal oxide semiconductor (NMOS) devices
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150099358A1 (en) * 2013-10-07 2015-04-09 Win Semiconductors Corp. Method for forming through wafer vias in semiconductor devices
US20150118833A1 (en) * 2013-10-24 2015-04-30 Applied Materials, Inc. Method of making source/drain contacts by sputtering a doped target
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9305839B2 (en) * 2013-12-19 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Curing photo resist for improving etching selectivity
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
CN105448644B (zh) * 2014-06-30 2019-07-02 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9899234B2 (en) * 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US10283344B2 (en) 2014-07-11 2019-05-07 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9994956B2 (en) 2014-08-11 2018-06-12 University Of Kansas Apparatus for in situ deposition of multilayer structures via atomic layer deposition and ultra-high vacuum physical or chemical vapor deposition
US9412619B2 (en) * 2014-08-12 2016-08-09 Applied Materials, Inc. Method of outgassing a mask material deposited over a workpiece in a process tool
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
JP6364295B2 (ja) * 2014-09-22 2018-07-25 ルネサスエレクトロニクス株式会社 半導体装置の製造方法およびスパッタリング装置
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9543126B2 (en) * 2014-11-26 2017-01-10 Applied Materials, Inc. Collimator for use in substrate processing chambers
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017062136A1 (en) 2015-10-04 2017-04-13 Applied Materials, Inc. Reduced volume processing chamber
KR102054605B1 (ko) 2015-10-04 2019-12-10 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피처들을 위한 건조 프로세스
CN108140603B (zh) 2015-10-04 2023-02-28 应用材料公司 基板支撑件和挡板设备
JP6639657B2 (ja) 2015-10-04 2020-02-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 熱質量が小さい加圧チャンバ
EP3369108B1 (en) 2015-10-27 2021-08-04 Applied Materials, Inc. Biasable flux optimizer/collimator for pvd sputter chamber
CN107026113B (zh) * 2016-02-02 2020-03-31 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法和系统
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10697057B2 (en) 2016-11-18 2020-06-30 Applied Materials, Inc. Collimator for use in a physical vapor deposition chamber
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10224224B2 (en) * 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10563304B2 (en) 2017-04-07 2020-02-18 Applied Materials, Inc. Methods and apparatus for dynamically treating atomic layer deposition films in physical vapor deposition chambers
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN110612596B (zh) * 2017-04-13 2023-08-15 应用材料公司 用于沉积低介电常数膜的方法与设备
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
GB201709446D0 (en) * 2017-06-14 2017-07-26 Semblant Ltd Plasma processing apparatus
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10199267B2 (en) 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10593871B2 (en) 2017-07-10 2020-03-17 University Of Kansas Atomic layer deposition of ultrathin tunnel barriers
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
SG11202001268TA (en) 2017-08-14 2020-03-30 Lam Res Corp Metal fill process for three-dimensional vertical nand wordline
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
US10304732B2 (en) * 2017-09-21 2019-05-28 Applied Materials, Inc. Methods and apparatus for filling substrate features with cobalt
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
USD859333S1 (en) * 2018-03-16 2019-09-10 Applied Materials, Inc. Collimator for a physical vapor deposition chamber
USD858468S1 (en) * 2018-03-16 2019-09-03 Applied Materials, Inc. Collimator for a physical vapor deposition chamber
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10975464B2 (en) 2018-04-09 2021-04-13 International Business Machines Corporation Hard mask films with graded vertical concentration formed using reactive sputtering in a radio frequency deposition chamber
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
JP7443250B2 (ja) * 2018-05-16 2024-03-05 アプライド マテリアルズ インコーポレイテッド 原子層自己整合基板の処理及び統合型ツールセット
KR102481414B1 (ko) * 2018-07-05 2022-12-23 어플라이드 머티어리얼스, 인코포레이티드 실리사이드 막 핵생성
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020033629A1 (en) * 2018-08-10 2020-02-13 Applied Materials, Inc. Methods and apparatus for producing semiconductor liners
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
CN110890275B (zh) * 2018-09-07 2022-04-12 长鑫存储技术有限公司 金属硅化物形成方法
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
USD937329S1 (en) 2020-03-23 2021-11-30 Applied Materials, Inc. Sputter target for a physical vapor deposition chamber
USD998575S1 (en) 2020-04-07 2023-09-12 Applied Materials, Inc. Collimator for use in a physical vapor deposition (PVD) chamber
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
CN111719132A (zh) * 2020-06-29 2020-09-29 东部超导科技(苏州)有限公司 一种超导带材镀膜与热处理一体的多道卷绕装置
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
CN111816551A (zh) * 2020-09-09 2020-10-23 南京晶驱集成电路有限公司 一种半导体层的制造方法及制造系统
US20220081759A1 (en) * 2020-09-17 2022-03-17 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for manufacturing metal gate structures
US11515200B2 (en) * 2020-12-03 2022-11-29 Applied Materials, Inc. Selective tungsten deposition within trench structures
US11976002B2 (en) 2021-01-05 2024-05-07 Applied Materials, Inc. Methods for encapsulating silver mirrors on optical structures
US11955322B2 (en) 2021-06-25 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Device for adjusting position of chamber and plasma process chamber including the same for semiconductor manufacturing
USD1009816S1 (en) 2021-08-29 2024-01-02 Applied Materials, Inc. Collimator for a physical vapor deposition chamber
USD997111S1 (en) 2021-12-15 2023-08-29 Applied Materials, Inc. Collimator for use in a physical vapor deposition (PVD) chamber
CN114318286A (zh) * 2022-01-27 2022-04-12 北京青禾晶元半导体科技有限责任公司 一种复合基板的制备装置及复合基板的制备方法

Family Cites Families (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US4500409A (en) 1983-07-19 1985-02-19 Varian Associates, Inc. Magnetron sputter coating source for both magnetic and non magnetic target materials
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US5096364A (en) * 1986-04-28 1992-03-17 Varian Associates, Inc. Wafer arm handler mechanism
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5227335A (en) 1986-11-10 1993-07-13 At&T Bell Laboratories Tungsten metallization
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JP2555045B2 (ja) 1987-01-19 1996-11-20 株式会社日立製作所 薄膜形成方法及びその装置
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
US4824544A (en) 1987-10-29 1989-04-25 International Business Machines Corporation Large area cathode lift-off sputter deposition device
DE3743938C2 (de) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
FR2628985B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
JPH0666287B2 (ja) 1988-07-25 1994-08-24 富士通株式会社 半導体装置の製造方法
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
EP0415750B1 (en) 1989-08-30 1994-11-09 Nec Corporation Thin-film capacitors and process for manufacturing the same
DE69129081T2 (de) 1990-01-29 1998-07-02 Varian Associates Gerät und Verfahren zur Niederschlagung durch einen Kollimator
US5320728A (en) 1990-03-30 1994-06-14 Applied Materials, Inc. Planar magnetron sputtering source producing improved coating thickness uniformity, step coverage and step coverage uniformity
US5242566A (en) 1990-04-23 1993-09-07 Applied Materials, Inc. Planar magnetron sputtering source enabling a controlled sputtering profile out to the target perimeter
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5178681A (en) 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5660744A (en) 1992-03-26 1997-08-26 Kabushiki Kaisha Toshiba Plasma generating apparatus and surface processing apparatus
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5335138A (en) 1993-02-12 1994-08-02 Micron Semiconductor, Inc. High dielectric constant capacitor and method of manufacture
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
TW271490B (ja) 1993-05-05 1996-03-01 Varian Associates
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
KR960005377Y1 (ko) 1993-06-24 1996-06-28 현대전자산업 주식회사 반도체 소자 제조용 스퍼터링 장치
US6171922B1 (en) 1993-09-01 2001-01-09 National Semiconductor Corporation SiCr thin film resistors having improved temperature coefficients of resistance and sheet resistance
EP0661728B1 (en) 1993-12-28 1997-06-11 Shin-Etsu Chemical Co., Ltd. Dipole ring magnet for use in magnetron sputtering or magnetron etching
US5666247A (en) 1994-02-04 1997-09-09 Seagate Technology, Inc. No-field, low power FeMn deposition giving high exchange films
KR970009828B1 (en) 1994-02-23 1997-06-18 Sansung Electronics Co Ltd Fabrication method of collimator
JP3181171B2 (ja) 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5504041A (en) 1994-08-01 1996-04-02 Texas Instruments Incorporated Conductive exotic-nitride barrier layer for high-dielectric-constant materials
JPH0860355A (ja) 1994-08-23 1996-03-05 Tel Varian Ltd 処理装置
JP2655094B2 (ja) 1994-08-30 1997-09-17 日本電気株式会社 電子銃蒸着装置
US5616218A (en) 1994-09-12 1997-04-01 Matereials Research Corporation Modification and selection of the magnetic properties of magnetic recording media through selective control of the crystal texture of the recording layer
US5945008A (en) 1994-09-29 1999-08-31 Sony Corporation Method and apparatus for plasma control
JP2671835B2 (ja) 1994-10-20 1997-11-05 日本電気株式会社 スパッタ装置とその装置を用いた半導体装置の製造方法
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US5527438A (en) 1994-12-16 1996-06-18 Applied Materials, Inc. Cylindrical sputtering shield
JPH08186085A (ja) 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
US5663088A (en) 1995-05-19 1997-09-02 Micron Technology, Inc. Method of forming a Ta2 O5 dielectric layer with amorphous diffusion barrier layer and method of forming a capacitor having a Ta2 O5 dielectric layer and amorphous diffusion barrier layer
US5632873A (en) 1995-05-22 1997-05-27 Stevens; Joseph J. Two piece anti-stick clamp ring
US5780361A (en) 1995-06-23 1998-07-14 Nec Corporation Salicide process for selectively forming a monocobalt disilicide film on a silicon region
WO1997001854A1 (en) 1995-06-28 1997-01-16 Bell Communication Research, Inc. Barrier layer for ferroelectric capacitor integrated on silicon
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
US5589039A (en) 1995-07-28 1996-12-31 Sony Corporation In-plane parallel bias magnetic field generator for sputter coating magnetic materials onto substrates
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US5650052A (en) 1995-10-04 1997-07-22 Edelstein; Sergio Variable cell size collimator
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
JPH09316643A (ja) 1996-02-15 1997-12-09 Mitsubishi Materials Corp 物理蒸着装置の防着部品
EP0799903A3 (en) * 1996-04-05 1999-11-17 Applied Materials, Inc. Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5736021A (en) 1996-07-10 1998-04-07 Applied Materials, Inc. Electrically floating shield in a plasma reactor
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6014943A (en) 1996-09-12 2000-01-18 Tokyo Electron Limited Plasma process device
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6224312B1 (en) * 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US5886864A (en) * 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
JP4142753B2 (ja) 1996-12-26 2008-09-03 株式会社東芝 スパッタターゲット、スパッタ装置、半導体装置およびその製造方法
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6221766B1 (en) 1997-01-24 2001-04-24 Steag Rtp Systems, Inc. Method and apparatus for processing refractory metals on semiconductor substrates
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5936831A (en) 1997-03-06 1999-08-10 Lucent Technologies Inc. Thin film tantalum oxide capacitors and resulting product
US5902129A (en) 1997-04-07 1999-05-11 Lsi Logic Corporation Process for forming improved cobalt silicide layer on integrated circuit structure using two capping layers
JPH10306377A (ja) 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
US6692617B1 (en) * 1997-05-08 2004-02-17 Applied Materials, Inc. Sustained self-sputtering reactor having an increased density plasma
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
JPH111770A (ja) 1997-06-06 1999-01-06 Anelva Corp スパッタリング装置及びスパッタリング方法
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6071055A (en) 1997-09-30 2000-06-06 Applied Materials, Inc. Front end vacuum processing environment
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
JPH11195621A (ja) * 1997-11-05 1999-07-21 Tokyo Electron Ltd バリアメタル、その形成方法、ゲート電極及びその形成方法
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6074922A (en) 1998-03-13 2000-06-13 Taiwan Semiconductor Manufacturing Company Enhanced structure for salicide MOSFET
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
JP3375302B2 (ja) * 1998-07-29 2003-02-10 東京エレクトロン株式会社 マグネトロンプラズマ処理装置および処理方法
GB2340845B (en) 1998-08-19 2001-01-31 Kobe Steel Ltd Magnetron sputtering apparatus
US6132575A (en) 1998-09-28 2000-10-17 Alcatel Magnetron reactor for providing a high density, inductively coupled plasma source for sputtering metal and dielectric films
US6251759B1 (en) 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
JP2000195821A (ja) * 1998-12-24 2000-07-14 Nec Corp 半導体製造方法及び装置
US6165807A (en) 1999-01-25 2000-12-26 Taiwan Smiconductor Manufacturing Company Method for forming junction leakage monitor for mosfets with silicide contacts
US6225176B1 (en) 1999-02-22 2001-05-01 Advanced Micro Devices, Inc. Step drain and source junction formation
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
AU1924101A (en) * 1999-11-22 2001-06-04 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
KR100705926B1 (ko) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6921712B2 (en) * 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
KR100427423B1 (ko) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Cvd용 인너튜브
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
KR100387255B1 (ko) * 2000-06-20 2003-06-11 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
JP4680429B2 (ja) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
WO2003029515A2 (en) * 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20030015421A1 (en) * 2001-07-20 2003-01-23 Applied Materials, Inc. Collimated sputtering of cobalt
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
SG126681A1 (en) * 2001-07-25 2006-11-29 Inst Data Storage Oblique deposition apparatus
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7005697B2 (en) * 2002-06-21 2006-02-28 Micron Technology, Inc. Method of forming a non-volatile electron storage memory and the resulting device
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
JP2008508721A (ja) * 2004-07-30 2008-03-21 アプライド マテリアルズ インコーポレイテッド タングステンシリサイド薄層の堆積とゲート金属の組込み
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011524471A (ja) * 2008-06-17 2011-09-01 アプライド マテリアルズ インコーポレイテッド 均一蒸着のための装置及び方法
JP2012204655A (ja) * 2011-03-25 2012-10-22 Ulvac Japan Ltd NiSi膜の形成方法、シリサイド膜の形成方法、シリサイドアニール用金属膜の形成方法、真空処理装置、及び成膜装置
KR20170130347A (ko) * 2016-03-14 2017-11-28 가부시끼가이샤 도시바 처리 장치 및 콜리메이터
KR102023532B1 (ko) * 2016-03-14 2019-09-23 가부시끼가이샤 도시바 처리 장치 및 콜리메이터

Also Published As

Publication number Publication date
US20030022487A1 (en) 2003-01-30
WO2003080887A2 (en) 2003-10-02
US20080268636A1 (en) 2008-10-30
US20030029715A1 (en) 2003-02-13
WO2003080887A3 (en) 2004-08-26
US6740585B2 (en) 2004-05-25
US7611990B2 (en) 2009-11-03

Similar Documents

Publication Publication Date Title
JP2006500472A (ja) 物理的気相堆積システムにおけるアニール方法及び装置
US7416979B2 (en) Deposition methods for barrier and tungsten materials
US6562715B1 (en) Barrier layer structure for copper metallization and method of forming the structure
CN110349838B (zh) 形成金属硅化物层的方法和由此形成的金属硅化物层
TWI602263B (zh) Cu wiring formation method and memory medium
US20090242385A1 (en) Method of depositing metal-containing films by inductively coupled physical vapor deposition
US11965236B2 (en) Method of forming nickel silicide materials
US9362166B2 (en) Method of forming copper wiring
US20020132473A1 (en) Integrated barrier layer structure for copper contact level metallization
US6528180B1 (en) Liner materials
US6579783B2 (en) Method for high temperature metal deposition for reducing lateral silicidation
TW201703148A (zh) Cu配線形成方法及半導體裝置之製造方法、記憶媒體
TW201819655A (zh) 使用pvd釕的方法與裝置
US20050189075A1 (en) Pre-clean chamber with wafer heating apparatus and method of use
TW201301443A (zh) 成膜方法及銅配線之形成方法
US11562925B2 (en) Method of depositing multilayer stack including copper over features of a device structure
US11913107B2 (en) Methods and apparatus for processing a substrate
WO2022240704A1 (en) Pulsing plasma treatment for film densification

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20051101