JP2005520317A - 半導体ウェハの表面平坦化方法及びその装置 - Google Patents

半導体ウェハの表面平坦化方法及びその装置 Download PDF

Info

Publication number
JP2005520317A
JP2005520317A JP2003505993A JP2003505993A JP2005520317A JP 2005520317 A JP2005520317 A JP 2005520317A JP 2003505993 A JP2003505993 A JP 2003505993A JP 2003505993 A JP2003505993 A JP 2003505993A JP 2005520317 A JP2005520317 A JP 2005520317A
Authority
JP
Japan
Prior art keywords
polishing
wafer
model
planarizing
semiconductor wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003505993A
Other languages
English (en)
Other versions
JP2005520317A5 (ja
JP4799817B2 (ja
Inventor
ピー.シャンムガサンドラム アルールクマー
ティー.シュワーム アレクサンダー
ビー.プラブー ゴパーラクリシュナ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2005520317A publication Critical patent/JP2005520317A/ja
Publication of JP2005520317A5 publication Critical patent/JP2005520317A5/ja
Application granted granted Critical
Publication of JP4799817B2 publication Critical patent/JP4799817B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/013Devices or means for detecting lapping completion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/02Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent
    • B24B49/03Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent according to the final size of the previously ground workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/02Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent
    • B24B49/04Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent involving measurement of the workpiece at the place of grinding during grinding operation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/18Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation taking regard of the presence of dressing tools
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/19Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by positioning or contouring control systems, e.g. to control position from one programmed point to another or to control movement along a programmed continuous path
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32053Adjust work parameter as function of other cell
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32065Synchronise set points of processes
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Human Computer Interaction (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Constituent Portions Of Griding Lathes, Driving, Sensing And Control (AREA)

Abstract

(a)複数の領域をウェハ上に形成し、かつ研磨プロセスの研磨工程における個々の領域のウェハ材料除去速度を識別するウェハ研磨モデルを提供するステップであって、研磨プロセスが複数の研磨工程を含むステップと、(b)入りウェハ厚さプロファイルに基づく第1の研磨製法を使用してウェハを研磨するステップと、(c)ステップ(b)の研磨後におけるウェハのウェハ厚さプロファイルを決定するステップと、(d)目標ウェハ厚さプロファイルを維持するべく、ステップ(c)のウェハ厚さプロファイルおよびステップ(a)のモデルに基づいて更新研磨製法を計算するステップとを含む、研磨操作におけるウェハ表面非一様性制御方法である。研磨有効性に対するツール状態の影響がモデルによって形成される。この方法を使用して複数のプラテンステーションにフィードバックを提供することができる。

Description

本発明は、半導体ウェハの表面平坦化方法及びその装置に関し、より詳細には、除去速度プロファイルを操作することができる化学機械研磨装置のフィードバック制御である平坦化プロセスのフィードバック制御を提供するための半導体ウェハの表面平坦化方法及びその装置に関する。
一般に、化学機械研磨(CMP;chemical mechanical planarization)は、完全に平坦化した半導体ウェハを得るべく半導体製造プロセスで使用されている。この方法には、ウェハ(通常、機械接触および化学侵食を使用した二酸化ケイ素(SiO2))から材料、例えば、犠牲表面材料層を除去するステップが含まれている。高い形状領域(山)の方が低い形状領域(谷)より速く除去されるため、研磨によって高さの差が平坦化される。
通常、CMPには、機械的作用と化学的作用の組合せによってウェハの表面を平坦化するべく、アルカリ溶液または酸性溶液中に分散した研磨泥状物が利用されている。CMPツールには、通常、研磨パッドが取り付けられた回転可能円形プラテン上に配置された研磨装置(研磨すべきウェハが取り付けられる)が含まれている。使用に際しては、プラテンが回転し、研磨パッド上に研磨泥状物が導入される。研磨泥状物が研磨パッドに加えられると、回転ヘッドに下向きの力が印加され、取り付けられているウェハが研磨パッドに押し付けられる。研磨パッドにウェハが押し付けられると、機械的および化学的にウェハが研磨される。
CMPプロセスの有効性は、CMPプロセスの研磨速度、及び得られる基板表面の仕上げ(小規模粗さ(small-scale roughness)が存在しないこと)及び平面度(大規模形状が存在しないこと)によって測定される。研磨速度、仕上げ及び平面度は、パッドと泥状物の組合せ、基板とパッドの間の相対速度、及び基板を研磨パッドに押し付ける力を始めとする様々な要因によって決定される。
半導体プロセスの縮小に伴い、製造プロセスに対するCMPの重要性が増している。詳細には、ウイズインウェハ(within wafer)(WTW)厚さ非一様性を制御し、かつ、最小化する重要性が増している。様々な要因によって研磨中にウェハ表面全体に及ぶ変化がもたらされる。例えば、表面形状の変化は、CMP装置の処理条件の変動によるものである。通常、CMP装置は、特定のプロセス用に最適化されているが、プロセスに対する化学的および機械的変化、例えば研磨中における研磨パッドの変化、プロセス消耗品の劣化およびその他の要因により、CMPプロセスがその最適状態から変動する。処理の変動に加えて、CMPプロセスを施すウェハ表面が非一様である可能性があり、研磨後における表面全体のプロセス誘導変化をさらに悪化させている。
プロセスの変動を補正するための最近の試行にはフィードバック制御が含まれており、処理中に生成される情報を使用してフィーチャ処理の実行が調整されている。研磨工程におけるこのようなフィードバック制御に使用されている制御変数の1つは、研磨ツールのアーム発振長(arm oscillation length)である。また、フィードバックループは、研磨パッドの調整を最適化するためにも開発されているが、これらのスキームは、今日の製造環境においては、前述の影響を十分に補償するためには依然として不適切である。
本発明は、上述した1つまたは複数の問題の影響を克服し、あるいは少なくとも軽減することを対象としたものである。
Ljung L., System Identification - Theory for the User, Prentice Hall, Upper Saddle River, N. J. 2nd edition, 1999
本発明は、ウェハ厚さプロファイルのランツーラン制御を改善するための、基板の表面、例えば、半導体ウェハの表面平坦化方法及びその装置並びに記録媒体に関している。本発明には、ウェハ表面全体に渡る材料除去を予測し、かつ、ウイズインウェハ厚さ一様性を改善するべく、平坦化プロセスのモデル(単一モデルまたは多重モデルとして実施することができる)が使用されている。予測結果からの偏差を使用して新しい研磨パラメータがセットされ、処理の結果を改善するべくプロセスにフィードバックされる。
本発明は、このような状況に鑑みてなされたもので、その目的とするところは、除去速度プロファイルを操作することができる化学機械研磨装置のフィードバック制御である平坦化プロセスのフィードバック制御を提供するための半導体ウェハの表面平坦化方法及びその装置を提供することにある。
本発明の一実施態様では、研磨操作における一様なウェハ厚さプロファイルを生成する方法には、(a)複数の領域をウェハ上に形成し、かつ研磨工程における個々の領域のウェハ材料除去速度を識別するウェハ研磨モデルを提供するステップと、(b)個々の領域に対する目標厚さプロファイルを生成する研磨製法を使用してウェハを研磨するステップが含まれている。
本発明の他の実施態様では、研磨操作におけるウェハ表面の非一様性を制御する方法には、(a)複数の領域をウェハ上に形成し、かつ研磨プロセスの研磨工程における個々の領域のウェハ材料除去速度を識別するウェハ研磨モデルを提供するステップであって、研磨プロセスが複数の研磨工程を含むステップと、(b)入りウェハ厚さプロファイル(incoming wafer thickness profile)に基づく第1の研磨製法を使用してウェハを研磨するステップと、(c)ステップ(b)の研磨後におけるウェハのウェハ厚さプロファイルを決定するステップと、(d)目標ウェハ厚さプロファイルを維持するべく、ステップ(c)のウェハ厚さプロファイルおよびステップ(a)のモデルに基づく更新研磨製法を計算するステップが含まれている。
本発明の少なくともいくつかの実施形態では、第1の研磨製法は、目標ウェハ厚さプロファイルを得るべくステップ(a)のモデルに基づいているか、あるいは実験によって決定される。
本発明の少なくともいくつかの実施形態では、ステップ(a)のモデルの複数の領域には、ウェハの中心点から半径方向を外側に向かって延びた領域が含まれている。モデルには4つ以上の領域を持たせることができる。
本発明の少なくともいくつかの実施形態では、ステップ(b)の研磨には、複数の研磨ステーションでウェハを研磨するステップが含まれている。研磨ステップは、3つの研磨ステーションで実施することができる。
本発明の少なくともいくつかの実施形態では、研磨製法は、少なくとも2つの研磨ステーションで同じである。
本発明の少なくともいくつかの実施形態では、研磨製法は、少なくとも2つの研磨ステーションで異なっている。
本発明の少なくともいくつかの実施形態では、ステップ(d)の更新研磨製法の計算には、複数の研磨ステーションの各々に対する更新研磨製法を計算するステップが含まれている。
本発明の少なくともいくつかの実施形態では、複数の研磨ステーションの各々に対する更新研磨製法には、個々の研磨ステーションのツール状態が補償されている。連続する研磨ステーションの各々に対するウェハ厚さプロファイルは、先行するステーションからの予測によって提供することができる。
本発明の少なくともいくつかの実施形態では、モデルを提供するステップには、(e)1つまたは複数のウェハ上に形成されている複数の領域の各々の予備研磨済みウェハ厚さを測定するステップと、(f)1つまたは複数のウェハを研磨するステップであって、1つまたは複数のウェハを複数の研磨工程で研磨するステップを含むステップと、(g)ステップ(f)における個々の研磨工程の後、複数の領域の各々における1つまたは複数のウェハに対するウェハ材料除去速度を測定するステップと、(h)研磨有効性に対するツール状態の影響を明確にするモデルを提供するステップと、(i)個々の領域に対する予備研磨済みおよび研磨後のウェハ厚さを記録媒体に記録するステップが含まれている。モデルには、さらに、ウェハの1つの領域の材料除去速度と重要な研磨パラメータの間の関係を確立する線形または非線形曲線にデータを当てはめるステップを持たせることができる。
本発明の少なくともいくつかの実施形態では、研磨パラメータには研磨時間が含まれている。研磨パラメータには、さらに、研磨時間、研磨パッドダウンフォース(polishing pad down force)および速度、泥状物流量および組成、調整時間、調整ディスクダウンフォースおよび速度、調整ディスクおよびウェハキャリアの両方の発振速度からなるグループから選択されるパラメータを持たせることができる。
本発明の少なくともいくつかの実施形態では、ステップ(a)のモデルにおける領域j(AR’j)に対するウェハ除去は、次の方程式に従って決定されている。
AR’j=(c11j≒x1+c12j)≒t1+(c21j≒x2+c22j)≒t2+(c31j≒x3+c32j)≒t3+(c41j≒x4+c42j)≒t4+(c51j≒x5+c52j)≒t5
上式で、x1、x2、x3、x4およびx5は、それぞれ研磨工程1、2、3、4および5の追加パラメータ値であり、t1、t2、t3、t4およびt5は、それぞれ研磨工程1、2、3、4および5の研磨時間である。また、ca1jは、領域jの研磨工程aにおける変数xのウェハ除去に対する寄与を表し、ca2jは、研磨工程aにおける研磨時間のウェハ除去に対する寄与を表している。ウェハ材料除去速度プロファイルは、スケーリング因子、
(1+kp・tp+kd・td+kpd・tp・td
を使用してプロファイルをスケーリングすることによってツール状態を補償することができる。上式で、項tpおよびtdは、それぞれ時間を単位としたパッドおよびディスクの寿命を表し、項kp、kdおよびkpdは、実験的に決定される、パッドおよびディスクの寿命を除去速度に関連付ける係数である。
本発明の少なくともいくつかの実施形態では、更新研磨製法は、次の方程式を解くことによって得られる。
Figure 2005520317
xは、時間のベクトルおよび研磨製法に対応する他の処理パラメータである。g(x)は研磨プロセスのモデルであり、yspは、所望する平均領域ウェハ厚さのベクトルである。また、f(ysp、g(x))は、モデル予測g(x)と所望する厚さのyspの間の偏差にペナルティを課すための損失関数である。
本発明の他の態様では、ウェハ厚さプロファイルのモデルを決定する方法には、(a)1つまたは複数のウェハ上に形成された複数の領域の各々の予備研磨済みウェハ厚さを測定するステップと、(b)1つまたは複数のウェハを研磨するステップであって、1つまたは複数のウェハを複数の研磨工程で研磨するステップを含むステップと、(c)ステップ(b)における個々の研磨工程の後、複数の領域の各々における1つまたは複数のウェハに対するウェハ材料除去速度を測定するステップと、(d)研磨有効性に対するツール状態の影響を明確にするモデルを提供するステップと、(e)個々の領域に対する予備研磨済みおよび研磨後のウェハ厚さを記録媒体に記録するステップが含まれている。モデルには、ウェハの1つの領域の材料除去速度と重要な研磨パラメータの間の関係を確立する線形または非線形曲線にデータを当てはめるステップを持たせることができる。
本発明の少なくともいくつかの実施形態では、研磨パラメータには研磨時間が含まれている。研磨パラメータには、研磨時間、研磨パッドダウンフォースおよび速度、泥状物流量および組成、調整時間、調整ディスクダウンフォースおよび速度、調整ディスクおよびウェハキャリアの両方の発振速度からなるグループから選択されるパラメータを持たせることができる。
本発明の少なくともいくつかの実施形態では、ステップ(a)のモデルにおける領域j(AR’j)に対するウェハ材料除去は、次の方程式に従って決定されている。
AR’j=(c11j≒x1+c12j)≒t1+(c21j≒x2+c22j)≒t2+(c31j≒x3+c32j)≒t3+(c41j≒x4+c42j)≒t4+(c51j≒x5+c52j)≒t5
上式で、x1、x2、x3、x4およびx5は、それぞれ研磨工程1、2、3、4および5の追加パラメータ値であり、t1、t2、t3、t4およびt5は、それぞれ研磨工程1、2、3、4および5の研磨時間である。また、ca1jは、領域jの研磨工程aにおける変数xのウェハ除去に対する寄与を表し、ca2jは、研磨工程aにおける研磨時間のウェハ除去に対する寄与を表している。ウェハ材料除去速度プロファイルは、スケーリング因子、
(1+kp・tp+kd・td+kpd・tp・td
を使用してプロファイルをスケーリングすることによってツール状態を補償することができる。上式で、項tpおよびtdは、それぞれ時間を単位としたパッドおよびディスクの寿命を表し、項kp、kdおよびkpdは、実験的に決定される、パッドおよびディスクの寿命を除去速度に関連付ける係数である。
本発明の少なくともいくつかの実施形態では、モデルは、9つ以下のウェハを使用して決定されている。
本発明の一実施態様では、複数の研磨パッドの複数の平坦化表面に対して位置決め可能な、ウェハを保持するための複数のアームを有するキャリアアセンブリと、研磨プロセスの動作パラメータを制御することができる制御手段と、制御手段に動作結合されたコントローラとを有する、基板の平坦化に使用される研磨パッドを調整するための装置が提供される。コントローラは、研磨プロセスの動作パラメータをウェハ厚さプロファイルのモデルの関数として調整するべく制御手段を動作させている。ウェハ厚さプロファイルのモデルには、複数の領域をウェハ上に形成し、かつ研磨プロセスの研磨工程における個々の領域のウェハ材料除去速度を識別する研磨モデルを形成するステップが含まれており、研磨プロセスには複数の研磨工程が含まれている。
本発明の少なくともいくつかの実施形態では、モデルは、次の方程式に従ってウェハ材料除去速度モデルの領域j(AR’j)に対するウェハ除去を形成している。
AR’j=(c11j≒x1+c12j)≒t1+(c21j≒x2+c22j)≒t2+(c31j≒x3+c32j)≒t3+(c41j≒x4+c42j)≒t4+(c51j≒x5+c52j)≒t5
上式で、x1、x2、x3、x4およびx5は、それぞれ研磨工程1、2、3、4および5の追加パラメータ値であり、t1、t2、t3、t4およびt5は、それぞれ研磨工程1、2、3、4および5の研磨時間である。また、ca1jは、領域jの研磨工程aにおける変数xのウェハ除去に対する寄与を表し、ca2jは、研磨工程aにおける研磨時間のウェハ除去に対する寄与を表している。
本発明の他の実施態様では、コンピュータ読み取り可能な記録媒体には、コンピュータによって実行される命令が含まれており、命令には、化学機械研磨プロセスのためのコンピュータ実施ソフトウェアアプリケーションが含まれている。また、プロセスを実施するための命令には、(a)化学機械研磨プロセスで処理する少なくとも1つのウェハのウェハ除去速度に関連するデータを化学機械研磨ツールから受け取るステップと、(b)ステップ(a)で受け取ったデータから更新研磨製法を計算するステップであって、ウェハ材料除去速度モデルの出力とステップ(a)で受け取ったデータの差を決定することによって更新研磨製法を計算するステップが含まれている。
本発明の少なくともいくつかの実施形態では、ウェハ材料除去速度のモデルは、複数の領域をウェハ上に形成し、かつ、研磨プロセスの研磨工程における個々の領域のウェハ材料除去速度を識別している。研磨プロセスには複数の研磨工程が含まれている。
本発明の少なくともいくつかの実施形態では、ウェハ材料除去速度モデルにおける領域j(AR’j)に対するウェハ除去は、次の方程式に従って決定されている。
AR’j=(c11j≒x1+c12j)≒t1+(c21j≒x2+c22j)≒t2+(c31j≒x3+c32j)≒t3+(c41j≒x4+c42j)≒t4+(c51j≒x5+c52j)≒t5
上式で、x1、x2、x3、x4およびx5は、それぞれ研磨工程1、2、3、4および5の追加パラメータ値であり、t1、t2、t3、t4およびt5は、それぞれ研磨工程1、2、3、4および5の研磨時間である。また、ca1jは、領域jの研磨工程aにおける変数xのウェハ除去に対する寄与を表し、ca2jは、研磨工程aにおける研磨時間のウェハ除去に対する寄与を表している。
「目標ウェハ厚さプロファイル」という用語は、CMPプロセスにおける所望の処理結果を意味している。プロファイルには若干の裕度が組み込まれているため、フィードバック制御システムによる目標プロファイルおよび目標プロファイルに対する許容可能標準偏差を形成することができる。このような偏差には研磨製法の更新の必要はない。目標ウェハ厚さプロファイルという用語の使用には、目標および目標に対する標準偏差が包含されている。
ウェハという用語は一般的な意味で使用されており、研磨すべき実質的に平らなすべての対象が包含されている。ウェハには、モノリシック構造の他に、1つまたは複数の層すなわち薄膜が蒸着された基板が含まれている。ウェハおよび薄膜という用語は、特記なき場合、本明細書を通して交換可能に使用されている。
「ツール状態」という用語は、CMP装置の消耗品または可変性コンポーネントの状態を意味している。この用語は、パッドの寿命およびアイドル時間を常に変化させる調整ディスクおよび研磨パッドの状態を表すべく使用されることがほとんどである。典型的な調整ディスクの寿命は約60時間であり、また、典型的な研磨パッドの寿命は約30時間である。
本発明の様々な目的、特徴および利点については、添付図面を参照して行う本発明についての以下の詳細な説明により、より良く理解され、より完全に認識されるであろう。また、図中の同一の参照番号は、同一の構成要素を表している。また、添付図面は、単に説明を目的としたものに過ぎず、本発明の制限を意図したものではない。本発明の技術的範囲については、特許請求の範囲の各請求項に示されている。
以下、図面を参照して本発明の実施の態様について説明する。
図1は、1つまたは複数の基板を研磨するための典型的なCMP装置の斜視図である。CMP装置100は、一連の研磨ステーション101および基板を搬入し、かつ搬出するための移送ステーション102を備えている。研磨ステーションの各々は、研磨パッド104が置かれた回転可能プラテン103を備えている。研磨パッド104に研磨液111を供給するための研磨液源112を設けることができる。研磨ステーションの各々は、研磨パッドの研磨状態を維持するための関連するパッド調整装置105を備えることができる。
回転可能多重ヘッド回転ラック106は、中央ポスト107によって支持され、その周りを回転している。回転ラック106は、独自の軸の周りを個々に回転することができる複数のキャリアヘッド108を備えている。キャリアヘッド108は、移送ステーション102から基板を受け取り、かつ移送ステーション102へ基板を引き渡している。キャリアヘッドは、研磨ステーションとキャリアヘッドが係合すると、基板を研磨パッドに押し付けるべく、制御可能な荷重すなわち圧力を基板に提供している。
いくつかのキャリアヘッドは、基板を保持し、研磨荷重の提供を補助するための保持リング109を備えている。研磨を実現するために、プラテン103を回転させることができる(通常、一定の回転速度で)。また、例えば、保持リング圧を調整することにより、個々に変更することができるダウンフォースを個々のキャリアヘッド108によって印加することができる。基板110を保持しているキャリアヘッド108は、軸113軸上を回転させ、スロット114中を前後に発振させることができる。
あるタイプのCMPプロセスでは、ウェハは一連の研磨工程で研磨される。
図2は、直径200mmの単一ウェハに対する8つの連続する研磨工程201ないし208のCMPプロファイルを示した図である。研磨工程の各々は、研磨すべき総材料のサブセットを基板表面から除去している。また、プロファイル201と208を比較すると分かるように、各研磨工程によって生成される厚さプロファイルは異なっていても良い。最終薄膜厚さプロファイルは、個々の研磨工程厚さプロファイルの合計であり、一様なウェハ厚さが表面全体に渡って有利に生成される。
CMPプロセスは、サンプルを研磨ステーション(プラテン)から研磨ステーション(プラテン)へ移送することができる。あるタイプのCMPプロセスでは、ウェハ除去を様々なプラテンの間で分散しており、個々のプラテン毎に所望の材料除去を達成するべく、プラテンの各々はフルセットの研磨工程を有しており、あらゆる組合せの除去が可能である。したがって、一例として合計6000Åの材料を除去することが望ましい場合、プラテン1の研磨ステーションで3000Åを除去し、プラテン2で1000Åを除去し、プラテン3で2000Åを除去することができる。プラテンの各々に対する研磨製法は、同じ製法であっても、あるいは異なる製法であっても良い。
上述したCMPプロセスをモデル化し、平坦化プロセスを改善するためのフォーマットを提供することができる。モデルは、システムを反映する生データとして表すことができ、あるいは方程式、例えば、システムの変数間の関係を表す多重入力−多重出力の線形方程式、二次方程式および非線形方程式によって表すことができる。モデルを使用することにより、ウイズインウェハ厚さ一様性を改善することができ、あるいは非モデル化効果または研磨プロセス条件の変動を補正するべく、ウェハの研磨中に研磨パラメータを調整することによってランツーランを維持することができる。一例として、研磨操作中に、研磨製法を予測し、かつ、最適化するフィードバックループおよびフィードフォワードループで、研磨時間、研磨パッドダウンフォースおよび速度、泥状物流量および組成、調整時間、調整ディスクダウンフォースおよび速度、調整ディスクおよびウェハキャリアの両方の発振速度を調整することができる。
本発明の少なくともいくつかの実施形態によれば、初期モデルは、工程系統図に示すように、ウェハ研磨プロセスの知識に基づいて展開されている。
図3は、モデル展開の概要を示す工程系統図である。
まず、ステップ300でシステムの初期知識が獲得され、その知識を使用してステップ310の実験計画法(DOE;design of experiment)が設計され、実行される。DOEは、制御を希望する処理出力、例えばウェハ厚さに強い影響を及ぼし、かつ、予測可能な変数間の関係を確立するべく設計されることが望ましい。DOEにより、プロセスパラメータおよびプロセス結果に関連するデータが提供され、次にステップ320で先回りプロセス制御システムにロードされる。先回り処理制御システムは、モデルを生成し、かつ、更新するためのデータを使用するコントローラまたはコンピュータである。ステップ325で出力目標などの処理要求事項およびプロセス仕様がユーザによって決定され、ワーキングモデルを生成するべくステップ330でDOEデータと結合される。
次に、モデル展開の実例について説明する。本発明の少なくともいくつかの実施形態によれば、ウェハ材料除去速度(研磨)プロファイルをCMPプロセスにおける独立した工程としてモデル化するモデル構造が形成されている。上述したように(図2)、一様な最終ウェハ厚さを得るべく個々の工程を組み合わせることができる。また、モデルに使用するべき工程を除去速度プロファイルのサブセットとして形成することができる。つまり、類似の特性を有する除去速度プロファイルのファミリで1つの工程を構成することができる。除去速度プロファイルの個々のファミリに対する研磨パラメータが識別され、場合によっては変更され、かつ結果に対するそれらの効果が決定される。このモデルに持たせることができる例示的研磨変数には、それらに限定されないが、研磨時間、研磨パッドダウンフォースおよび速度、泥状物流量および組成、調整時間、調整ディスクダウンフォースおよび速度、および調整ディスクおよびウェハキャリアの両方のスイープ速度がある。
本発明の少なくともいくつかの実施形態では、モデルには、ウェハの領域に基づく除去速度プロファイルが利用されている。
図4は、厚さプロファイルモデル用に形成された領域を示すウェハを示す略図である。ウェハは、幅および面積が異なる放射状領域401ないし405に分割することができる。領域の数はモデルに対してセットされず、また、研磨プロファイルに基づいて選択することができる。したがって、例えば、図2では8つの領域がウェハ全体に渡って指示され、一方、図4には5つの領域が示されている。また、領域のサイズおよび位置は変更が可能であり、その領域内のウェハに対する特定の研磨パラメータの効果に基づいて選択することができる。
領域の数、サイズおよび位置は、ウェハ材料除去速度プロファイルの複雑性に基づいて選択することができる。少なくともいくつかの実施形態では、任意の所与の領域におけるプロファイルは、特に、領域平均化厚さプロファイルを形成するべく領域内における多数のウェハ厚測値を平均する場合、実質的に一様であることが望ましい。したがって、縁効果が劇的である縁部分では、外側領域のみを包含する狭い領域を選択することができる。研磨効果が把握し難いウェハの中央付近では、より広い領域を形成することができる。CMPツールは方位変化を補正することができないため、領域は、すべての方位変化が平均化されるように形成される。ウェハの領域内で取得された膜厚測値は、その領域の平均厚さを得るべく平均化される。
DOEデータを取得するべく研磨工程が実行され、例えば、予備研磨および研磨後ウェハ厚測値などの入り測値および処理パラメータ値に基づいて、除去速度プロファイルあるいは等価的にウェハ厚さプロファイルが領域毎に決定される。従来、データは、パラメータ値の有効範囲に対して、また、研磨パッドおよび調整ディスクの寿命に対して一連の実験を実施することによって実験的に取得されている。このような手法によっては、研磨操作の処理特性に関する仮定を得ることができないため、モデルを形成するべくデータが適当な曲線に当てはめられている。この手法には極めて多数のウェハが必要であり、4工程プロセスに対して少なくとも30枚のウェハが必要である。また、この手法には多大な時間が必要である(典型的なディスクの寿命は約60時間である)。
本発明の少なくともいくつかの実施形態では、DOEデータを取得するための修正手法が使用されている。この修正手法には、データを線形曲線に当てはめることができ、かつ重ね合わせが有効であることが仮定されている。重ね合わせには、例えば、一定の時間の間、第1の工程を実施し、次に一定時間の間、第2の工程を個別に、かつ第1の工程に引き続いて実施することにより、2つの工程を同時に実施することによって得られる結果と同じ結果が得られることが仮定されている。また、この手法には、パッドおよびディスクの寿命を研磨性能に関連付けるべく、確立されたモデルが使用されている。これらの仮定により、システムを適切にモデル化するために必要なデータの量(延いてはサンプル数)が著しく減少している。本発明の少なくともいくつかの実施形態では、モデルを適切に展開するためには、最大9回の実行で、かつ、6〜8枚のウェハで十分である。1つの例に過ぎないが、DOEには5つ〜7つの研磨工程を持たせることができ、また、研磨製法は、少しのウェハ、例えば1枚のウェハに対して実行することができ、あるいは、例えば5〜8枚のウェハに対して実行することができる。研磨製法の研磨工程の数が多くなるほど、多くのウェハが必要である。
一例として、上述した重要な研磨システムに対しては、ウェハ材料除去速度プロファイルと研磨時間および他の重要なパラメータとの間の関係を決定するための一連の実験を実施することができる。調査中の1つまたは複数のパラメータを除き、すべての研磨パッドおよびウェハ状態を一定に維持した状態で標準の研磨手順を使用することができる。一定に維持することができる例示的な研磨パラメータには、研磨パッドサイズ、研磨パッド組成、ウェハ組成、パッド調整時間、研磨パッドの回転速度およびウェハの回転速度がある。本発明の少なくともいくつかの実施形態では、調査中のパラメータには、研磨製法における個々の研磨工程の少なくとも研磨時間、および保持リング圧によって形成される研磨ダウンフォース(P)が含まれている。以下の解析でさらに詳細に示すように、追加パラメータをモデルに組み込むことができる。
DOEを実行することによってデータが取得されると、モデルを展開することができる。5つの研磨工程を有するモデルは、次のように形成される。
AR’j=c1j≒t1+2j≒t2+c3j≒t3+c4j≒t4+c5j≒t5 ・・・(1)
上式(1)で、AR’jは、ウェハの領域jに対する材料除去量であり、t1、t2、t3、t4およびt5は、それぞれ研磨工程1、2、3、4および5の研磨時間である。また、c1j、c2j、c3j、c4jおよびc5jは、それぞれ研磨工程1、2、3、4および5の領域jに対する除去速度である。
モデルには追加パラメータを持たせることができ、その場合、モデルは次のように形成される。
AR’j=(c11j≒x1+c12j)≒t1+(c21j≒x2+c22j)≒t2+(c31j≒x3+c32j)≒t3+(c41j≒x4+c42j)≒t4+(c51j≒x5+c52j)≒t5・・・(2)
上式(2)で、x1、x2、x3、x4およびx5は、それぞれ研磨工程1、2、3、4および5の追加パラメータ値であり、t1、t2、t3、t4およびt5は、それぞれ研磨工程1、2、3、4および5の研磨時間である。また、ca1jは、領域jの研磨工程aにおける変数xのウェハ除去速度に対する寄与を表し、ca2jは、研磨工程aにおける研磨時間のウェハ除去速度に対する寄与を表している。したがって無限の数の処理パラメータをモデルに持たせることができる。
本発明の少なくともいくつかの実施形態では、ツール状態の影響を持たせるべくモデルをさらに強化することができる。ツール状態は、ツールに対する摩耗、使用および経年変化の影響を表しており、ディスク寿命およびパッド寿命によって表される調整ディスクおよび研磨パッドの状態が含まれている。また、ツール状態にはアイドル時間が含まれている。この機能は、スケーリング因子として表現することができる。方程式(3)は、パッド寿命およびディスク寿命を考慮した例示的スケーリング因子を示したものである。
Figure 2005520317
項tpおよびtdは、それぞれ時間を単位としたパッドおよびディスクの寿命を表し、項kp、kdおよびkpdは、実験的に決定される、パッドおよびディスクの寿命を除去速度あるいは等価的に材料除去量に関連付ける係数である。tp=0およびtd=0におけるAR’jは、初期研磨条件下における材料除去量である。
上述したようなモデルを使用することにより、領域平均化最終ウェハ厚さに対する予測を計算することができ、入り厚さ、パッドおよびディスクの寿命、研磨工程時間、およびパラメータを変更する工程のための他のあらゆる選択パラメータの値が得られる。
プロセスモデルの展開および最適化は、特定の研磨システムを参照して実施される。つまり、イウズインウェハ一様性をもたらす条件は、研磨するウェハのタイプ、研磨に使用する泥状物および研磨パッドの組成に固有である。ウェハ/泥状物/研磨パッドシステムが識別されると、本発明に従って展開されたモデルを使用してシステムが特性化される。本発明の少なくともいくつかの実施形態では、泥状物/研磨パッド/ウェハの組合せ毎に(つまり、タイプ/ブランドの異なる泥状物毎に、かつ、所与のタイプのウェハを使用した製造に使用することができる個々のタイプ/ブランドのパッド毎に)、個別モデル(あるいは少なくとも複合モデルの補遺)が生成されることが意図されている。
また、本発明の少なくともいくつかの実施形態では、並列もしくは直列の複数のプラテンでの研磨に適応可能なウェハ研磨モデルが意図されている。CMPプロセスは、同時に動作する複数のプラテンからなっていることが多い。通常、除去すべき材料の総除去量の一部を個々のプラテンが除去している。プラテンからプラテンへウェハが移送され、個々のプラテンは、そのプラテンで実行する研磨工程時間および工程毎の保持リング圧などの他の処理パラメータを決定する個別製法を有している。
図6は、ウェハ厚さプロファイルに対して類似の研磨工程または異なる研磨工程を実行する複数のプラテンの影響を補償するプロセスモデルを示した図である。モデルの第1のフェーズ600で、プラテン−1(620)に対する研磨製法610(ここでは6工程)が決定される(「第1研磨プロセス」)。予備研磨済みウェハの形成領域に対する入りウェハ厚さ、ディスク寿命およびパッド寿命などのプロセス入力データ630がモデルに入力される。ウェハが研磨され、ウェハ領域毎に最終ウェハ厚さ640が測定される。モデル展開の第2のフェーズ645への入力データとして、第1の研磨プロセスによる研磨後領域厚さ640が使用される。プラテン−2(660)で第2の研磨製法650が実行される。第2の研磨製法650は、プラテン−1(620)で実行される製法と同じ製法であっても、あるいは異なる製法であっても良い。また、モデルにはプラテン−2(660)に使用されているパッドおよび調整ディスクに関連するパッド寿命およびディスク寿命係数655が含まれている。最終厚さ測値670が測定され、モデル展開に使用される。したがって、本発明による方法は、ツール状態が異なる複数のプラテンに複数の研磨プロセスを必要とするモデルに適応することができ、また、プラテン−1およびプラテン−2にプラテン固有フィードバック680および690をそれぞれ提供することができる。モデルは著しく汎用性に富んでおり、高度に複雑な研磨シナリオに適応することができる。
本発明の少なくともいくつかの実施形態によれば、上述した方法で展開された初期モデルは、研磨プロセスのランツーラン一様性を制御し、かつ研磨製法を更新するためのフィードバックループを提供するべく、本発明の少なくともいくつかの実施形態に使用されている。
図5は、本発明の少なくともいくつかの実施形態が意図している、CMP研磨操作に使用されるフィードバックループの工程系統図である。簡潔に表現すると、第1の研磨製法に従って1つまたは複数のウェハが処理される。ウェハ厚さプロファイルを得るべく、研磨済みウェハ全体に渡って厚さ測値が測定され、得られたウェハ厚さプロファイルは、モデルによって計算された予測ウェハ厚さプロファイルと比較される。測定したウェハ厚さプロファイルが所望する結果からの偏差を示している場合、最適化プロセスでこれらの偏差が使用され、研磨製法が更新される。次に、研磨製法を継続的に最適化するべく、フィードバックループにこの更新製法が使用され、それによりウイズインウェハ膜厚一様性が改善または維持される。
図5に示す処理工程系統図によれば、ステップ500で、初期処理条件、例えば、所望のウェハ除去速度プロファイルを提供することができるツール状態およびウェハ状態が識別される。この初期条件は実験によって決定することができ、あるいは本発明による少なくとも1つの実施形態の処理モデルを使用することによって決定することができる。処理モデルを使用する場合、ステップ510に示すように、コントローラがこのモデルを使用して、入りプロファイルを所望の厚さを備えた目標平坦プロファイルに研磨するための工程時間および処理パラメータを計算することができる。ステップ520でCMPツール内の初期研磨プロファイルに従ってウェハが研磨される。ステップ530で研磨済みウェハの厚さが測定され、予測厚さからの偏差が決定される。ステップ540で、偏差が確立済み裕度を超過しているかどうか判定される。偏差が許容範囲内である場合、研磨製法は何ら変更されず、コントローラはステップ550で既存の製法を再使用するべくツールに命令する。偏差が許容限度外である場合、ステップ560で新しい目標パラメータがセットされる。ステップ570で新しい目標パラメータがコントローラにフィードバックされ、予測値から偏差を考慮した更新モデルに従って研磨製法が最適化される。この研磨工程は反復することができ、研磨製法をさらに更新することができる。
本発明による少なくとも1つの実施形態に従ってCMPプロセスをプロセス制御することにより、ウェハ表面全体に渡る一連の領域jに対するウェハ除去速度を最適化することができる。ウェハの領域jに対して個々に最適化することにより、表面全体に対するより優れた制御を達成することができ、延いてはより優れたウイズインウェハ一様性が達成される。
更新研磨製法を決定するための更新モデルの決定に使用することができる例示的最適化方法により、次の方程式の解が得られる。
Figure 2005520317
上式(4)で、xは、時間のベクトルおよび研磨製法に対応する他の処理パラメータである。g(x)は、方程式(1)〜(3)に関連して上で説明したCMPプロセスのモデルであり、yspは、所望する平均領域ウェハ厚さのベクトルである。また、f(ysp、g(x))は、モデル予測g(x)と所望する厚さyspの間の偏差にペナルティを課すための関数である。
したがって、最適化方法は、予測値からの偏差を100%補正する必要のないモデルを提案している。損失関数を使用することにより、測定パラメータまたは計算パラメータの不確実性を反映し、あるいは速すぎるパラメータ変化または大きすぎるパラメータ変化の影響をある程度「抑制」することができる。例えば、モデルは、測定された偏差を過剰補償し、それによりその過剰補償に反応するべく他の調整を強いることが可能である。それにより急激に変化するプロセスが最適化され、最適化された状態が実現する前にいくつかの相互作用が生じる。
この最適化方法に基づいて、研磨後のウェハ厚さが測定され、予測厚さと最終厚さの差が決定される。次に、予測最終厚さと実際の最終厚さがより緊密に整合するよう、偏りとしても知られている予測誤差がモデルに直線的に付加される。この偏りは個々の領域jに付加され、次の方程式で示すようにモデル化される。
FTj=ITj−ARj+bj ・・・(5)
上式(5)で、FTjは領域jの予測最終厚さであり、ITjは領域jの入り厚さである。また、ARjは、1組の製法パラメータが与えられた領域jから除去される予測量であり、bjは、領域jから除去される予測量と実際の除去量の間の差によって生じる偏り項である。モデル予測と実際の測値の差に基づいて、偏り項を使用してモデルを直線的に更新するプロセスは、本発明の少なくともいくつかの実施形態における少なくともいくつかのフィードバック制御部分である。
本発明の少なくともいくつかの実施形態では、フィードバック制御は、個々のプラテンの平均ツール状態を使用してプラテンを単一モデルに結合している。この単一モデルは、上で説明したフィードバック手法を使用して、異なるプラテン全体に何らかの所定の方法で偏り調整を割り当てることが可能である。
また、本発明の少なくともいくつかの実施形態では、フィードバック制御スキームには、フィードバックをすべてのプラテンに個別に分配するべく、最終厚さ測値が使用されている。
図6は、異なるプラテンが個々にモデル化され、モデル中に要素化される研磨プロセスをモデル化するための方法の1つを示した図である。個々のプラテンは個々に取り扱うことができるため、例えばパッド寿命およびディスク寿命などのツール状態およびアイドル時間をモデルに持たせることができ、また、フィードバックをプラテンおよび研磨製法に固有のフィードバックにすることができる。このフィードバック制御スキームは、異なる研磨製法が個々のプラテンで実行されている場合、とりわけ有用である。個々のプラテンを個々にモデル化することができるため、それにより他のプラテンの処理製法をそのままの状態に維持し、特定の1つのプラテンの処理製法を変更する(研磨プロセスにおける1つのステージの間に)ことができるため、より柔軟な処理が提供される。
本発明の少なくともいくつかの実施形態では、コントローラは、個々のキャリアヘッドに個別にフィードバックを印加している。個々のキャリアヘッドは独自の方法で実行し、研磨製法の更新に際して、個々のウェハキャリアの過去の性能を個別にレビューし、かつ、個別にレビューした結果に応じて更新パラメータを調整することができる。
フィードバック制御アルゴリズムおよびフィードフォワード制御アルゴリズムは、上記モデルに基づいて様々な方法を使用して上記制御プロセスに使用するべく構築されている。これらのアルゴリズムを使用することにより、帰納的パラメータ予測などの様々な方法を使用してパラメータを最適化することができる。帰納的パラメータ予測は、入出力データの受信と同時にモデルをオンラインにすることが望ましい場合に使用される。帰納的パラメータ予測は、適応制御または適応予測などのオンライン決定に適している。識別アルゴリズムおよび識別理論についての詳細については、例えば、非特許文献1を参照されたい。
本発明の少なくともいくつかの実施形態では、研磨製法は、モデルによって展開されるアルゴリズムの中で定義される離散増分すなわちステップで更新することができる。また、本発明の少なくともいくつかの実施形態では、更新研磨製法は、適切なパラメータへの補間によって決定することができる。
フィードフォワードループおよびフィードバックループを実施するために利用される追加装置には、ウェハ材料除去速度の計算に必要な厚さデータを提供するための膜厚測定(度量衡学)ツールが含まれている。膜厚測定ツールは、インライン測値、インサイチュー測値を提供するべく研磨装置上に配置することができ、あるいは研磨装置から離れた位置に置くこともできる。光、電気、音響または機械的測定方法を使用した膜厚測定ツールを使用することができる。適切な厚み測定デバイスは、Nanometrics(California州Milpitas)またはNova Measuring Instruments(Arizona州Phoenix)から入手することができる。コンピュータを利用することにより、本発明によって提供されるモデルおよびアルゴリズムを使用して、測定膜厚および計算除去速度に基づく最適パッド調整製法を計算することができる。適切な集積コントローラおよび研磨装置(iAPCを備えたMirraまたはiAPCを備えたMirra Mesa)は、California州にある本願特許出願人から入手することができる。
本明細書において考察した概念を使用して研磨することができる例示的半導体ウェハには、それらに限定されないが、ケイ素、タングステン、アルミニウム、銅、BPSG、USG、熱酸化膜、ケイ素関連膜、低k誘電体およびそれらの混合物でできたウェハがある。
本発明は、任意の数の様々なタイプの従来型CMP研磨パッドを使用して実践することができる。当分野には、一般にはウレタン製または他の重合体製の多数の研磨パッドが存在している。例示的研磨パッドには、Epic(商標)研磨パッド(Cabot Microelectronics Corporation、Illinois州Aurora)、Rodel(登録商標)IC1000、IC1010、IC1400研磨パッド(Rodel Corporation、Delaware州Newark)、OXPシリーズ研磨パッド(Sycamore Pad)、およびThomas West Pad711、813、815、815−Ultra、817、826、828、828−EI(Thomas West)がある。
また、任意の数の様々な種類の泥状物を本発明の態様と共に使用することができる。当分野には、一般に半導体ウェハ中の特定の種類の金属を研磨するようになされた多数のCMP研磨泥状物が存在している。例示的泥状物には、Semi−Sperse(登録商標)(Semi−Sperse(登録商標)12、Semi−Sperse(登録商標)25、Semi−Sperse(登録商標)D7000、Semi−Sperse(登録商標)D7100、Semi−Sperse(登録商標)D7300、Semi−Sperse(登録商標)P1000、Semi−Sperse(登録商標)W2000およびSemi−Sperse(登録商標)W2585として市販されている)(Cabot Microelectronics Corporation、Illinois州Aurora)、Rodel ILD1300、Klebesolシリーズ、Elexsol MSW1500、MSW2000シリーズ、CUSシリーズおよびPTS(Rodel)がある。
図7は、本発明の少なくともいくつかの実施形態に使用するためのツール表現およびアクセス制御を備えたコンピュータシステムのブロック図である。コンピュータによる制御が可能な本発明の様々な態様は、図7に示すエンティティを含む任意の数の制御/コンピュータエンティティにすることができる(かつ/または図7に示すエンティティを含む任意の数の制御/コンピュータエンティティによって制御することができる)。
図7を参照すると、バス756は、システム711の他のコンポーネントを相互接続する主情報幹線通信路として機能している。CPU1158はシステムの中央処理装置であり、本発明による実施形態のプロセスおよび他のプログラムを実行するために必要な計算および論理演算を実行している。リードオンリメモリ(ROM)760およびランダムアクセスメモリ(RAM)762は、システムの主記録装置を構成している。ディスクコントローラ764は、1つまたは複数のディスクドライブをシステムバス756にインタフェースしている。これらのディスクドライブは、例えば、フロッピー(登録商標)ディスクドライブ770であり、あるいはCD ROMまたはDVD(ディジタルビデオディスク)ドライブ766であり、もしくは内部または外部ハードドライブ758である。これらの様々なディスクドライブおよびディスクコントローラは、任意選択デバイスである。
ディスプレイインタフェース772は、ディスプレイ748をインタフェースし、ディスプレイ748によるバス756からの情報の表示を可能にしている。ディスプレイ748は、グラフィカルユーザインタフェースの表示に使用することができる。上述したシステムの他のコンポーネントなどの外部デバイスとの通信は、例えば、通信ポート774を利用して実施することができる。外部デバイスと通信ポート774の間の移送媒体として、光ファイバおよび/または電気ケーブルおよび/または導線および/または光通信(例えば赤外線等)および/または無線通信(例えば無線周波数(RF)等)を使用することができる。周辺インタフェース754は、キーボード750およびマウス752をインタフェースし、バス756への入力データの送信を可能にしている。システム711は、これらのコンポーネントに加えて任意選択でさらに赤外線トランスミッタおよび/または赤外線レシーバを備えている。赤外線トランスミッタは、コンピュータシステムが、赤外線信号伝送を介してデータを送/受信する1つまたは複数の処理コンポーネント/ステーションと共に使用される場合に任意選択で利用される。コンピュータシステムは、赤外線トランスミッタまたはレシーバを利用する代わりに、任意選択で低出力無線トランスミッタ780および/または低出力無線レシーバ782を使用することもできる。低出力無線トランスミッタは、製造プロセスのコンポーネントが受信する信号を送信し、かつ、製造プロセスのコンポーネントからの信号を、低出力無線レシーバを介して受信している。低出力無線トランスミッタおよび/または低出力無線レシーバは、業界における標準デバイスである。
図7には、単一プロセッサ、単一ハードディスクドライブおよび単一局部記録装置を有するシステム711が示されているが、システム711は、任意の数または任意の組合せのプロセッサまたは記録装置を任意選択で適切に装備することができる。例えば、システム711は、本発明による実施形態の原理に従って動作する、高性能計算器、ハンドヘルドラップトップ/ノートブック小型メインフレームスーパコンピュータおよびその処理システムネットワークコンビネーションを含む任意の適切な処理システムとの置換またはそれらとの組合せが可能である。
図8は、コンピュータ可読コードまたは命令の記録に利用することができる例示的コンピュータ読み取り可能な記録媒体を示した図である。一例として、記録媒体884は、図7に示すディスクドライブと共に使用することができる。通常、フロッピー(登録商標)ディスクあるいはCD ROMもしくはディジタルビデオディスクなどの記録媒体には、例えば、1バイト言語のための多重バイトロケール(multi-byte locale)および上記システムを制御するためのプログラム情報が含まれており、コンピュータによる、本明細書において説明した機能の実行を可能にしている。他の方法としては、図7に示すROM760および/またはRAM762を使用して、瞬時処理(instant process)に関連するオペレーションを実行するべく中央処理装置1158に命令するために使用されるプログラム情報を記録することもできる。情報を記録するための適切なコンピュータ読み取り可能な記録媒体の他の例には、磁気記録装置、電子記録装置、光(ホログラフィックを含む)記録装置、それらのいくつかの組合せ等がある。また、本発明の少なくともいくつかの実施形態では、記録媒体を伝送の形態(例えば、ディジタル信号または伝搬信号)にすることが意図されている。
一般的には、本発明による実施形態の様々なコンポーネントは、ハードウェア、ソフトウェアまたはそれらの組合せで実施することができることを強調しておかなければならない。このような実施形態では、様々なコンポーネントおよびステップは、本発明による機能を実行するべく、ハードウェアおよび/またはソフトウェアで実施することができる。本発明によるこのような実施形態には、現在利用可能な、あるいは将来的に開発される任意のコンピュータソフトウェア原語および/または任意のハードウェアコンポーネントを使用することができる。例えば、上述した機能の少なくともいくつかは、使用する1つまたは複数のプロセッサの観点から適切であるC原語、C++原語または任意のアセンブリ原語を使用して実施することができる。また、Java(登録商標)などの解釈環境で作成し、様々なユーザに対して複数の宛先に移送することも可能である。
以上、本明細書において本発明の開示が組み込まれた様々な実施形態を示し、かつ、詳細に説明したが、当分野技術者には、これらの開示が組み込まれた他の多くの変形実施形態を容易に工夫することができよう。
1つまたは複数の基板を研磨するための典型的なCMP装置の斜視図である。 研磨製法における一連の研磨工程に対する基板表面全体の酸化物材料除去(Å)をプロットしたグラフを示す図である。 モデル展開の概要を示す工程系統図である。 厚さプロファイルモデル用に形成された領域を示すウェハを示す略図である。 本発明の少なくともいくつかの実施形態が意図している、CMP研磨操作に使用されるフィードバックループの工程系統図である。 本発明の少なくともいくつかの実施形態が意図している、異なる研磨製法を備えた2つのプラテンを使用したCMPプロセスのためのモデル展開を示す略図である。 本発明の少なくともいくつかの実施形態に使用するためのツール表現およびアクセス制御を備えたコンピュータシステムのブロック図である。 本発明の少なくともいくつかの実施形態によるソフトウェアの様々な部分を記録することができるフロッピー(登録商標)ディスクを示す図である。

Claims (32)

  1. 研磨操作における一様なウェハ厚さのプロファイル生成方法を有する半導体ウェハの表面平坦化方法であって、前記プロファイル生成方法が、
    (a)複数の領域をウェハ上に形成し、かつ研磨工程における個々の領域のウェハ材料の除去速度を識別するウェハ研磨モデルを提供するステップと、
    (b)個々の領域に対する目標厚さプロファイルを生成する研磨製法を使用してウェハを研磨するステップと
    を備えたことを特徴とする半導体ウェハの表面平坦化方法。
  2. 研磨操作におけるウェハ表面の非一様性制御方法を有する半導体ウェハの表面平坦化方法であって、前記非一様性制御方法が、
    (a)複数の領域をウェハ上に形成し、かつ研磨プロセスの研磨工程における個々の領域のウェハ材料除去速度を識別するウェハ研磨モデルを提供するステップであって、前記研磨プロセスが複数の研磨工程を有するステップと、
    (b)入りウェハ厚さプロファイルに基づく第1の研磨製法を使用してウェハを研磨するステップと、
    (c)前記ステップ(b)の研磨後におけるウェハのウェハ厚さプロファイルを決定するステップと、
    (d)目標ウェハ厚さプロファイルを維持するべく、前記ステップ(c)の前記ウェハ厚さプロファイル及び前記ステップ(a)の前記モデルに基づく更新研磨製法を計算するステップと
    を備えたことを特徴とする半導体ウェハの表面平坦化方法。
  3. 前記第1の研磨製法は、前記目標ウェハ厚さプロファイルを得るべく前記ステップ(a)の前記モデルに基づいていることを特徴とする請求項2に記載の半導体ウェハの表面平坦化方法。
  4. 前記第1の研磨製法は、実験によって決定されることを特徴とする請求項2に記載の半導体ウェハの表面平坦化方法。
  5. 前記ステップ(a)のモデルの前記複数の領域は、前記ウェハの中心点から半径方向を外側に向かって延びた領域を備えることを特徴とする請求項1又は2に記載の半導体ウェハの表面平坦化方法。
  6. 前記モデルは、4つ以上の領域を備えることを特徴とする請求項5に記載の半導体ウェハの表面平坦化方法。
  7. 前記ステップ(b)の研磨は、複数の研磨ステーションで前記ウェハを研磨するステップを含むことを特徴とする請求項1に記載の半導体ウェハの表面平坦化方法。
  8. 前記研磨ステップは、3つの研磨ステーションで実施されることを特徴とする請求項7に記載の半導体ウェハの表面平坦化方法。
  9. 少なくとも2つの研磨ステーションの前記研磨製法が同じであることを特徴とする請求項7に記載の半導体ウェハの表面平坦化方法。
  10. 少なくとも2つの研磨ステーションの前記研磨製法が異なることを特徴とする請求項7に記載の半導体ウェハの表面平坦化方法。
  11. 前記ステップ(d)の更新研磨製法の計算は、前記複数の研磨ステーションの各々に対する更新研磨製法を計算するステップを含むことを特徴とする請求項1に記載の半導体ウェハの表面平坦化方法。
  12. 前記複数の研磨ステーションの各々に対する前記更新研磨製法は、個々の研磨ステーションのツール状態を補償することを特徴とする請求項11に記載の半導体ウェハの表面平坦化方法。
  13. 前記ステップ(b)の研磨は、複数の研磨ステーションで実行され、連続する研磨ステーションの各々に対する前記ウェハ厚さプロファイルは、先行するステーションからの予測によって提供されることを特徴とする請求項9又は10に記載の半導体ウェハの表面平坦化方法。
  14. ウェハ磨済モデルを提供する前記ステップは、
    (e)1つ又は複数のウェハ上に形成されている複数の領域の各々の予備研磨済みウェハ厚さを測定するステップと、
    (f)前記1つ又は複数のウェハを複数の研磨工程で研磨するステップと、
    (g)前記ステップ(f)における個々の研磨工程の後、前記複数の領域の各々における前記1つ又は複数のウェハに対する前記ウェハ材料除去速度を測定するステップと、
    (h)研磨有効性に対するツール状態の影響を明確にするモデルを提供するステップと、
    (i)前記個々の領域に対する前記予備研磨済み及び研磨後のウェハ厚さを記録媒体に記録するステップと
    を備えたことを特徴とする請求項1又は2に記載の半導体ウェハの表面平坦化方法。
  15. 前記ウェハの1つの領域の前記材料の除去速度と重要な研磨パラメータの間の関係を確立する線形又は非線形曲線にデータを当てはめるステップを備えたことを特徴とする請求項14に記載の半導体ウェハの表面平坦化方法。
  16. 前記研磨パラメータは、研磨時間からなることを特徴とする請求項14に記載の半導体ウェハの表面平坦化方法。
  17. 前記研磨パラメータは、研磨時間、研磨パッドダウンフォース及び速度、泥状物流量及び組成、調整時間、調整ディスクダウンフォース及び速度、調整ディスク及びウェハキャリアの両方の発振速度からなるグループから選択されるパラメータからなることを特徴とする請求項16に記載の半導体ウェハの表面平坦化方法。
  18. 前記ステップ(a)のモデルにおける領域j(AR’j)に対する前記ウェハ除去は、x1、x2、x3、x4及びx5が、それぞれ研磨工程1、2、3、4及び5の追加パラメータ値であり、t1、t2、t3、t4及びt5が、それぞれ研磨工程1、2、3、4及び5の研磨時間であり、また、ca1jが領域jの研磨工程aにおける変数xのウェハ除去に対する寄与を表し、ca2jが、研磨工程aにおける研磨時間のウェハ除去に対する寄与を表す方程式、
    AR’j=(c11j≒x1+c12j)≒t1+(c21j≒x2+c22j)≒t2+(c31j≒x3+c32j)≒t3+(c41j≒x4+c42j)≒t4+(c51j≒x5+c52j)≒t5
    に従って決定されることを特徴とする請求項1又は2に記載の半導体ウェハの表面平坦化方法。
  19. 前記ウェハ材料除去速度プロファイルは、項tp及びtdがそれぞれ時間を単位としたパッド及びディスクの寿命を表し、項kp、kd及びkpdが実験的に決定される、パッド及びディスクの寿命を除去速度に関連付ける係数であるスケーリング因子、
    (1+kp・tp+kd・td+kpd・tp・td
    を使用してプロファイルをスケーリングすることによってツール状態を補償することを特徴とする請求項18に記載の半導体ウェハの表面平坦化方法。
  20. 更新研磨製法は、xが時間のベクトル及び前記研磨製法に対応する他の処理パラメータであり、g(x)が前記研磨プロセスのモデルであり、yspが所望する平均領域ウェハ厚さのベクトルであり、また、f(ysp、g(x))がモデル予測g(x)と所望する厚さのyspの間の偏差にペナルティを課すための損失関数である方程式、
    Figure 2005520317
    を解くことによって得られることを特徴とする請求項2に記載の半導体ウェハの表面平坦化方法。
  21. ウェハ厚さプロファイルのモデル決定方法を有する半導体ウェハの表面平坦化方法であって、前記モデル決定方法が、
    (a)1つ又は複数のウェハ上に形成された複数の領域の各々の予備研磨済みウェハ厚さを測定するステップと、
    (b)前記1つ又は複数のウェハを複数の研磨工程で研磨するステップと、
    (c)前記ステップ(b)における個々の研磨工程の後、前記複数の領域の各々における前記1つ又は複数のウェハに対するウェハ材料除去速度を測定するステップと、
    (d)研磨有効性に対するツール状態の影響を明確にするモデルを提供するステップと、
    (e)前記個々の領域に対する前記予備研磨済み及び研磨後のウェハ厚さを記録媒体に記録するステップと
    を備えたことを特徴とする半導体ウェハの表面平坦化方法。
  22. 前記ウェハの1つの領域の前記材料除去速度と重要な研磨パラメータの間の関係を確立する線形又は非線形曲線にデータを当てはめるステップを備えたことを特徴とする請求項21に記載の半導体ウェハの表面平坦化方法。
  23. 前記研磨パラメータは、研磨時間からなることを特徴とする請求項22に記載の半導体ウェハの表面平坦化方法。
  24. 前記研磨パラメータは、研磨時間、研磨パッドダウンフォース及び速度、泥状物流量及び組成、調整時間、調整ディスクダウンフォース及び速度、調整ディスク及びウェハキャリアの両方の発振速度からなるグループから選択されるパラメータからなることを特徴とする請求項23に記載の半導体ウェハの表面平坦化方法。
  25. 前記ステップ(a)の前記モデルにおける領域j(AR’j)に対する前記ウェハ材料除去は、x1、x2、x3、x4およびx5が、それぞれ研磨工程1、2、3、4及び5の追加パラメータ値であり、t1、t2、t3、t4及びt5が、それぞれ研磨工程1、2、3、4及び5の研磨時間であり、また、ca1jが領域jの研磨工程aにおける変数xのウェハ除去に対する寄与を表し、ca2jが、研磨工程aにおける研磨時間のウェハ除去に対する寄与を表す方程式、
    AR’j=(c11j≒x1+c12j)≒t1+(c21j≒x2+c22j)≒t2+(c31j≒x3+c32j)≒t3+(c41j≒x4+c42j)≒t4+(c51j≒x5+c52j)≒t5
    に従って決定されることを特徴とする請求項21に記載の半導体ウェハの表面平坦化方法。
  26. 前記ウェハ材料除去速度プロファイルは、項tp及びtdがそれぞれ時間を単位としたパッド及びディスクの寿命を表し、項kp、kd及びkpdが実験的に決定される、パッド及びディスクの寿命を除去速度に関連付ける係数であるスケーリング因子、
    (1+kp・tp+kd・td+kpd・tp・td
    を使用してプロファイルをスケーリングすることによってツール状態を補償することを特徴とする請求項21に記載の半導体ウェハの表面平坦化方法。
  27. 前記モデルが、最大9枚のウェハを使用して決定されることを特徴とする請求項22に記載の半導体ウェハの表面平坦化方法。
  28. 基板の平坦化に使用される研磨パッドの調整装置を有する半導体ウェハの表面平坦化装置であって、前記調整装置が、
    複数の研磨パッドの複数の平坦化表面に対して位置決め可能な、ウェハを保持するための複数のアームを有するキャリアアセンブリと、
    研磨プロセスの動作パラメータを制御することができる制御手段と、
    該制御手段に動作結合された、前記研磨プロセスの前記動作パラメータをウェハ厚さプロファイルのモデルの関数として調整するべく前記制御手段を動作させるコントローラとを備え、前記モデルは、
    複数の領域をウェハ上に形成し、かつ研磨プロセスの研磨工程における個々の前記領域のウェハ材料除去速度を識別する研磨モデルを形成するステップであって、前記研磨プロセスが複数の研磨工程を含むステップを備えたことを特徴とする半導体ウェハの表面平坦化装置。
  29. 前記ウェハ材料の除去速度モデルにおける領域j(AR’j)に対するウェハ除去を形成する前記モデルは、x1、x2、x3、x4及びx5が、それぞれ研磨工程1、2、3、4及び5の追加パラメータ値であり、t1、t2、t3、t4及びt5が、それぞれ研磨工程1、2、3、4及び5の研磨時間であり、また、ca1jが領域jの研磨工程aにおける変数xのウェハ除去に対する寄与を表し、ca2jが研磨工程aにおける研磨時間のウェハ除去に対する寄与を表す方程式、
    AR’j=(c11j≒x1+c12j)≒t1+(c21j≒x2+c22j)≒t2+(c31j≒x3+c32j)≒t3+(c41j≒x4+c42j)≒t4+(c51j≒x5+c52j)≒t5
    に従って決定されることを特徴とする請求項28に記載の半導体ウェハの表面平坦化装置。
  30. コンピュータによって実行される命令機能をプログラムしたコンピュータ読み取り可能な記録媒体であって、前記命令機能には、化学機械研磨プロセスのためのコンピュータ実施ソフトウェアアプリケーションが含まれ、また、前記プロセスを実施するための前記命令機能には、
    (a)化学機械研磨プロセスで処理する少なくとも1つのウェハのウェハ除去速度に関連するデータを化学機械研磨ツールから受け取るステップと、
    (b)前記ステップ(a)で受け取ったデータから更新研磨製法を計算するステップであって、ウェハ材料の除去速度モデルの出力とステップ(a)で受け取った前記データの差を決定することによって前記更新研磨製法を計算するステップとを含まれていることを特徴とするコンピュータ読み取り可能な記録媒体。
  31. 前記ウェハ材料除去速度のモデルは、複数の領域をウェハ上に形成し、かつ研磨プロセスの研磨工程における前記個々の領域に対する前記ウェハ材料の除去速度を識別し、前記研磨プロセスは複数の研磨ステップを含むことを特徴とする請求項30に記載のコンピュータ読み取り可能な記録媒体。
  32. 前記ウェハ材料除去速度のモデルにおける領域j(AR’j)に対する前記ウェハ除去は、x1、x2、x3、x4及びx5が、それぞれ研磨工程1、2、3、4及び5の追加パラメータ値であり、t1、t2、t3、t4及びt5が、それぞれ研磨工程1、2、3、4及び5の研磨時間であり、また、ca1jが領域jの研磨工程aにおける変数xのウェハ除去に対する寄与を表し、ca2jが、研磨工程aにおける研磨時間のウェハ除去に対する寄与を表す方程式、
    AR’j=(c11j≒x1+c12j)≒t1+(c21j≒x2+c22j)≒t2+(c31j≒x3+c32j)≒t3+(c41j≒x4+c42j)≒t4+(c51j≒x5+c52j)≒t5
    に従って決定されることを特徴とする請求項30に記載のコンピュータ読み取り可能な記録媒体。
JP2003505993A 2001-06-19 2002-06-17 半導体ウェハの表面平坦化装置 Expired - Fee Related JP4799817B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US29887801P 2001-06-19 2001-06-19
US60/298,878 2001-06-19
US09/943,955 2001-08-31
US09/943,955 US7160739B2 (en) 2001-06-19 2001-08-31 Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
PCT/US2002/019063 WO2002103777A1 (en) 2001-06-19 2002-06-17 Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles

Publications (3)

Publication Number Publication Date
JP2005520317A true JP2005520317A (ja) 2005-07-07
JP2005520317A5 JP2005520317A5 (ja) 2006-01-05
JP4799817B2 JP4799817B2 (ja) 2011-10-26

Family

ID=26970923

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003505993A Expired - Fee Related JP4799817B2 (ja) 2001-06-19 2002-06-17 半導体ウェハの表面平坦化装置

Country Status (6)

Country Link
US (3) US7160739B2 (ja)
EP (1) EP1399962A1 (ja)
JP (1) JP4799817B2 (ja)
KR (1) KR100906133B1 (ja)
CN (1) CN1292464C (ja)
WO (1) WO2002103777A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130139778A (ko) 2012-06-13 2013-12-23 가부시키가이샤 에바라 세이사꾸쇼 연마 방법 및 연마 장치
JP2014512690A (ja) * 2011-04-20 2014-05-22 アプライド マテリアルズ インコーポレイテッド 終点検出のためのスペクトル特徴の適応的追跡
JP2015168015A (ja) * 2014-03-05 2015-09-28 株式会社荏原製作所 研磨装置および研磨方法
KR20190049461A (ko) * 2017-10-31 2019-05-09 가부시키가이샤 에바라 세이사꾸쇼 연마 장치 및 연마 방법
WO2020137099A1 (ja) * 2018-12-28 2020-07-02 株式会社荏原製作所 研磨レシピ決定装置
WO2022176576A1 (ja) * 2021-02-22 2022-08-25 株式会社Sumco 加工条件設定装置、加工条件設定方法、及びウェーハの製造システム

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7698012B2 (en) * 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US6863771B2 (en) * 2001-07-25 2005-03-08 Micron Technology, Inc. Differential pressure application apparatus for use in polishing layers of semiconductor device structures and methods
US20030199112A1 (en) * 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
JP4020739B2 (ja) 2002-09-27 2007-12-12 株式会社荏原製作所 ポリッシング装置
AU2003290932A1 (en) 2002-11-15 2004-06-15 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
JP4777658B2 (ja) * 2002-11-22 2011-09-21 アプライド マテリアルズ インコーポレイテッド 研磨制御のための方法および器具
US7131891B2 (en) * 2003-04-28 2006-11-07 Micron Technology, Inc. Systems and methods for mechanical and/or chemical-mechanical polishing of microfeature workpieces
JP2005203729A (ja) * 2003-12-19 2005-07-28 Ebara Corp 基板研磨装置
DE102004004556B4 (de) * 2004-01-29 2008-12-24 Siltronic Ag Verfahren zur Herstellung einer Halbleiterscheibe
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
US7636611B2 (en) * 2005-10-28 2009-12-22 Samsung Austin Semiconductor, L.P. Fuzzy logic system for process control in chemical mechanical polishing
US7366575B2 (en) * 2005-12-30 2008-04-29 Intel Corporation Wafer polishing control
JP4790475B2 (ja) * 2006-04-05 2011-10-12 株式会社荏原製作所 研磨装置、研磨方法、および基板の膜厚測定プログラム
US7622052B1 (en) * 2006-06-23 2009-11-24 Novellus Systems, Inc. Methods for chemical mechanical planarization and for detecting endpoint of a CMP operation
JP4942174B2 (ja) * 2006-10-05 2012-05-30 東京エレクトロン株式会社 基板処理システムの処理レシピ最適化方法,基板処理システム,基板処理装置
WO2009033101A1 (en) * 2007-09-06 2009-03-12 Deka Products Limited Partnership Processing system and method
CN101456150B (zh) * 2007-12-11 2011-09-28 上海华虹Nec电子有限公司 化学机械抛光方法
US20100062693A1 (en) * 2008-09-05 2010-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Two step method and apparatus for polishing metal and other films in semiconductor manufacturing
US8129279B2 (en) * 2008-10-13 2012-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical mechanical polish process control for improvement in within-wafer thickness uniformity
US7899571B2 (en) * 2008-11-05 2011-03-01 Texas Instruments Incorporated Predictive method to improve within wafer CMP uniformity through optimized pad conditioning
JP5526895B2 (ja) * 2009-04-01 2014-06-18 信越化学工業株式会社 大型合成石英ガラス基板の製造方法
JP5334787B2 (ja) * 2009-10-09 2013-11-06 株式会社日立ハイテクノロジーズ プラズマ処理装置
TWI381904B (zh) * 2009-12-03 2013-01-11 Nat Univ Chung Cheng The method of detecting the grinding characteristics and service life of the polishing pad
US8670857B2 (en) * 2010-02-02 2014-03-11 Applied Materials, Inc. Flexible process condition monitoring
US20110195636A1 (en) * 2010-02-11 2011-08-11 United Microelectronics Corporation Method for Controlling Polishing Wafer
US9579767B2 (en) * 2010-04-28 2017-02-28 Applied Materials, Inc. Automatic generation of reference spectra for optical monitoring of substrates
US8602838B2 (en) * 2010-08-26 2013-12-10 Mcronix International Co., Ltd. Chemical mechanical polishing method and system
CN102380816A (zh) * 2010-08-30 2012-03-21 旺宏电子股份有限公司 化学机械抛光方法与系统
JP5853382B2 (ja) * 2011-03-11 2016-02-09 ソニー株式会社 半導体装置の製造方法、及び電子機器の製造方法
CN102689266A (zh) * 2011-03-23 2012-09-26 中芯国际集成电路制造(上海)有限公司 一种抛光装置及晶片抛光方法
US8774958B2 (en) * 2011-04-29 2014-07-08 Applied Materials, Inc. Selection of polishing parameters to generate removal profile
JP5898420B2 (ja) * 2011-06-08 2016-04-06 株式会社荏原製作所 研磨パッドのコンディショニング方法及び装置
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
CN102501174A (zh) * 2011-11-02 2012-06-20 上海宏力半导体制造有限公司 化学机械研磨设备中的金刚石修整器的修整能力识别方法
US10643853B2 (en) 2012-02-10 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer thinning apparatus having feedback control and method of using
KR101918800B1 (ko) 2012-02-27 2018-11-14 어플라이드 머티어리얼스, 인코포레이티드 균일한 토포그래피를 위해 소거의 검출 및 조절을 이용하는 피드백 제어
US8563335B1 (en) * 2012-04-23 2013-10-22 Applied Materials, Inc. Method of controlling polishing using in-situ optical monitoring and fourier transform
US9289875B2 (en) * 2012-04-25 2016-03-22 Applied Materials, Inc. Feed forward and feed-back techniques for in-situ process control
CN102729133A (zh) * 2012-07-16 2012-10-17 日月光半导体制造股份有限公司 晶圆研磨装置与晶圆研磨方法
US9067295B2 (en) * 2012-07-25 2015-06-30 Applied Materials, Inc. Monitoring retaining ring thickness and pressure control
CN102744674B (zh) * 2012-07-26 2016-10-26 上海华虹宏力半导体制造有限公司 化学机械研磨设备
US20140080229A1 (en) * 2012-09-14 2014-03-20 Stmicroelectronics, Inc. Adaptive semiconductor processing using feedback from measurement devices
US9604339B2 (en) 2012-10-29 2017-03-28 Wayne O. Duescher Vacuum-grooved membrane wafer polishing workholder
US9233452B2 (en) 2012-10-29 2016-01-12 Wayne O. Duescher Vacuum-grooved membrane abrasive polishing wafer workholder
US9199354B2 (en) 2012-10-29 2015-12-01 Wayne O. Duescher Flexible diaphragm post-type floating and rigid abrading workholder
CN102922400A (zh) * 2012-11-09 2013-02-13 昆山市大金机械设备厂 抛光装置
WO2014078151A1 (en) * 2012-11-16 2014-05-22 Applied Materials, Inc. Recording measurements by sensors for a carrier head
US9056383B2 (en) * 2013-02-26 2015-06-16 Applied Materials, Inc. Path for probe of spectrographic metrology system
US9079210B2 (en) * 2013-07-22 2015-07-14 Infineon Technologies Ag Methods for etching a workpiece, an apparatus configured to etch a workpiece, and a non-transitory computer readable medium
JP6266493B2 (ja) 2014-03-20 2018-01-24 株式会社荏原製作所 研磨装置及び研磨方法
CN105097434B (zh) * 2014-05-21 2018-06-01 中国科学院微电子研究所 一种平坦化的工艺方法
US9673113B2 (en) * 2014-06-05 2017-06-06 Applied Materials, Inc. Method and system for real-time polishing recipe control
CN106695567B (zh) * 2015-07-17 2020-03-27 盛美半导体设备(上海)股份有限公司 流量补偿方法
WO2017146743A1 (en) * 2016-02-27 2017-08-31 Intel Corporation Pad surface roughness change metrics for chemical mechanical polishing conditioning disks
JP7160692B2 (ja) * 2016-06-30 2022-10-25 アプライド マテリアルズ インコーポレイテッド 化学機械研磨自動レシピ生成
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
KR102395616B1 (ko) * 2016-10-10 2022-05-09 어플라이드 머티어리얼스, 인코포레이티드 화학적 기계적 연마를 위한 실시간 프로파일 제어
WO2018074091A1 (ja) * 2016-10-18 2018-04-26 株式会社 荏原製作所 基板処理制御システム、基板処理制御方法、およびプログラム
US10964606B2 (en) * 2017-02-07 2021-03-30 Tokyo Electron Limited Film forming system, film forming method, and computer storage medium
JP6779173B2 (ja) * 2017-05-18 2020-11-04 株式会社荏原製作所 基板処理装置、プログラムを記録した記録媒体
US10926378B2 (en) 2017-07-08 2021-02-23 Wayne O. Duescher Abrasive coated disk islands using magnetic font sheet
CN107234495B (zh) * 2017-07-24 2019-01-29 黄亦翔 建立平均材料去除速率预测模型的方法及预测速率的方法
TWI794293B (zh) * 2017-09-25 2023-03-01 美商應用材料股份有限公司 使用製程控制參數矩陣的半導體製造
JP7046358B2 (ja) * 2018-04-17 2022-04-04 スピードファム株式会社 研磨装置
US10916503B2 (en) 2018-09-11 2021-02-09 International Business Machines Corporation Back end of line metallization structure
CN109333367A (zh) * 2018-11-13 2019-02-15 江苏利泷半导体科技有限公司 全自动非晶制程抛光系统的工作方法
CN109290918A (zh) * 2018-11-13 2019-02-01 江苏利泷半导体科技有限公司 用于非晶片制程的全自动抛光生产线
CN109290917A (zh) * 2018-11-13 2019-02-01 江苏利泷半导体科技有限公司 全自动非晶制程抛光系统
US11989492B2 (en) 2018-12-26 2024-05-21 Applied Materials, Inc. Preston matrix generator
JP7081544B2 (ja) * 2019-03-22 2022-06-07 株式会社Sumco ワークの両面研磨方法及びワークの両面研磨装置
US11691241B1 (en) * 2019-08-05 2023-07-04 Keltech Engineering, Inc. Abrasive lapping head with floating and rigid workpiece carrier
CN112894609A (zh) * 2021-02-08 2021-06-04 上海新昇半导体科技有限公司 化学机械抛光系统及化学机械抛光监测方法
US11969854B2 (en) 2021-03-05 2024-04-30 Applied Materials, Inc. Control of processing parameters during substrate polishing using expected future parameter changes
US11931853B2 (en) 2021-03-05 2024-03-19 Applied Materials, Inc. Control of processing parameters for substrate polishing with angularly distributed zones using cost function
CN113524019A (zh) * 2021-07-27 2021-10-22 福建北电新材料科技有限公司 化学机械抛光方法
CN113941926A (zh) * 2021-10-28 2022-01-18 杭州涂鸦信息技术有限公司 一种导光柱的磨砂方法、装置及光学设备
CN113953969B (zh) * 2021-11-08 2022-09-20 北京烁科精微电子装备有限公司 一种在线优化抛光压力的方法
CN116713823B (zh) * 2023-08-10 2023-12-15 潍柴动力股份有限公司 一种连杆模具的自动抛光方法
CN117207056B (zh) * 2023-11-07 2024-01-23 苏州博宏源机械制造有限公司 一种高精度晶片激光测厚装置及方法

Family Cites Families (436)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (ja) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4209744A (en) 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
US4207520A (en) 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4368510A (en) 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4609870A (en) 1981-03-27 1986-09-02 Hocking Electronics Limited Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
EP0162670B1 (en) 1984-05-19 1991-01-02 British Aerospace Public Limited Company Industrial processing and manufacturing systems
JPS6313133Y2 (ja) 1984-10-03 1988-04-14
JPH0136270Y2 (ja) 1985-04-13 1989-11-06
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
US4717596A (en) * 1985-10-30 1988-01-05 International Business Machines Corporation Method for vacuum vapor deposition with improved mass flow control
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US4974543A (en) 1986-02-28 1990-12-04 Xerox Corporation Apparatus for amorphous silicon film
US4755753A (en) 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
US4796194A (en) 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4901218A (en) 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
JPH01283934A (ja) 1988-05-11 1989-11-15 Tokyo Electron Ltd エッチング装置およびその制御方法
US5345587A (en) 1988-09-14 1994-09-06 Digital Equipment Corporation Extensible entity management system including a dispatching kernel and modules which independently interpret and execute commands
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4957605A (en) 1989-04-17 1990-09-18 Materials Research Corporation Method and apparatus for sputter coating stepped wafers
DE68924977T2 (de) 1989-05-17 1996-06-13 Philips Electronics Nv Steuermodul für eine Arbeitsstation.
DE3919131A1 (de) 1989-06-12 1990-12-13 Tzn Forschung & Entwicklung Vorrichtung und verfahren zur beruehrungslosen messung der schichtdicke eines nichtleitenden materials sowie verwendung der vorrichtung zur messung kunststoffbeschichteter metallteile
JP2780814B2 (ja) 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US6185324B1 (en) * 1989-07-12 2001-02-06 Hitachi, Ltd. Semiconductor failure analysis system
US6345288B1 (en) * 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
JPH05266029A (ja) 1992-03-16 1993-10-15 Toshiba Corp プロセスフロー作成装置
JP3140877B2 (ja) 1993-02-24 2001-03-05 株式会社東芝 プロセスフローチェック・シミュレーション装置
JPH05216896A (ja) 1991-11-14 1993-08-27 Toshiba Corp 製造工程管理システム
JP3297089B2 (ja) 1992-09-29 2002-07-02 株式会社東芝 データ検証システム
JP3139867B2 (ja) 1993-03-04 2001-03-05 株式会社東芝 半導体生産システム
JP3207457B2 (ja) 1990-08-14 2001-09-10 株式会社東芝 製造工程管理システム
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
JP3202388B2 (ja) 1992-10-08 2001-08-27 株式会社東芝 製造規則チェックシステム
CA2165847C (en) 1990-08-31 1998-11-17 Dennis A. Sierk Process gas distribution system and method with automatic transducer zero calibration
US5220517A (en) 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
AU9030391A (en) 1990-10-16 1992-05-20 Consilium, Inc. Object-oriented architecture for factory floor management
US5295242A (en) 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
WO1992014197A1 (en) 1991-02-08 1992-08-20 Kabushiki Kaisha Toshiba Model forecasting controller
US5430836A (en) 1991-03-01 1995-07-04 Ast Research, Inc. Application control module for common user access interface
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) * 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5309221A (en) 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
JP3154425B2 (ja) 1992-01-07 2001-04-09 フクダ電子株式会社 心電図情報記録方法及び装置
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5857258A (en) * 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5602492A (en) 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5823853A (en) 1996-07-18 1998-10-20 Speedfam Corporation Apparatus for the in-process detection of workpieces with a monochromatic light source
US5733171A (en) * 1996-07-18 1998-03-31 Speedfam Corporation Apparatus for the in-process detection of workpieces in a CMP environment
JPH06184434A (ja) 1992-12-16 1994-07-05 Tonen Corp 熱可塑性樹脂組成物
FR2700403B1 (fr) 1993-01-12 1995-04-07 Sextant Avionique Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne.
US5490097A (en) 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
JPH0823166B2 (ja) 1993-04-05 1996-03-06 西武ポリマ化成株式会社 暗渠の継手の施工法
US5428555A (en) 1993-04-20 1995-06-27 Praxair, Inc. Facility and gas management system
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5402367A (en) 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5700180A (en) 1993-08-25 1997-12-23 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing
US5408405A (en) 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5503707A (en) 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5577204A (en) * 1993-12-15 1996-11-19 Convex Computer Corporation Parallel processing computer system interconnections utilizing unidirectional communication links with separate request and response lines for direct communication or using a crossbar switching device
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
JPH07201946A (ja) 1993-12-28 1995-08-04 Hitachi Ltd 半導体装置等の製造方法及びその装置並びに検査方法及びその装置
KR950034499A (ko) 1994-01-28 1995-12-28 제임스 조셉 드롱 물리적인 증기증착 과정동안 필름들의 증착속도를 모니터하기 위한 방법 및 장치
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
WO1995034866A1 (en) 1994-06-14 1995-12-21 Telefonaktiebolaget Lm Ericsson A method and system for manipulating intelligent representations of real equipment within a graphical computer system
US5629216A (en) 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
JPH0850161A (ja) 1994-08-05 1996-02-20 Matsushita Electron Corp 半導体デバイスの測定方法
US5494854A (en) * 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
EP0706209A3 (en) 1994-10-06 1996-12-27 Applied Materials Inc Thin film resistance measurement
US5546179A (en) * 1994-10-07 1996-08-13 Cheng; David Method and apparatus for mapping the edge and other characteristics of a workpiece
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
JPH08149583A (ja) 1994-11-21 1996-06-07 Mitsubishi Electric Corp プロセスコントローラ及びデータ監視方法
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
KR100213603B1 (ko) * 1994-12-28 1999-08-02 가나이 쯔또무 전자회로기판의 배선수정방법 및 그 장치와 전자회로기판
US5534289A (en) 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
US5617023A (en) 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5535128A (en) 1995-02-09 1996-07-09 The United States Of America As Represented By The Secretary Of The Air Force Hierarchical feedback control of pulsed laser deposition
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5711843A (en) * 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
US5831851A (en) 1995-03-21 1998-11-03 Seagate Technology, Inc. Apparatus and method for controlling high throughput sputtering
US5761065A (en) 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5559428A (en) 1995-04-10 1996-09-24 International Business Machines Corporation In-situ monitoring of the change in thickness of films
JP3399697B2 (ja) 1995-04-28 2003-04-21 大日本スクリーン製造株式会社 測定点マッピング装置およびこれを利用した半導体ウエハの測定装置
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5696893A (en) 1995-06-07 1997-12-09 Xerox Corporation System for generically describing and scheduling operation of modular printing machine
US5764543A (en) 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
US5828778A (en) 1995-07-13 1998-10-27 Matsushita Electric Industrial Co., Ltd. Method and apparatus for analyzing failure of semiconductor wafer
JPH0934535A (ja) 1995-07-13 1997-02-07 Mitsubishi Electric Corp メンテナンス通告方式
US5825913A (en) 1995-07-18 1998-10-20 Cognex Corporation System for finding the orientation of a wafer
US6036349A (en) * 1995-07-27 2000-03-14 Health Designs, Inc. Method and apparatus for validation of model-based predictions
US5716856A (en) 1995-08-22 1998-02-10 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing using predetermined sequences within runs
KR0153617B1 (ko) * 1995-09-20 1998-12-01 김광호 반도체 집적회로 제조공정방법
US5963881A (en) 1995-09-22 1999-10-05 Texas Instruments Incorporated Method and system for enhancing the identification of causes of variations in the performance of manufactured articles
JPH09129530A (ja) 1995-09-25 1997-05-16 Texas Instr Inc <Ti> サイトモデルを用いたプロセスモジュールの制御およびモニタウエハ制御
WO1997012300A1 (en) 1995-09-26 1997-04-03 Boiquaye William J N O Adaptive control process and system
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (ja) * 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
KR100200480B1 (ko) 1995-12-21 1999-10-01 윤종용 불량 분석 피드백에 의한 반도체 제조공정 제어방법
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US6094600A (en) 1996-02-06 2000-07-25 Fisher-Rosemount Systems, Inc. System and method for managing a transaction database of records of changes to field device configurations
KR100192216B1 (ko) 1996-02-29 1999-06-15 황인길 웨이퍼 맵 변환방법
JP3854640B2 (ja) 1996-03-06 2006-12-06 株式会社 日立製作所 半導体素子製造方法
JP3595061B2 (ja) 1996-03-11 2004-12-02 富士通株式会社 半導体装置及びその製造方法
US5825356A (en) 1996-03-18 1998-10-20 Wall Data Incorporated Help system with semitransparent window for disabling controls
US6542830B1 (en) 1996-03-19 2003-04-01 Hitachi, Ltd. Process control system
AU2422797A (en) * 1996-03-28 1997-10-17 Bio-Analytics, Inc. Doing Business As Biomedware Method for measuring a degree of association for dimensionally referenced data
US6017143A (en) 1996-03-28 2000-01-25 Rosemount Inc. Device in a process system for detecting events
US5943550A (en) 1996-03-29 1999-08-24 Advanced Micro Devices, Inc. Method of processing a semiconductor wafer for controlling drive current
JP3699776B2 (ja) 1996-04-02 2005-09-28 株式会社日立製作所 電子部品の製造方法
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US5660895A (en) 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US6278899B1 (en) 1996-05-06 2001-08-21 Pavilion Technologies, Inc. Method for on-line optimization of a plant
US5859777A (en) * 1996-05-14 1999-01-12 Toshiba Kikai Kabushiki Kaisha Casting control support system for die casting machines
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5910846A (en) * 1996-05-16 1999-06-08 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5823854A (en) 1996-05-28 1998-10-20 Industrial Technology Research Institute Chemical-mechanical polish (CMP) pad conditioner
JPH1086040A (ja) * 1996-06-13 1998-04-07 Mitsubishi Electric Corp 多系統の自動プログラミング方法及びその装置
US5960185A (en) 1996-06-24 1999-09-28 International Business Machines Corporation Method and apparatus for wafer disposition based on systematic error modeling
JP3545558B2 (ja) 1996-12-13 2004-07-21 大日本スクリーン製造株式会社 ウェハの測定位置決定方法
JPH1034522A (ja) 1996-07-17 1998-02-10 Nikon Corp Cmp用研磨装置及びcmp用装置システム
WO1998005066A2 (en) 1996-07-26 1998-02-05 Speedfam Corporation Methods and apparatus for the in-process detection and measurement of thin film layers
US5664990A (en) * 1996-07-29 1997-09-09 Integrated Process Equipment Corp. Slurry recycling in CMP apparatus
JPH10112493A (ja) 1996-08-13 1998-04-28 Sony Corp 表面矯正薄板保持装置、面調整手段及び向き調整手段
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5844554A (en) 1996-09-17 1998-12-01 Bt Squared Technologies, Inc. Methods and systems for user interfaces and constraint handling configurations software
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
JP3558794B2 (ja) * 1996-09-27 2004-08-25 株式会社荏原製作所 半導体ウエハーの研磨方法及び研磨装置
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
JPH10106917A (ja) 1996-10-02 1998-04-24 Toshiba Corp 半導体装置製造用生産システム
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
TW364956B (en) 1996-10-21 1999-07-21 Nxp Bv Method and system for assessing a measurement procedure and measurement-induced uncertainties on a batchwise manufacturing process of discrete products
US5859964A (en) * 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US6064759A (en) 1996-11-08 2000-05-16 Buckley; B. Shawn Computer aided inspection machine
US5874345A (en) * 1996-11-18 1999-02-23 International Business Machines Corporation Method for planarizing TEOS SiO2 filled shallow isolation trenches
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6078845A (en) 1996-11-25 2000-06-20 Schlumberger Technologies, Inc. Apparatus for carrying semiconductor devices
US5889991A (en) * 1996-12-06 1999-03-30 International Business Machines Corp. Method and system for customizing a palette using any java class
JPH10173021A (ja) 1996-12-12 1998-06-26 Mitsubishi Electric Corp 製造ライン解析方法及び製造ライン解析装置
US6128016A (en) 1996-12-20 2000-10-03 Nec Corporation Graphic user interface for managing a server system
US5982920A (en) 1997-01-08 1999-11-09 Lockheed Martin Energy Research Corp. Oak Ridge National Laboratory Automated defect spatial signature analysis for semiconductor manufacturing process
US6094688A (en) 1997-01-08 2000-07-25 Crossworlds Software, Inc. Modular application collaboration including filtering at the source and proxy execution of compensating transactions to conserve server resources
US5808303A (en) 1997-01-29 1998-09-15 Art Aerospace Research Technologies Inc. Infrared screening and inspection system
US5862054A (en) * 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
US5978751A (en) 1997-02-25 1999-11-02 International Business Machines Corporation Variegated manufacturing process test method and apparatus
JPH10329015A (ja) * 1997-03-24 1998-12-15 Canon Inc 研磨装置および研磨方法
TW396308B (en) 1997-04-01 2000-07-01 Tumbleweed Software Corp Document delivery system
EP1015177A1 (en) 1997-04-04 2000-07-05 Obsidian, Inc. Polishing media magazine for improved polishing
US5912678A (en) 1997-04-14 1999-06-15 Texas Instruments Incorporated Process flow design at the module effects level through the use of acceptability regions
KR100272252B1 (ko) * 1997-04-17 2000-11-15 윤종용 웨이퍼카세트반송방법
JP3393035B2 (ja) 1997-05-06 2003-04-07 東京エレクトロン株式会社 制御装置及び半導体製造装置
US5910011A (en) 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
TW331650B (en) 1997-05-26 1998-05-11 Taiwan Semiconductor Mfg Co Ltd Integrated defect yield management system for semiconductor manufacturing
US6240330B1 (en) 1997-05-28 2001-05-29 International Business Machines Corporation Method for feedforward corrections for off-specification conditions
US6111634A (en) 1997-05-28 2000-08-29 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6108091A (en) 1997-05-28 2000-08-22 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness during chemical-mechanical polishing
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US5975994A (en) 1997-06-11 1999-11-02 Micron Technology, Inc. Method and apparatus for selectively conditioning a polished pad used in planarizng substrates
JPH118170A (ja) 1997-06-13 1999-01-12 Canon Inc 半導体処理システムおよびデバイス製造方法
US6148099A (en) 1997-07-03 2000-11-14 Neopath, Inc. Method and apparatus for incremental concurrent learning in automatic semiconductor wafer and liquid crystal display defect classification
TW436369B (en) 1997-07-11 2001-05-28 Tokyo Seimitsu Co Ltd Wafer polishing device
US5923557A (en) 1997-08-01 1999-07-13 Hewlett-Packard Company Method and apparatus for providing a standard interface to process control devices that are adapted to differing field-bus protocols
US6345315B1 (en) * 1997-08-13 2002-02-05 Sudhindra N. Mishra Method for platform and protocol independent communication between client-server pairs
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
JPH1167853A (ja) 1997-08-26 1999-03-09 Mitsubishi Electric Corp ウェーハマップ解析補助システムおよびウェーハマップ解析方法
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
KR19990027324A (ko) 1997-09-29 1999-04-15 윤종용 웨이퍼 인식 시스템을 구비하는 멀티 챔버 시스템과 이를 이용한 웨이퍼 가공방법
US5888120A (en) 1997-09-29 1999-03-30 Lsi Logic Corporation Method and apparatus for chemical mechanical polishing
JPH11126765A (ja) 1997-10-22 1999-05-11 Toshiba Corp 研磨シミュレーション方法および研磨シミュレーション方法を記録した記録媒体および研磨方法
JP3725314B2 (ja) 1997-10-23 2005-12-07 大日本スクリーン製造株式会社 ウェハ上の対象点座標の補正方法および対象点座標の決定方法
US5916016A (en) * 1997-10-23 1999-06-29 Vlsi Technology, Inc. Methods and apparatus for polishing wafers
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
JP3739550B2 (ja) 1997-10-29 2006-01-25 大日本スクリーン製造株式会社 ウェハの測定位置決定方法
KR20010032223A (ko) * 1997-11-18 2001-04-16 카리 홀란드 화학적 기계 연마 공정의 모델링 방법 및 장치
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
US6148239A (en) 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6113462A (en) * 1997-12-18 2000-09-05 Advanced Micro Devices, Inc. Feedback loop for selective conditioning of chemical mechanical polishing pad
KR100251279B1 (ko) * 1997-12-26 2000-04-15 윤종용 반도체 제조용 증착설비의 막두께 조절방법
KR100258841B1 (ko) 1997-12-26 2000-06-15 윤종용 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법
EP0932195A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ supervision
EP0932194A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
JPH11204523A (ja) 1998-01-07 1999-07-30 Toshiba Corp 半導体装置の製造方法
KR19990065486A (ko) * 1998-01-14 1999-08-05 윤종용 반도체 제조설비 관리시스템의 공정조건 관리방법
KR100278600B1 (ko) 1998-01-14 2001-01-15 윤종용 반도체 제조설비 관리시스템의 설비유닛의 상태 관리방법
TW400621B (en) 1998-01-26 2000-08-01 United Microelectronics Corp Metallization structure and the manufacture method thereof
KR100297371B1 (ko) 1998-02-03 2001-10-25 윤종용 반도체 공정 데이터 통합 관리 방법
US5985497A (en) * 1998-02-03 1999-11-16 Advanced Micro Devices, Inc. Method for reducing defects in a semiconductor lithographic process
US6271670B1 (en) 1998-02-09 2001-08-07 Sandia Corporation Method and apparatus for detecting external cracks from within a metal tube
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6455937B1 (en) 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
JPH11300607A (ja) * 1998-04-16 1999-11-02 Speedfam-Ipec Co Ltd 研磨装置
US6123983A (en) 1998-04-23 2000-09-26 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6017771A (en) * 1998-04-27 2000-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for yield loss analysis by yield management system
US6228280B1 (en) * 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
US6157864A (en) 1998-05-08 2000-12-05 Rockwell Technologies, Llc System, method and article of manufacture for displaying an animated, realtime updated control sequence chart
US6408219B2 (en) 1998-05-11 2002-06-18 Applied Materials, Inc. FAB yield enhancement system
US6263255B1 (en) 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6381564B1 (en) * 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6292708B1 (en) 1998-06-11 2001-09-18 Speedfam-Ipec Corporation Distributed control system for a semiconductor wafer processing machine
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6171174B1 (en) 1998-06-26 2001-01-09 Advanced Micro Devices System and method for controlling a multi-arm polishing tool
US6074517A (en) * 1998-07-08 2000-06-13 Lsi Logic Corporation Method and apparatus for detecting an endpoint polishing layer by transmitting infrared light signals through a semiconductor wafer
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6127263A (en) 1998-07-10 2000-10-03 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication
US6141660A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Command line interface for creating business objects for accessing a hierarchical database
KR100267463B1 (ko) 1998-07-20 2000-11-01 이재근 반도체 칩 결함에 기인한 수율손실칩수 및 유형별 불량칩수 측정방법
US6169931B1 (en) * 1998-07-29 2001-01-02 Southwest Research Institute Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
KR100292030B1 (ko) * 1998-09-15 2001-08-07 윤종용 반도체 박막 공정에서의 박막 두께 제어 방법
US6197604B1 (en) * 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6366934B1 (en) * 1998-10-08 2002-04-02 International Business Machines Corporation Method and apparatus for querying structured documents using a database extender
US6277014B1 (en) 1998-10-09 2001-08-21 Applied Materials, Inc. Carrier head with a flexible membrane for chemical mechanical polishing
US6226792B1 (en) 1998-10-14 2001-05-01 Unisys Corporation Object management system supporting the use of application domain knowledge mapped to technology domain knowledge
JP3019079B1 (ja) 1998-10-15 2000-03-13 日本電気株式会社 化学機械研磨装置
JP3082850B2 (ja) 1998-10-16 2000-08-28 株式会社東京精密 ウェーハ研磨装置
US6324481B1 (en) 1998-10-21 2001-11-27 Texas Instruments Incorporated Method for the calculation of wafer probe yield limits from in-line defect monitor data
US6210983B1 (en) * 1998-10-21 2001-04-03 Texas Instruments Incorporated Method for analyzing probe yield sensitivities to IC design
TW434103B (en) 1998-10-23 2001-05-16 Taiwan Semiconductor Mfg Chemical mechanical polishing device with terminal point detection functions
US6159073A (en) * 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
US6280289B1 (en) 1998-11-02 2001-08-28 Applied Materials, Inc. Method and apparatus for detecting an end-point in chemical mechanical polishing of metal layers
US6173240B1 (en) * 1998-11-02 2001-01-09 Ise Integrated Systems Engineering Ag Multidimensional uncertainty analysis
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
JP3487774B2 (ja) 1998-11-19 2004-01-19 沖電気工業株式会社 半導体装置製造工程の搬送方法
US6214734B1 (en) * 1998-11-20 2001-04-10 Vlsi Technology, Inc. Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection
JP4365914B2 (ja) 1998-11-25 2009-11-18 キヤノン株式会社 半導体製造装置およびデバイス製造方法
CA2354581C (en) 1998-12-07 2005-02-08 Abb Automation, Inc. Architecture layer interfacing devices and applications
JP2000183001A (ja) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd ウエハの研磨終点検出方法およびそれに用いる化学機械研磨装置
JP2000183002A (ja) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd ウエハの研磨終点検出方法および研磨終点検出装置
US6172756B1 (en) * 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
JP3202710B2 (ja) 1998-12-18 2001-08-27 エヌイーシービューテクノロジー株式会社 液晶プロジェクタ用ダイクロイックプリズム
WO2000037881A2 (de) 1998-12-18 2000-06-29 Micro-Epsilon Messtechnik Gmbh & Co. Kg Verfahren zum betreiben eines wirbelstromsensors und wirbelstromsensor
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6100195A (en) 1998-12-28 2000-08-08 Chartered Semiconductor Manu. Ltd. Passivation of copper interconnect surfaces with a passivating metal layer
US6252412B1 (en) 1999-01-08 2001-06-26 Schlumberger Technologies, Inc. Method of detecting defects in patterned substrates
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6194231B1 (en) * 1999-03-01 2001-02-27 National Tsing Hua University Method for monitoring polishing pad used in chemical-mechanical planarization process
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
WO2000054325A1 (en) 1999-03-10 2000-09-14 Nova Measuring Instruments Ltd. Method and apparatus for monitoring a chemical mechanical planarization process applied to metal-based patterned objects
JP2000269286A (ja) 1999-03-16 2000-09-29 Toshiba Microelectronics Corp 半導体基板の欠陥位置特定方法
US6389491B1 (en) 1999-03-23 2002-05-14 Agilent Technologies, Inc. Test instrumentation I/O communication interface and method
US6317643B1 (en) 1999-03-31 2001-11-13 Agere Systems Guardian Corp. Manufacturing and engineering data base
US6253366B1 (en) 1999-03-31 2001-06-26 Unisys Corp. Method and system for generating a compact document type definition for data interchange among software tools
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6281127B1 (en) 1999-04-15 2001-08-28 Taiwan Semiconductor Manufacturing Company Self-passivation procedure for a copper damascene structure
US6298470B1 (en) 1999-04-15 2001-10-02 Micron Technology, Inc. Method for efficient manufacturing of integrated circuits
US6259160B1 (en) 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
US6334807B1 (en) * 1999-04-30 2002-01-01 International Business Machines Corporation Chemical mechanical polishing in-situ end point system
US6268270B1 (en) 1999-04-30 2001-07-31 Advanced Micro Devices, Inc. Lot-to-lot rapid thermal processing (RTP) chamber preheat optimization
WO2000073973A1 (en) 1999-05-28 2000-12-07 University Of South Florida Computer vision-based technique for objective assessment of material properties in non-rigid objects
US6303395B1 (en) 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
KR100649387B1 (ko) 1999-06-22 2006-11-27 브룩스 오토메이션 인코퍼레이티드 초소형전자 제조에 사용하기 위한 공정수행 간 제어기
US6204165B1 (en) * 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
GB2351804B (en) 1999-06-28 2003-09-24 Hyundai Electronics Ind Semiconductor factory automation system and method for controlling measurement equipment to measure semiconductor wafers
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
JP2001009699A (ja) 1999-07-05 2001-01-16 Nichiden Mach Ltd 平面研磨装置
US6210745B1 (en) * 1999-07-08 2001-04-03 National Semiconductor Corporation Method of quality control for chemical vapor deposition
EP1067757A1 (en) 1999-07-09 2001-01-10 Hewlett-Packard Company Curled surface imaging system
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US20030213772A9 (en) 1999-07-09 2003-11-20 Mok Yeuk-Fai Edwin Integrated semiconductor substrate bevel cleaning apparatus and method
US6763130B1 (en) 1999-07-21 2004-07-13 Applied Materials, Inc. Real time defect source identification
US7069101B1 (en) * 1999-07-29 2006-06-27 Applied Materials, Inc. Computer integrated manufacturing techniques
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6368883B1 (en) * 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6405096B1 (en) 1999-08-10 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for run-to-run controlling of overlay registration
US6607926B1 (en) 1999-08-10 2003-08-19 Advanced Micro Devices, Inc. Method and apparatus for performing run-to-run control in a batch manufacturing environment
US6577914B1 (en) 1999-08-10 2003-06-10 Advanced Micro Devices, Inc. Method and apparatus for dynamic model building based on machine disturbances for run-to-run control of semiconductor devices
US6276989B1 (en) 1999-08-11 2001-08-21 Advanced Micro Devices, Inc. Method and apparatus for controlling within-wafer uniformity in chemical mechanical polishing
US6287879B1 (en) 1999-08-11 2001-09-11 Micron Technology, Inc. Endpoint stabilization for polishing process
US6217412B1 (en) * 1999-08-11 2001-04-17 Advanced Micro Devices, Inc. Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad
US6495452B1 (en) 1999-08-18 2002-12-17 Taiwan Semiconductor Manufacturing Company Method to reduce capacitance for copper interconnect structures
US6391780B1 (en) 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6306008B1 (en) 1999-08-31 2001-10-23 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization
US6556881B1 (en) * 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US6424880B1 (en) 1999-09-10 2002-07-23 Applied Materials, Inc. Multi-computer chamber control system, method and medium
US6368879B1 (en) * 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6560504B1 (en) 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6484064B1 (en) 1999-10-05 2002-11-19 Advanced Micro Devices, Inc. Method and apparatus for running metrology standard wafer routes for cross-fab metrology calibration
US6560503B1 (en) 1999-10-05 2003-05-06 Advanced Micro Devices, Inc. Method and apparatus for monitoring controller performance using statistical process control
US6427093B1 (en) 1999-10-07 2002-07-30 Advanced Micro Devices, Inc. Method and apparatus for optimal wafer-by-wafer processing
US6439964B1 (en) * 1999-10-12 2002-08-27 Applied Materials, Inc. Method of controlling a polishing machine
US6159075A (en) 1999-10-13 2000-12-12 Vlsi Technology, Inc. Method and system for in-situ optimization for semiconductor wafers in a chemical mechanical polishing process
US6417014B1 (en) 1999-10-19 2002-07-09 Advanced Micro Devices, Inc. Method and apparatus for reducing wafer to wafer deposition variation
KR100311077B1 (ko) 1999-10-23 2001-11-02 윤종용 선행공정의 결과에 따라 최적의 후행공정장비 및/또는 후행공정조건을 가변적으로 적용하는 로트 디스패칭방법 및 이를 위한 시스템
US6096649A (en) 1999-10-25 2000-08-01 Taiwan Semiconductor Manufacturing Company Top metal and passivation procedures for copper damascene structures
US6284622B1 (en) 1999-10-25 2001-09-04 Advanced Micro Devices, Inc. Method for filling trenches
US6546508B1 (en) * 1999-10-29 2003-04-08 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
WO2001033501A1 (en) 1999-10-31 2001-05-10 Insyst Ltd. A knowledge-engineering protocol-suite
AU1332601A (en) 1999-10-31 2001-05-14 Insyst Ltd. Strategic method for process control
US6248602B1 (en) 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
US6201208B1 (en) * 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
IL133326A0 (en) 1999-12-06 2001-04-30 Nova Measuring Instr Ltd Method and system for endpoint detection
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6465263B1 (en) 2000-01-04 2002-10-15 Advanced Micro Devices, Inc. Method and apparatus for implementing corrected species by monitoring specific state parameters
US6470230B1 (en) 2000-01-04 2002-10-22 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
US6449524B1 (en) 2000-01-04 2002-09-10 Advanced Micro Devices, Inc. Method and apparatus for using equipment state data for run-to-run control of manufacturing tools
US6469518B1 (en) 2000-01-07 2002-10-22 Advanced Micro Devices, Inc. Method and apparatus for determining measurement frequency based on hardware age and usage
US7051015B1 (en) 2000-01-10 2006-05-23 Wind River Systems, Inc. System and method for implementing a flexible data-driven target object model
US6477432B1 (en) 2000-01-11 2002-11-05 Taiwan Semiconductor Manufacturing Company Statistical in-process quality control sampling based on product stability through a systematic operation system and method
US6405144B1 (en) 2000-01-18 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for programmed latency for improving wafer-to-wafer uniformity
US6567717B2 (en) 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
JP3506114B2 (ja) 2000-01-25 2004-03-15 株式会社ニコン モニタ装置及びこのモニタ装置を具えた研磨装置及び研磨方法
US8028049B1 (en) 2000-02-01 2011-09-27 Peer Intellectual Property Inc. Apparatus and method for web-based tool management
US6584369B2 (en) 2000-02-02 2003-06-24 Texas Instruments Incorporated Method and system for dispatching semiconductor lots to manufacturing equipment for fabrication
US7059948B2 (en) 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
TW436383B (en) 2000-03-16 2001-05-28 Taiwan Semiconductor Mfg The end-point detection method of CMP polishing using the principle of optical confocal feedback
US6290572B1 (en) 2000-03-23 2001-09-18 Micron Technology, Inc. Devices and methods for in-situ control of mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
TW478101B (en) 2000-03-23 2002-03-01 Ibm Structure for protecting copper interconnects in low dielectric constant materials from oxidation
JP4874465B2 (ja) 2000-03-28 2012-02-15 株式会社東芝 渦電流損失測定センサ
AU2001249724A1 (en) 2000-04-03 2001-10-15 Speed-Fam-Ipec Corporation System and method for predicting software models using material-centric process instrumentation
US6616513B1 (en) 2000-04-07 2003-09-09 Applied Materials, Inc. Grid relief in CMP polishing pad to accurately measure pad wear, pad profile and pad wear profile
US6622059B1 (en) 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
US6368884B1 (en) * 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP2001305108A (ja) 2000-04-21 2001-10-31 Daido Steel Co Ltd 渦流探傷装置
JP2001326151A (ja) 2000-05-16 2001-11-22 Nec Corp 半導体集積回路製作システム
US6291367B1 (en) 2000-06-01 2001-09-18 Atmel Corporation Method for depositing a selected thickness of an interlevel dielectric material to achieve optimum global planarity on a semiconductor wafer
JP3832198B2 (ja) 2000-06-16 2006-10-11 日本電気株式会社 半導体ウェハの研磨終点検出方法ならびにその装置
US6479902B1 (en) 2000-06-29 2002-11-12 Advanced Micro Devices, Inc. Semiconductor catalytic layer and atomic layer deposition thereof
US6435952B1 (en) 2000-06-30 2002-08-20 Lam Research Corporation Apparatus and method for qualifying a chemical mechanical planarization process
WO2002004887A1 (en) * 2000-07-08 2002-01-17 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6878038B2 (en) 2000-07-10 2005-04-12 Applied Materials Inc. Combined eddy current sensing and optical monitoring for chemical mechanical polishing
US6609946B1 (en) * 2000-07-14 2003-08-26 Advanced Micro Devices, Inc. Method and system for polishing a semiconductor wafer
US6400162B1 (en) 2000-07-21 2002-06-04 Ade Corporation Capacitive displacement sensor for measuring thin targets
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6725402B1 (en) * 2000-07-31 2004-04-20 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
US6442496B1 (en) 2000-08-08 2002-08-27 Advanced Micro Devices, Inc. Method and apparatus for dynamic sampling of a production line
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
TW455976B (en) 2000-08-11 2001-09-21 Taiwan Semiconductor Mfg Endpoint detection method of chemical mechanical polishing process
US6625513B1 (en) * 2000-08-15 2003-09-23 Applied Materials, Inc. Run-to-run control over semiconductor processing tool based upon mirror image target
US6307628B1 (en) 2000-08-18 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Method and apparatus for CMP end point detection using confocal optics
US6711731B2 (en) 2000-08-23 2004-03-23 Pri Automation, Inc. Web based tool control in a semiconductor fabrication facility
US6593737B2 (en) 2000-08-24 2003-07-15 Shell Oil Company Method for measuring the wall thickness of an electrically conductive object
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
EP1317694B1 (en) * 2000-09-15 2004-08-04 Advanced Micro Devices, Inc. Adaptive sampling method for improved control in semiconductor manufacturing
JP2002093761A (ja) 2000-09-19 2002-03-29 Sony Corp 研磨方法、研磨装置、メッキ方法およびメッキ装置
KR100366630B1 (ko) 2000-09-20 2003-01-09 삼성전자 주식회사 샘플 스킵 방식의 알고리즘을 이용한 웨이퍼의 연마 시간제어 방법 및 이를 이용한 웨이퍼의 연마 방법
KR20020022530A (ko) 2000-09-20 2002-03-27 가나이 쓰도무 반도체제조장치의 원격진단시스템 및 원격진단방법
US6492281B1 (en) 2000-09-22 2002-12-10 Advanced Micro Devices, Inc. Method of fabricating conductor structures with metal comb bridging avoidance
JP3634734B2 (ja) 2000-09-22 2005-03-30 株式会社日立製作所 プラズマ処理装置および処理方法
US6766283B1 (en) 2000-10-13 2004-07-20 Insyst Ltd. System and method for monitoring process quality control
US6432728B1 (en) * 2000-10-16 2002-08-13 Promos Technologies, Inc. Method for integration optimization by chemical mechanical planarization end-pointing technique
US6805613B1 (en) 2000-10-17 2004-10-19 Speedfam-Ipec Corporation Multiprobe detection system for chemical-mechanical planarization tool
JP2002124496A (ja) 2000-10-18 2002-04-26 Hitachi Ltd 研磨加工の終点検出計測方法及びその装置、並びにそれを用いた半導体デバイスの製造方法及びその製造装置
US6304999B1 (en) 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
US6517413B1 (en) * 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6669782B1 (en) 2000-11-15 2003-12-30 Randhir P. S. Thakur Method and apparatus to control the formation of layers useful in integrated circuits
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6819963B2 (en) 2000-12-06 2004-11-16 Advanced Micro Devices, Inc. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US7092863B2 (en) 2000-12-26 2006-08-15 Insyst Ltd. Model predictive control (MPC) system using DOE based model
US6728587B2 (en) 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6664557B1 (en) 2001-03-19 2003-12-16 Lam Research Corporation In-situ detection of thin-metal interface using optical interference
US6482660B2 (en) 2001-03-19 2002-11-19 International Business Machines Corporation Effective channel length control using ion implant feed forward
US6336841B1 (en) * 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
US6549279B2 (en) * 2001-04-09 2003-04-15 Speedfam-Ipec Corporation Method and apparatus for optical endpoint calibration in CMP
US6540591B1 (en) * 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
US6582277B2 (en) * 2001-05-01 2003-06-24 Speedfam-Ipec Corporation Method for controlling a process in a multi-zonal apparatus
US7089075B2 (en) 2001-05-04 2006-08-08 Tokyo Electron Limited Systems and methods for metrology recipe and model generation
JP4858798B2 (ja) 2001-05-15 2012-01-18 株式会社ニコン 研磨装置、研磨方法およびこの研磨装置を用いた半導体デバイス製造方法
US7079996B2 (en) 2001-05-30 2006-07-18 Ford Global Technologies, Llc System and method for design of experiments using direct surface manipulation of a mesh model
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
JP2002373843A (ja) * 2001-06-14 2002-12-26 Nec Corp 塗布装置及び塗布膜厚制御方法
US7082345B2 (en) 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US7101799B2 (en) 2001-06-19 2006-09-05 Applied Materials, Inc. Feedforward and feedback control for conditioning of chemical mechanical polishing pad
US7160739B2 (en) * 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7047099B2 (en) 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US6913938B2 (en) 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6910947B2 (en) 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US20020192966A1 (en) 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
US7201936B2 (en) 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US6678570B1 (en) * 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US7006955B2 (en) 2001-10-15 2006-02-28 General Electric Company System and method for statistical design of ultrasound probe and imaging system
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6630741B1 (en) 2001-12-07 2003-10-07 Advanced Micro Devices, Inc. Method of reducing electromigration by ordering zinc-doping in an electroplated copper-zinc interconnect and a semiconductor device thereby formed
US6774998B1 (en) 2001-12-27 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for identifying misregistration in a complimentary phase shift mask process
US6660633B1 (en) 2002-02-26 2003-12-09 Advanced Micro Devices, Inc. Method of reducing electromigration in a copper line by electroplating an interim copper-zinc alloy thin film on a copper surface and a semiconductor device thereby formed
US7225047B2 (en) 2002-03-19 2007-05-29 Applied Materials, Inc. Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6751518B1 (en) 2002-04-29 2004-06-15 Advanced Micro Devices, Inc. Dynamic process state adjustment of a processing tool to reduce non-uniformity
US6735492B2 (en) 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014512690A (ja) * 2011-04-20 2014-05-22 アプライド マテリアルズ インコーポレイテッド 終点検出のためのスペクトル特徴の適応的追跡
KR20130139778A (ko) 2012-06-13 2013-12-23 가부시키가이샤 에바라 세이사꾸쇼 연마 방법 및 연마 장치
JP2014014922A (ja) * 2012-06-13 2014-01-30 Ebara Corp 研磨方法及び研磨装置
JP2015168015A (ja) * 2014-03-05 2015-09-28 株式会社荏原製作所 研磨装置および研磨方法
KR20190049461A (ko) * 2017-10-31 2019-05-09 가부시키가이샤 에바라 세이사꾸쇼 연마 장치 및 연마 방법
KR102591906B1 (ko) 2017-10-31 2023-10-20 가부시키가이샤 에바라 세이사꾸쇼 연마 장치 및 연마 방법
WO2020137099A1 (ja) * 2018-12-28 2020-07-02 株式会社荏原製作所 研磨レシピ決定装置
JP2020107784A (ja) * 2018-12-28 2020-07-09 株式会社荏原製作所 研磨レシピ決定装置
JP7086835B2 (ja) 2018-12-28 2022-06-20 株式会社荏原製作所 研磨レシピ決定装置
WO2022176576A1 (ja) * 2021-02-22 2022-08-25 株式会社Sumco 加工条件設定装置、加工条件設定方法、及びウェーハの製造システム
TWI801142B (zh) * 2021-02-22 2023-05-01 日商Sumco股份有限公司 加工條件設定裝置、加工條件設定方法及晶圓製造系統

Also Published As

Publication number Publication date
KR100906133B1 (ko) 2009-07-07
KR20040010755A (ko) 2004-01-31
US8070909B2 (en) 2011-12-06
CN1292464C (zh) 2006-12-27
JP4799817B2 (ja) 2011-10-26
US8694145B2 (en) 2014-04-08
CN1554118A (zh) 2004-12-08
WO2002103777A1 (en) 2002-12-27
US20020197745A1 (en) 2002-12-26
US20070102116A1 (en) 2007-05-10
US7160739B2 (en) 2007-01-09
US20120053721A1 (en) 2012-03-01
EP1399962A1 (en) 2004-03-24

Similar Documents

Publication Publication Date Title
JP4799817B2 (ja) 半導体ウェハの表面平坦化装置
KR100904866B1 (ko) 컨디셔닝을 위한 컴퓨터 구현 방법, 컨디셔닝 장치 및 컴퓨터 판독가능 매체
KR100904867B1 (ko) 패드 웨어 및 패드 컨디셔닝 모델을 전개하는 컴퓨터 실행 방법, 컴퓨터 판독가능 매체, 및 컨디셔닝 시스템
US6540591B1 (en) Method and apparatus for post-polish thickness and uniformity control
US7150675B2 (en) Method and system for controlling the chemical mechanical polishing by using a sensor signal of a pad conditioner
JP2005518654A (ja) ウェハ特性の制御方法及びその制御システム
US20070010168A1 (en) Methods and systems for planarizing workpieces, e.g., microelectronic workpieces
US6957997B2 (en) Method and system for controlling the chemical mechanical polishing by using a sensor signal of a pad conditioner
EP1478494B1 (en) Method and system for controlling the chemical mechanical polishing of substrates by calculating an overpolishing time and/or a polishing time of a final polishing step
US7268000B2 (en) Method and system for controlling the chemical mechanical polishing of substrates by calculating an overpolishing time and/or a polishing time of a final polishing step
US20040063224A1 (en) Feedback control of a chemical mechanical polishing process for multi-layered films
WO2005032763A1 (en) A method and system for controlling the chemical mechanical polishing by using a sensor signal of a pad conditioner

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050602

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050602

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080307

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080609

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080616

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080707

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090106

RD13 Notification of appointment of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7433

Effective date: 20090408

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090423

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090408

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090428

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090529

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20090918

RD13 Notification of appointment of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7433

Effective date: 20100518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20100518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110131

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110518

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110523

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110613

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110803

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140812

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees