JP2002289715A - ツインmonosセルの製作方法およびアレイ組織 - Google Patents

ツインmonosセルの製作方法およびアレイ組織

Info

Publication number
JP2002289715A
JP2002289715A JP2002043294A JP2002043294A JP2002289715A JP 2002289715 A JP2002289715 A JP 2002289715A JP 2002043294 A JP2002043294 A JP 2002043294A JP 2002043294 A JP2002043294 A JP 2002043294A JP 2002289715 A JP2002289715 A JP 2002289715A
Authority
JP
Japan
Prior art keywords
gate
memory
logic
region
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002043294A
Other languages
English (en)
Other versions
JP4368087B2 (ja
Inventor
Kimihiro Sato
公博 佐藤
Seiki Ogura
正気 小椋
Tomoya Saito
朋也 斉藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Halo LSI Design and Device Technology Inc
Original Assignee
Halo LSI Design and Device Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Halo LSI Design and Device Technology Inc filed Critical Halo LSI Design and Device Technology Inc
Publication of JP2002289715A publication Critical patent/JP2002289715A/ja
Application granted granted Critical
Publication of JP4368087B2 publication Critical patent/JP4368087B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7923Programmable transistors with more than two possible different levels of programmation
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/906Dram with capacitor electrodes used for accessing, e.g. bit line is capacitor plate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/954Making oxide-nitride-oxide device

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Read Only Memory (AREA)

Abstract

(57)【要約】 【課題】 本発明は、寄生シート抵抗を低くして高速動
作を可能にし、同時に低い製造コストを維持する。 【解決手段】 本発明のツインMONOSメモリセルア
レイおよびCMOS論理素子回路を集積した高密度ツイ
ンMONOSメモリ素子は、2つの製造方法から構成さ
れる。 (i)メモリゲートおよび論理ゲートを同時に画定する
こと。 (ii)ビット線を、ワードゲートおよびコントロール
ゲートに交差させること。 ツインMONOSセルは、2つの窒化膜メモリセル要素
の中にメモリを蓄積する。前記2つの窒化膜メモリセル
要素は、選択ゲートの双方の側壁の上で2つの共用コン
トロールゲートの下にある。この方法は、フラットチャ
ネルを有する素子および/又はステップチャネルを有す
る素子に応用可能である。

Description

【発明の詳細な説明】
【0001】本願は、2001年2月22日に出願され
た米国仮出願第60/270455号、および2001
年3月26日に出願された米国仮出願第60/2786
23号に基づく優先権を主張する。これらの出願は、参
照してここに組み込まれる。
【0002】
【発明の属する技術分野】本発明は、様々なアーキテク
チャに適したCMOS制御ロジックを集積した高密度ツ
インMONOS(Metal/polysilicon Oxide Nitride Ox
ide Silicon)メモリ素子の製造方法に関する。
【0003】
【従来の技術】ツインMONOS構造は、2001年7
月3日に小椋正気(Seiki Ogura)へ付与された米国特
許第6,255,166号で紹介されており、2000
年6月16日に出願された小椋(Ogura)等による同時
係属米国特許出願第09/595,059号(Halo
−99−002)で、変形例が教示されている。Lin
等による米国特許6,166,410およびアオザサ
(Aozasa)等による同第6,054,734号は、デュ
アルゲートを有するMONOSセル、およびアレイ並び
にロジック集積プロセスを開示している。リン(Lin)
等による米国特許第5,851,881号および小椋
(Ogura)等による同6,177,318号は、MON
OSメモリ素子を開示している。
【0004】
【課題を解決するための手段】本発明において、CMO
S論理トランジスタを様々なアレイ構成の中へ集積する
高密度ツインMONOSメモリ素子の製造方法が呈示さ
れる。本発明は、次の製造方法から構成される。
【0005】(i)メモリゲートおよび論理ゲートを同
時に画定すること。それによってプロセス統合計画を、
より容易で信頼性のある製造へ改善する。
【0006】(ii)ビット線がワードゲート線および
コントロールゲートと交差するツインMONOSアレ
イ。本発明は、ビット線およびコントロールゲートがワ
ード線に垂直な同時係属特許出願09/595059
(Halo99−002)と比較すると、寄生シート抵
抗を低くして高速を可能にし、同時に低い製造コストを
維持することに焦点を置いている。ツインMONOSセ
ルは、共用選択(ワード)ゲートの双方の側壁の上で2
つのコントロールゲートの下にある2つの窒化膜メモリ
セル要素の中にメモリを蓄積する。この方法は、フラッ
トチャネルを有する素子および/又はコントロールゲー
トの下にステップチャネルを有する素子に応用可能であ
る。
【0007】(iii)(ii)における隣接セル内の
共用ビット線が、浅いトレンチ分離(shallow trench i
solation:STI)によって分離され、金属線によるビ
ットへの個別のコンタクトを特徴とするツインMONO
Sアレイアーキテクチャ。製造方法は、(ii)の方法
を利用することができる。これは(ii)の派生形態で
ある。
【0008】(iv)ビット線の1つの側がワードおよ
びコントロールゲート線に平行で、ビット線の他の側が
ワードおよびコントロールゲート線に垂直なツインMO
NOSアーキテクチャ。ワードおよびコントロールゲー
トへ垂直なビット線のコンタクトプロセスは、シリサイ
ド化されたビット拡散線又はタングステンプラグ線を利
用する。これは、コントロールゲートに垂直なビット線
という新しい概念を(ii)で部分的に使用する他の形
態である。
【0009】本発明の2つの実施形態が開示される。
【0010】(1)第1の実施形態の独特な特徴は、シ
リサイド化されたビット線、メモリゲート上のキャップ
状窒化膜、およびSTIを有しないフィールド注入分離
である。ワード線は、ビット線およびコントロールゲー
トと交差する。
【0011】(1−1)メモリゲートイメージおよび論
理(周辺)ゲートは、微細マスクによって画定される。
ここで特徴サイズは、使用されるリソグラフィ装置によ
って提供される最小のサイズである。
【0012】(1−2)キャップ状窒化膜を有するメモ
リゲートおよびキャップ状窒化膜を有しない論理ゲート
は、反応性イオンエッチングによって同時に形成され
る。この1回のエッチングを達成するためには、 + マスクプロセスに先立つゲートスタック構造は、上
部から下部へ、メモリ領域では、酸化膜/窒化膜/多結
晶シリコン/ゲート酸化膜、および論理領域では、窒化
膜/酸化膜/多結晶シリコン/ゲート酸化膜である。
【0013】+ レジスト像を転写するRIEは、多結
晶シリコンの表面で停止する。レジストは除去され、ハ
ードマスク(酸化膜)は多結晶シリコンRIEのために
使用される。
【0014】+ 多結晶シリコンRIEプロセスにおけ
る各々の層のエッチング速度要件は、多結晶シリコン〜
窒化膜>>酸化膜である。これは、バルクエッチングに
対してはCF4/O2の雰囲気で達成可能であり、終点エ
ッチングに対してはHBr/O2/Cl2の雰囲気で達成
可能である。
【0015】(1−3)メモリ領域と論理領域との境界
は、多結晶シリコンスペーサを論理側に残さないよう
に、メモリ側のキャップ状窒化膜の上に置かれる。
【0016】(1−4)メモリ領域で超短チャネル不純
物プロフィールを達成するため、DSW(disposable s
idewall:除去可能な側壁)プロセスが実行される。オ
プションとして、DSWをエッチングマスクとして使用
することによって、ハーフカットのONO合成層がコン
トロールゲートの下に形成される。メモリ領域内のDS
W形成に使用された論理領域内の下部酸化膜は保存さ
れ、側壁多結晶シリコンを除去するためのエッチング停
止層として使用される。
【0017】(1−5)ONO合成層の形成には、IS
SG(In-Situ Steam Generation:装置内発生水蒸気酸
化)が使用される。ISSGを使用する下部酸化膜の成
長は、通常の熱酸化膜成長と比較して、はるかに小さな
バーズビークをワードゲートの下に生成する。これは、
読み出し電流を顕著に改善する。ISSGは、シリコン
上だけでなく窒化膜の上にも酸化膜を成長させる。窒化
膜上の成長速度は、950〜1000℃で単結晶シリコ
ン上での0.6倍である。従って、キャップ状窒化膜の
上に成長した酸化膜は、それをONO合成層の窒化膜か
ら分離する。これは、より良好な絶縁をワードゲートと
コントロールゲートとの間に提供し、またワード線間の
ショートの心配を少なくする。ONO合成層の窒化膜
は、850℃でのNH3処理の後でCVDによって堆積
される。更に、窒化膜を酸化することによって上部酸化
膜を成長させるため、ISSGが使用される。窒化膜の
酸化によって形成された酸化膜は、堆積されたCVD酸
化膜と比較して品質が良好であるから、メモリ保持時間
が改善され、またプログラム/消去特性が改善される。
【0018】(1−6)メモリコントロールゲートを形
成するための多結晶シリコンの垂直反応性エッチング
は、長いRIEによってONO絶縁膜を突破し基板シリ
コンへ達してしまうという問題を抱える。メモリ製造の
完了時に、コントロールゲートとワードゲートとの間の
絶縁性は、コントロールゲートの高さに依存する。コン
トロールゲートの上部が窒化膜と多結晶シリコンとの境
界よりも高いとき、絶縁体の厚さは、キャップ状窒化膜
を除去する間に薄くなる。従って、上部のコントロール
ゲート多結晶シリコンは、隣接したワードゲート窒化膜
境界よりも低くなければならない。メモリビット線およ
びソース/ドレイン領域は、エッチングが最初の半分の
間に基板まで突破することがないようにするためにレジ
ストエッチバックプロセスによって保護される。論理ソ
ース/ドレイン領域は、メモリコントロールゲート形成
の間に形成される多結晶シリコンスペーサを使用するこ
とによって画定される。論理領域内の多結晶シリコンス
ペーサは、ソース/ドレインへのイオン注入後にCDE
によって除去される。
【0019】(1−7)ONO合成層内のRIE損傷を
回復し、論理領域内で残りのONO窒化膜を酸化膜へ転
化するため、ISSG酸化が次に続く。
【0020】(1−8)ONO合成層のイオン注入損傷
を防止するため、メモリソース/ドレイン注入の前に約
200オングストロームの酸化膜が堆積される。
【0021】(1−9)メモリビット線、メモリコント
ロールゲート、論理ゲート、および論理拡散が、抵抗を
低くするためシリサイド化される。メモリコントロール
ゲートとメモリビット線との間の分離ギャップを十分に
保つため、約30〜40nmのBPSGが、整合的に堆
積される。メモリコントロールゲートの露出領域を拡張
するため、BARC(bottom anti-reflective coatin
g:下部反射防止膜)/レジストエッチバックを使用し
て、コントロールゲート上のBPSGがウエットエッチ
ングによって除去される。シリサイド領域は、後続の酸
化膜スペーサエッチングによって画定される。
【0022】(1−10)約5000オングストローム
の厚い酸化膜が、シリサイド化された構造の上に堆積さ
れる。約1500〜2000オングストロームの窒化膜
が堆積され、ダミーのパターンは、CMPのエッチング
ストップとして論理領域に残される。
【0023】(2)第2の実施形態は、次の様相で第1
の実施形態と異なる。即ち、ポリサイドゲート、例え
ば、タングステン/窒化タングステン/多結晶シリコン
のスタック又は多結晶シリコンゲート、キャップ状窒化
膜、STI分離、ローカル配線(長いコンタクト)プロ
セス、および自己整合コンタクト並びに金属ビット線。
ビット線は、ワード線およびコントロールゲートと交差
する。STIによって分離された隣接ビットが長いコン
タクトによって交互に接続されるか、隣接ビットが長方
形でSTIマスク上で接続される。
【0024】(2−1)コーナーの丸めから開放される
ように、STI像は長方形の代わりに線の形状でプリン
トされる。マスク上の長方形STIのコーナーは、図6
Aで示されるように、リソグラフィプロセスを介して丸
くされる。それは、図6A−2で示されるように、オー
バレイミスアライメントに関連した新たな漏れ電流を生
成するかも知れない。本発明において、STIおよび能
動領域は、コーナーの丸めおよびオーバレイミスアライ
メントに起因する漏れ電流効果を避けるため、ラインア
ンドスペースとしてプリントされる。隣接した4つのメ
モリビットは、共通拡散領域および正方形コンタクトを
とる代わりに長方形コンタクトによって相互に接続され
る。平行に走る活性化領域線は、ローカル配線(長いコ
ンタクト)プロセスで島の形状に等しくなるように接続
される。
【0025】(2−2)メモリおよび論理(周辺)ゲー
トは、1つの微細マスクによって画定される。
【0026】(2−3)ゲート構造は、次の通りであ
る。
【0027】キャップ状窒化膜−W/WN−多結晶シリ
コン−ゲート酸化膜 W/WN側壁上の酸化シリコンは、電圧破壊を生じる可
能性があるので、側壁を窒化シリコンでラップして、酸
化シリコンの形成を防止しなければならない。レジスト
像は、通常のリソグラフィプロセスを使用してゲートス
タック上にプリントされ、キャップ状窒化膜およびW/
WNへ転写され、多結晶シリコンの表面で停止する。そ
の次に、O2アッシングを使用するフォトレジストの除
去が続く。W/WNをラップするため約100オングス
トロームの窒化膜が堆積され、次に多結晶シリコンの垂
直反応性イオンエッチングが続く。メモリおよび論理ゲ
ートは、通常のCMOSプロセスによってプリントおよ
びエッチングされる。
【0028】(2−4)メモリ領域と論理領域との境界
は、多結晶シリコンスペーサを論理側に残さないよう
に、メモリ側のキャップ状窒化膜の上に置かれる。
【0029】(2−5)メモリ領域内の超短チャネルの
ために不純物プロフィールを達成するため、また窒化膜
のエッジを、N+領域からコントロールゲートの下のN
−領域へオフセットして、より良好な電荷保持特性およ
び書き換え可能数を獲得するため、DSWプロセスが実
行される。書き換え可能数要件が免除されるためDSW
による窒化膜のカットが必要でなければ、DSWプロセ
スはオプションである。
【0030】(2−6)ONO合成層を形成するため
に、ISSG(装置内発生水蒸気酸化)が使用される。
ISSGによる下部酸化膜の成長は、従来の熱酸化膜成
長と比較して、はるかに小さなバーズビークをワードゲ
ートの下に生成する。これは、酸化の間に多結晶シリコ
ンのバーズビークが最小化されることに起因して、読み
出し電流を顕著に改善する。ISSGは、シリコン上だ
けでなく窒化膜の上にも酸化膜を成長させる。窒化膜上
の成長速度は、単結晶シリコン上での0.6倍である。
ONO合成層の窒化膜は、850℃でのNH3処理の後
でCVDによって堆積される。更に、窒化膜を酸化して
上部酸化膜を成長させるためにISSGが使用される。
ISSG酸化膜は、CVD酸化膜よりも品質が高いの
で、窒化膜からのコントロールゲートの漏れは、堆積膜
と比較して低減し、メモリ保持時間が改善される。
【0031】(2−7)メモリコントロールゲートを形
成する多結晶シリコンの垂直反応性イオンエッチング
は、高さをワードゲートの半分まで低くするため延長さ
れる。エッチングの最初の半分の間にエッチングがON
Oを突破して基板へ達するのを防止するため、メモリビ
ット線およびソース/ドレイン領域はレジストエッチバ
ックプロセスによって保護される。論理ソース/ドレイ
ン領域は、多結晶シリコンスペーサを使用することによ
って画定される。論理領域内の多結晶シリコンスペーサ
は、ソース/ドレインイオン注入の後でCDEによって
除去される。
【0032】(2−8)メモリ領域内のコントロールゲ
ート多結晶シリコンの下にあるONO合成層の露出され
たエッジにおけるRIE損傷を回復するため、また論理
領域内で残りのONO窒化膜を酸化膜へ完全に転化する
ため、ISSG酸化が続く。
【0033】(2−9)ONO合成層のイオン注入損傷
を防止するため、メモリソース/ドレイン注入に先立っ
て約200オングストロームの酸化膜が堆積される。
【0034】(2−10)BARCおよびフォトレジス
トが基板の上に適用される。メモリ領域上のフォトレジ
ストが開かれ、通常のリソグラフィプロセスを使用して
論理領域がマスクされ、次にO2RIEを使用するBA
RC/フォトレジストエッチバック、およびコントロー
ルゲートシリコン並びにワードゲートシリコンを露出さ
せる酸化膜スペーサエッチングが続く。拡散領域上の酸
化膜は、拡散領域シリコンを露出させないように、残り
のBARCによって保護される。論理ゲートおよび論理
拡散領域上の酸化膜を除去した後、露出された全てのシ
リコンの上でシリサイド化が起こる。
【0035】(2−11)コントロールゲートの幅とス
ペーサ酸化膜の厚さとの差よりも厚い窒化膜を堆積する
ことによって、自己整合コンタクト(self-aligned con
tact:SAC)が可能になる。SACは、セルのサイズ
を縮小することができ、時には半分にする。
【0036】(2−12)(2−1)で言及される共通
コンタクトを有する2つの活性領域を接続するため、ロ
ーカル配線(長いコンタクト)プロセスが、酸化膜の堆
積および酸化膜のCMPに続く。SACを使用して、2
つの活性領域へのバーコンタクトが形成される。長いコ
ンタクトを使用して、窒化チタンおよびタングステンが
バーコンタクトの中に充填され、次にタングステンのC
MPが続く。
【0037】(2−13)酸化膜の堆積に続いて、ロー
カル配線(長いコンタクト)と最初の金属配線とを接続
するコンタクト孔が開かれる。コンタクトは、窒化チタ
ンおよびタングステンでプラグされる。次に、CMPが
過剰の窒化チタンおよびタングステンを除去する。その
次に、通常の金属配線プロセスが続く。
【0038】(3)第3の実施形態は、次の点で第2の
実施形態と異なる。即ち、長いコンタクトの不在、およ
び隣接のセルによって共用される金属ビット線の不在。
STIによって分離される隣接セルは、第2の実施形態
のようにはブリッジされない。デュアル金属ビット線
は、各々のセルごとにワード線と交差し、各々の金属線
は1つおきの拡散ビットとコンタクトする。
【0039】(4)第4の実施形態は、次の様相で第2
の実施形態と異なる。即ち、ワード線に平行して、交互
に連続しSTIによって分離された拡散。第2の実施形
態での長いコンタクトの代わりに、長方形のSTIマス
ク又は長いタングステン埋め込み配線を使用して、連続
した拡散線が形成される。埋め込まれたタングステンを
有する連続拡散線は、ソース線として使用され、ワード
線およびコントロールゲートと平行している。金属ビッ
ト線は、ワード線と交差してSTI分離拡散領域上の拡
散ビットとコンタクトする。
【0040】
【発明の実施の形態】本発明の第1の実施形態のプロセ
スは、図1A〜図1Rおよび図5A〜図5Lに示され
る。第1の実施形態では、図5Fおよび図5Gで示され
るように、ビット線BLはコントロールゲートCGと平
行に走り、ワード線はコントロールゲートおよびビット
線と垂直に走る。STI(浅いトレンチ分離)は、メモ
リセルの分離には使用されず、CMOS論理回路および
周辺回路で使用される。メモリセルは、図5Cおよび図
5Dで示されるように、ワード線の形成後にフィールド
イオン注入106によって分離される。
【0041】図1A〜図1Cは、第1の実施形態に従っ
て完成したMONOS素子を示す。フィールド注入は、
メモリ領域内でメモリセル分離(図示されていない)を
提供する。シリサイド化(152)された論理ゲート/
141および隣接のシリサイド化(153)されたソー
ス/ドレイン領域105は、論理領域内に形成される。
メモリ領域では、メモリゲート140および隣接のシリ
サイド化されたソース/ドレイン領域103が形成され
る。コントロールゲート142は、酸化膜−窒化膜−酸
化膜(ONO)の層122/131/123によってメ
モリゲートから分離されて、メモリゲート140の側壁
の上に形成される。図1Rの直交図に示されるシリサイ
ド化(154)されたワード線144は、メモリゲート
とコンタクトする。
【0042】ここで、MONOS素子の製造方法の第1
実施形態を説明する。図1Aに示されるように、メモリ
ワードゲート上のキャップ状窒化膜130は、ワード線
を画定するために必要であるが、論理/周辺区域内の論
理ゲート141上のキャップ状窒化膜は、ゲートをシリ
サイド化してシート抵抗を低減させるために除去されな
ければならない。論理領域内のSTI、Pウエル、およ
びNウエルは、通常のCMOS処理におけるように最初
に半導体基板100の中に形成されるが、図面には示さ
れない。
【0043】100〜200nmの窒化膜130を有す
るツインMONOSメモリのワードゲート140、およ
びキャップ状窒化膜を有しない周辺および/又は論理制
御回路の多結晶シリコンゲート141が、同時に画定さ
れる。メモリゲート酸化シリコンおよび低電圧論理ゲー
ト酸化シリコンが、図1Dの符号120で示されるよう
に約2〜10nmの厚さに形成される。高電圧論理素子
のゲート酸化シリコンの厚さは、印加される電圧の要件
に依存して調節される。次に、図1Dの多結晶シリコン
140が、化学気相成長法(CVD)によって、約15
0〜250nmの厚さに堆積される。図1Dの酸化シリ
コン膜126は、CVDによって約30nmの厚さに堆
積される。これは、後に多結晶シリコンをエッチングす
る際に、n−/p−MOSへのエッチングストッパとし
て使用される。メモリセルアレイ上の酸化膜は、図1D
に示されるように、リソグラフィマスクおよびドライエ
ッチングを使用して除去される。図1Dの窒化シリコン
膜130は、CVDによって約100〜200nmの厚
さに堆積される。これは、後の化学的機械的ポリッシン
グ(CMP)においてエッチストップ層として使用され
る。図1Dの酸化シリコン膜127は、CVDによって
約30nmの厚さに堆積され、メモリ領域上ではマスク
して論理領域上ではエッチングによって除去される。酸
化シリコン膜127は、多結晶シリコンゲートを画定す
る間、ハードマスクとして使用されるであろう。結果と
して、図1Dに示されるように、酸化膜−窒化膜−多結
晶シリコン−ゲート酸化膜がメモリ領域にスタックさ
れ、窒化膜−酸化膜−多結晶シリコン−ゲート酸化膜が
論理領域にスタックされる。
【0044】図1Eのフォトレジスト像190は、スタ
ックの上で通常のフォトリソグラフィを使用してパター
ン化され、多結晶シリコンの表面で停止する反応性イオ
ンエッチング(RIE)によって誘電体層の中へ転写さ
れる。その後、酸素アッシングによりフォトレジストが
除去される。メモリおよび論理ゲートは、多結晶シリコ
ンの上で図1Fの誘電体層パターン126および127
をエッチマスクとして使用する多結晶シリコンのRIE
によって形成される。ここで、窒化シリコンのエッチン
グ速度は多結晶シリコンのエッチング速度に近く、酸化
シリコンのエッチング速度は、多結晶シリコンのエッチ
ング速度よりも非常に遅い。窒化シリコン130は、論
理領域の中で多結晶シリコン140のエッチングの間に
除去され、そのエッチングは上部酸化膜126、127
およびゲート酸化膜120で停止する。典型的なエッチ
ング雰囲気は、バルクエッチングに対してはCF4/O2
であり、終点に対してはエッチング速度の要件を達成す
るためにHBr/O2/Cl2系である。この段階で得ら
れた構造は、図1Fに示される。残りの酸化膜120
は、緩やかにエッチングして除去される。
【0045】図1Gの約10〜20nmの酸化シリコン
膜128は、図1Hのホウ素イオン注入101をオフセ
ットしてコントロールゲートの下のしきい値電圧(V
t)を調節するため、CVDによって堆積される。この
段階で、通常のCMOSプロセスと同じようにフォトレ
ジストマスクを使用して、論理領域内のLDD(lightl
y doped drain:軽くドープされたドレイン)イオン注
入を実行し、論理LDD構造104を形成する。除去可
能な側壁(DSW)スペーサは、超短チャネル幅を制御
良く画定するために形成される。図1Gに示されるよう
に、多結晶シリコン、窒化シリコン、又はボロフォスフ
ォシリケイトグラス(boro-phospho silicate glass、
BPSG)を含む約30〜50nmの薄い層180が整
合的に堆積され、DSW層が異方的にエッチングされ
て、メモリワードゲートの双方の側に除去可能な側壁ス
ペーサが形成される。
【0046】論理領域は、後続のLDDイオン注入を遮
蔽するため、フォトレジスト191でカバーされる。こ
こで、図1Hで示されるように、メモリとロジックとの
間のフォトレジスト境界は、多結晶シリコン上のメモリ
領域のエッジに取られる。図1GのLDD領域102に
は、ヒ素のようなn型ドーパントが注入される。典型的
なAs注入条件は、10〜20keVのエネルギーおよ
び約5E13〜1E14原子/cm2のドーズ量であ
る。DSWは、O2アッシングで論理領域上のフォトレ
ジストマスクを除去した後で、CDE又はウエットエッ
チングによって除去される。論理領域をフォトレジスト
でマスクして、p型のドーパントが注入され、残りの酸
化シリコンの厚さがワードゲート側壁の上にオフセット
される。BF 2が、30keVのエネルギーおよび約
1.2〜2.5E13原子/cm2のドーズ量で注入さ
れ、図1Hのコントロールゲートチャネル領域101が
形成される。メモリ領域内の酸化膜128は、ウエット
又はドライエッチングによって緩やかに除去され、論理
領域上のフォトレジストは除去される。
【0047】最近開発されたISSG(装置内発生水蒸
気酸化)装置は、シリコン上だけでなく窒化シリコン上
にも二酸化シリコンを成長させる。単結晶シリコン上の
酸化膜成長速度が1であるとき、窒化シリコン上の酸化
膜成長速度は0.6である。酸化膜降伏電圧によって測
定されたISSG酸化膜の品質は、CVD酸化膜よりも
良好である。酸化膜−窒化膜−酸化膜(ONO)123
−131−122の合成層は、ISSG酸化を使用して
形成される。図1Jの下部酸化膜122は、ISSGを
使用して約3.0〜5.0nmの厚さに成膜される。I
SSGは、通常の熱酸化膜成長と比較して、メモリワー
ドゲートのエッジの下でバーズビークを小さくする。キ
ャップ状窒化膜130の側壁上で成長する酸化膜は、O
NO合成層の窒化膜からキャップ状窒化膜を分離する。
850℃を超えるNH3雰囲気で下部酸化膜を前処理す
ると、CVDによって均一の窒化膜が堆積される。CV
Dによって堆積された図1Jの窒化シリコン膜131
は、約6〜9nmの厚さを有する。合成層の残りの窒化
膜の厚さは、ISSG酸化の後で約3〜6nmへ縮小さ
れる。例えば、4.5nmのISSG酸化の後では、
7.0nmの窒化膜は4.5nmへ縮小される。75Å
の窒化膜は、ISSG酸化の後では45Åの窒化膜/4
5Åの上部酸化膜へ転化する。
【0048】図1Kのメモリコントロールゲート142
は、メモリワードゲートの側壁スペーサとして形成され
る。コントロールゲートの高さは、ワードゲート多結晶
シリコンよりも低く設計される。キャップ状窒化膜は後
で除去され、多結晶シリコンがその場所に置かれること
になる。窒化膜上の酸化膜の成長は、多結晶シリコン上
よりも非常に遅いので、窒化膜のサイドキャップ上の誘
電体層の厚さは、コントロールゲートとワードゲートと
の間に必要な電圧に耐えるには十分でない。充填される
ワードゲートとコントロールゲートとの間の降伏電圧の
低下を避けるため、コントロールゲートの多結晶シリコ
ンエッチングは、コントロールゲートの肩が窒化膜界面
よりも、充分に低くなるまで延長されるが、過剰に延長
されたオーバエッチングは、拡散領域にまで突き抜けて
しまう。多結晶シリコンスペーサエッチングの間に、拡
散領域に突き抜けないようにすることが必要である。
【0049】図1Kのインシトゥリン・ドープ多結晶シ
リコン142は、CVDによって約60〜100nmの
厚さに堆積される。メモリ領域は、通常のフォトリソグ
ラフィを使用してフォトレジストでカバーされる。メモ
リ領域のトポロジは、論理領域のトポロジよりも大きい
から、フォトレジストの適切な中途エッチングは、メモ
リ領域上のワードゲート間のトレンチに幾らかのフォト
レジストを残す。これは、図1Lの層192で示される
ように、拡散領域を保護する。垂直反応性イオンエッチ
ングは、垂直方向に堆積厚の2倍だけ多結晶シリコンを
除去する。ONO合成層の表面が現れ、上部酸化膜は、
ONOの窒化膜で停止する酸化RIEによって緩やかに
除去される。次に、通常のCMOSプロセスを使用し
て、図1Lにおけるn−MOSおよびp−MOSのソー
ス/ドレイン領域105が、n型およびp型イオン注入
によって個別に画定される。論理ソース/ドレインイオ
ン注入のスペーサとして使用された図1Lの多結晶シリ
コン側壁143は、異方性ドライエッチング、例えば化
学ダウンフローエッチング(CDE)又はウエット化学
エッチングによって論理領域から除去される。その際、
メモリ領域はフォトレジストでマスクされる。多結晶シ
リコン側壁の下のONO合成層は、多結晶シリコンの除
去の後も残される。上部酸化膜は、軽いウエット化学エ
ッチング又は緩やかなドライエッチングによって除去さ
れる。図1Mの窒化膜132は、後でISSGによって
酸化されるであろう。メモリ領域をマスクするフォトレ
ジストは、O2アッシングによって除去される。
【0050】ここで、メモリのコントロールゲートおよ
びソース/ドレインが画定される。論理領域およびコン
トロールゲートのコンタクト領域は、通常のフォトリソ
グラフィプロセスを使用してパターン化されたフォトレ
ジストでマスクされる。多結晶シリコンの垂直反応性イ
オンエッチングは、図1Mで示されるように、コントロ
ールゲート142の高さがワードゲートの多結晶シリコ
ンよりも低くなるまで継続する。続いて、ONO合成層
が、酸化膜の垂直反応性イオンエッチングによって除去
される。論理領域およびコントロールゲートのコンタク
ト領域を覆うフォトレジストをO2アッシングで除去し
た後に、RIEで損傷したONOを回復し、表面上に残
っている窒化膜132を酸化膜へ完全に転化するため
に、ISSGによる6nmの回復酸化が実行される。ゲ
ートエッジ酸化膜上のイオン注入損傷を防止するため、
図1Nに示したように、約20nmの酸化膜124が別
途に堆積される。論理領域をフォトレジストでマスクし
て、n型メモリドーパントイオン、例えばヒ素が、図1
Nのソース/ドレイン領域103へ注入される。フォト
レジストは、O2アッシングによって除去される。
【0051】各々のシリコン表面を露出するため、メモ
リのソース/ドレインイオン注入マスクとして使用され
たレジストを除去する酸素垂直イオンエッチングが続
く。メモリ拡散(ソース/ドレイン)領域103および
コントロールゲートは、図1Nの約20nmの酸化膜側
壁124によって分離され、コントロールゲートは約2
0nmの酸化膜によって保護される。もし更に厚い分離
124が必要であれば、BPSGおよびBARCエッチ
バックを使用するオプションが挿入される。BPSG
は、CVDによって20〜40nmの厚さに堆積され
る。続いて、メモリ拡散および論理領域内のBPSGを
保護するため、BARCおよびフォトレジストがBPS
G上にコーティングされる。メモリ領域内のフォトレジ
ストが現像され、メモリコントロールゲートの表面が現
れるまで酸素RIEでBARCをエッチバックする。露
光されたBPSGはウエットエッチングによって除去さ
れ、フォトレジストおよびBARCを除去するための酸
素RIEが続く。コントロールゲート上のBPSGは除
去され、コントロールゲート側壁上のBPSGは保存さ
れる。酸化膜RIEはコントロールゲート上の分離膜を
20nmだけ維持し、コントロールゲートと拡散との間
の分離ギャップはBPSGの厚さだけ増加する。
【0052】続いて、シリサイド金属、例えばコバル
ト、チタンなどが、スパッタリングによって堆積され、
その次に650〜750℃のシリサイド形成アニールが
続く。形成アニールは、金属とシリコンとが反応して金
属−シリコン合金へ転化することを促進する。シリコン
にコンタクトしていない金属は、下層の材料と反応しな
い。反応しなかった金属は、適切な化学製品、例えばH
22/硫酸を使用して除去される。シリサイドは形成ア
ニールの直後では十分に安定でなく、従ってシリサイド
を安定化するため、変換アニールと呼ばれる他のアニー
ルが実行される。従って、図1Nのメモリ拡散領域15
0、コントロールゲート151、論理ゲート152、お
よび論理拡散領域153が、今や全てシリサイド化され
ている。
【0053】図1Pの厚い酸化膜125がCVDによっ
て約500nmの厚さに堆積され、CMP(化学的機械
的ポリッシング)によってキャップ状窒化膜130のと
ころまで平坦化される。CMPは論理ゲート表面まで達
する心配がある。論理領域のパターン密度は、メモリ領
域よりも非常に小さいので、論理ゲート上の酸化膜の厚
さはメモリよりも薄い。ロジックのゲートの高さはメモ
リよりも150nm低いので、論理領域はCMPの際に
ディッシングおよびゲート腐食を受けやすい。分離され
た領域内のゲートを保護するため、論理領域内の窒化膜
ダミーパターンプロセスがCMPの前に挿入される。約
100〜150nmの厚さの窒化膜が堆積される。図1
Pの窒化膜パターン133は、論理領域内の論理ソース
/ドレインを覆うようにv形酸化膜上にウエッジ状に形
成される。これらの窒化膜の島は、論理領域上のCMP
ディッシングを防止する。酸化膜領域内でCMPの後に
残るウエッジは、メモリのキャップ状窒化膜が除去され
るときに除去される。
【0054】メモリワード線プロセスがCMP平坦化に
続く。図1Qのメモリキャップ状窒化膜130および窒
化膜ウエッジ133を、ウエットエッチング、例えば熱
い燐酸で除去した後、多結晶シリコンが150〜250
nmの厚さに堆積される。ワードゲートパターンは、通
常のリソグラフィプロセスを使用して多結晶シリコン上
にプリントされ、レジスト像が図1Rの多結晶シリコン
144へ転写される。ここで、直角方向に沿ったワード
多結晶シリコンは、図5Bおよび図5Cで示されるよう
に、エッチングによって除去される。
【0055】多結晶シリコンのエッチングは、ゲート酸
化膜120に達する。次に、軽い酸化(5〜10nm)
が適用され、続いて図5Cおよび図5Dに示されるよう
に、ホウ素(BF2、20keV〜30keV、2E1
2〜1E13原子/cm2)がフィールド領域106に
注入される。
【0056】ワードゲート間のギャップを充填するた
め、CVD酸化膜(500nm)が堆積される。500
nmのRIEエッチングはワードゲート多結晶シリコン
を露出させ、図5Hおよび図5Jで示されるように、ワ
ードギャップは酸化膜で充填されたままに残される。
【0057】次に、ワード線が、図1Rの154のよう
に、通常のCMOSシリサイドプロセスによってシリサ
イド化される。オプションとして、ベースのワードゲー
ト多結晶シリコン140の上部にある多結晶シリコン1
44は、W/多結晶シリコン〜WSi/多結晶シリコン
で置換することができる。次に、図5Kおよび図5Lで
示されるように、厚い酸化膜160がCVDによって堆
積され、ワード多結晶シリコン144間のギャップが充
填され、またワードゲート多結晶シリコン144の上部
に堆積される。
【0058】この後に、通常の接続/金属配線プロセス
が続く。
【0059】第1の好ましい実施形態のプロセスの代替
として、図3に示されるように、蓄積窒化膜131が、
コントロール多結晶シリコンゲートではなく除去可能側
壁スペーサ180のところでカットされる。プロセスの
相違は、酸化膜120が図1Fでエッチングされた後に
始まる。ISSG装置を使用して、2.0nm〜6.0
nmのONO下部酸化膜が熱的に成膜される。酸化膜1
22が、例えば4.0nmへ成長する間に、ISSG酸
化を950℃に調節することによって、ポリゲート14
0の側壁酸化膜121が約5.5nmへ成膜される。こ
れは、下部酸化膜122よりも少し厚い。次に、7.5
nmの均一窒化膜131がCVDによって堆積される。
下部酸化膜122の直前、又は酸化膜122の直後、又
は窒化膜を7.5nm堆積した後、コントロールゲート
メモリチャネル101のために1.2E13〜2.5E
13原子/cm2のホウ素注入を挿入することができ
る。チャネル101上の誘電体層の厚さが増加するにつ
れて、注入エネルギーが5keVから15keVへ増加
される。多くの窒化膜又は熱酸化膜を除去することなく
エッチングによって選択的に除去できる除去可能側壁材
料、例えばプラズマオキシ窒化膜、リンをドープされた
多結晶シリコン、又はBPSGが、25nm〜60nm
の範囲で整合的に堆積される。ここでは、例として50
nmが選択される。DSW層は、前の実施形態(図1
G)と同様に、異方的にエッチングされる。しかし、こ
こでは(図3C)下方の酸化膜122をアタックしない
HBR/O 2/Cl2のようなエッチングガスを使用し
て、DSW層180の下の窒化膜131が除去される。
この時点における断面構造が図3Cに示される。このD
SWスペーサ180を注入マスクとして使用し、N−L
DD102のためのAs種が1E13〜1E14原子/
cm2のドーズ量範囲で垂直に注入される。ここで、チ
ャネル101におけるホウ素の表面濃度は、プロセス終
了時に1E18〜2E18原子/cm3の間に設計さ
れ、同時にN−LDDの表面濃度は、5E18〜1E1
9原子/cm3の間である。これらのプロフィールが選
択されるのは、プログラムの間にチャネルホットエレク
トロンを効率的に生成するが、消去の間にホット正孔生
成(又は、バンド間又はゲート誘導漏れと呼ばれる)を
最小にするためである。DSW層180は選択的に除去
され、酸化膜122の大部分は、洗浄プロセスの後で消
滅する(窒化膜のエッチング中に、高い選択性によって
酸化膜を残すことが望ましい)。次に、図3Dに示され
るように、窒化膜131の上に4〜6nmの酸化膜12
3を形成し、N−LDD102の上に9〜10nmの酸
化膜129を形成するため、ISSGによって約950
℃で2分間の熱酸化が実行される。
【0060】図3Eのメモリコントロールゲート多結晶
シリコン142が堆積され、次のプロセスステップが、
前の実施形態に続く。プロセス終了時の素子構造は、図
3Aおよび図3Bに示される。N+拡散接合から蓄積窒
化膜領域をオフセットすることが、この選択的プロセス
の目的である。バンド間トンネルに起因するGIDL電
流のソースは、約1E19原子/cm3の濃度を必要と
するから、濃度が約1E19になるN−LDDおよびN
+接合のエッジで正孔生成が起こる。もしこの生成ポイ
ントが窒化膜でカバーされ、電子がプログラミングの間
に窒化膜の中にトラップされると、バンド間トンネルに
起因する正孔生成電流は、トラップされた電子によって
生成された負電界によって劇的に向上する(殆ど2
桁)。この挙動は、通常の多結晶シリコンゲートMOS
FETで負ゲート電圧の増加を伴うGIDL電流の増加
で観測される挙動と同じである。CHEトラップによっ
て正孔電流の過剰な増加を避けることは、大きな消去ブ
ロックサイズを達成するために非常に重要である。なぜ
なら、チャージポンプからの電流供給能力が制限されて
いるからである。図3Aおよび図3Bに示されるこのオ
プションは、N−LDDおよびN+接合のエッジで窒化
膜を除去し、それによってプログラミングの間に窒化膜
内の電子のトラップを防止する。
【0061】図2A〜図2Cに示される本発明の第2の
実施形態は、図2D〜図2Rおよび図6A〜図6Cを参
照して説明される。拡散領域をビット線として使用する
第1の実施形態のアプローチは、拡散抵抗およびその大
きなRC遅延に起因するIR降下を伴う心配がある。I
R降下は、高パフォーマンス動作では最小にしなければ
ならない。拡散抵抗およびその大きなRC遅延に起因す
るIR降下を最小にするため、長い拡散線を避けて、通
常のSTIによって分離される各々のビット拡散領域に
金属線をコンタクトさせることが、この第2の実施形態
で発明される。第2の実施形態において、ワード線はコ
ントロールゲートと平行に走り、ビット線はワード線お
よび制御ゲート線と交差する。各々のメモリセルは、図
6B−1の平面図に示されるように、STI210によ
って分離される。メモリコントロールゲート242はシ
リサイド化され、メモリワードゲート240および論理
ゲートはスタックされた金属ゲート、例えばタングステ
ン/窒化タングステン/多結晶シリコンであり、ビット
線は金属線によって接続される。更に、この発明で拡散
領域への自己整合コンタクトが提供される。
【0062】図6Aに示されるようなメモリ領域内の分
離STIの島は、図6Bに示されるように、STI領域
を横切る2つの隣接拡散を接続する長いコンタクトを用
いたプロセスを利用することによって避けられる。マス
ク上の長方形STI210の角は、図6A−1および図
6A−2に示されるように、リソグラフィプロセスを介
して丸くされる。それは、図6A−2の漏れ通路212
によって示されるようなオーバレイミスアライメントに
関連した新たな漏れ電流を生成するかも知れない。この
発明において、STIおよび能動領域は、角の丸めおよ
びオーバレイミスアライメントに起因する漏れ効果を避
けるため、ラインアンドスペースとしてプリントされ
る。隣接した4つのメモリビットは、共通の拡散領域お
よび正方形コンタクトの代わりに長方形コンタクトによ
って相互に接続される。
【0063】図2A〜図2Cは、第2の実施形態のMO
NOS素子を示す。図6A〜図6Cに示される浅いトレ
ンチ分離は、メモリセル分離および論理素子分離を提供
する。論理ゲート241および隣接のシリサイド化され
たソース/ドレイン領域205は、論理領域の中に形成
される。メモリ領域内のメモリゲート240および隣接
したソース/ドレイン領域203が形成される。コント
ロールゲート242は、酸化膜222−窒化膜231−
酸化膜223のONO層によってメモリゲートから分離
され、メモリゲート240の側壁上に形成される。酸化
膜227面を通るローカル配線261は、メモリ領域内
のソース/ドレイン領域203とコンタクトする。
【0064】PウエルおよびNウエルは、普通に行われ
るようにCMOS処理で形成され、図示されていない。
図2A〜図2Cに示されるように、ツインMONOSメ
モリのワードゲート240、および多結晶シリコン上の
タングステン/窒化タングステンの合成層から構成され
る周辺および/又は論理制御回路における論理ゲート2
41は、同時に画定される。上部W/WN層の側壁上の
誘電体層は良好な品質でなければならないので、W/W
Nのこの部分は、後で説明するように窒化膜でラップさ
れなければならない。
【0065】メモリゲート酸化シリコンおよび低電圧論
理ゲート酸化シリコンは、図2Dの220で示されるよ
うに、約2〜10nmの厚さに形成される。高電圧論理
素子のゲート酸化シリコン膜の厚さは、印加電圧の要件
に依存して調節される。次に、図2Dの多結晶シリコン
240が、化学気相成長法(CVD)によって約150
〜250nmの範囲で堆積され、続いてW/WN260
がCVDによって堆積され、更に続いて窒化シリコン2
30がCVDによって堆積される。通常のリソグラフィ
プロセスによってプリントされたフォトレジスト像が、
多結晶シリコンの表面で停止するRIEによってキャッ
プ状窒化膜230およびW/WN260へ転写される。
図2Eで示されるように、W/WN260の側壁をラッ
プして酸化の間にWを保護するため、窒化膜231が1
0〜50nmの厚さに堆積される。次に、図2Fで示さ
れるように、垂直反応性イオンエッチングが、薄い窒化
膜および多結晶シリコンを除去して、ゲート酸化膜22
0で停止する。残りの酸化膜は、例えばウエットエッチ
ングによって緩やかに除去される。
【0066】図2Gの約10〜20nmの酸化シリコン
226は、図2Hのホウ素イオン注入201のオフセッ
トとなるようにCVDによって堆積され、コントロール
ゲートの下のVtを調節する。図2Gの論理LDD構造
204は、DSWの材料を堆積する前に別個のレジスト
マスクおよびイオン注入を適用することによって形成さ
れる。制御可能な超短チャネル長をコントロールゲート
の下に得るため、除去可能側壁(DSW)スペーサプロ
セスが使用される。それは、高エレクトロン注入効率に
よって高速プログラミングを行うためである。図2Gの
約30〜50nmの薄いDSW層280、例えば多結晶
シリコン、窒化シリコン、又はBPSGが堆積され、次
にメモリワードゲートの双方の側に除去可能側壁スペー
サを形成する異方性エッチングが続く。
【0067】後続のイオン注入から論理領域を遮蔽する
ため、論理領域は図2Hのフォトレジスト291でカバ
ーされる。エッチングはメモリ領域で進行する。ここ
で、図2Hに示されるように、メモリとロジックとの間
のフォトレジスト境界は、多結晶シリコン上のメモリ領
域の端部に取られる。境界への微細なマスクアライメン
トは必要でない。次に、n型ドーパント、例えばヒ素
が、例えば加速エネルギー10〜20keV、約5E1
3〜1E14原子/cm2で、DSWによってマスクさ
れたコントロールゲート下にある図2GのLDD領域2
02へ注入される。O2アッシングを使用して、論理領
域上のフォトレジストマスクを除去した後、DSWはC
DE又はウエットエッチングによって除去される。論理
領域をフォトレジストで再びマスクし、ワードゲート側
壁上で残りの酸化シリコンの厚さをオフセットして、p
型ドーパントが注入される。コントロールゲートの下の
Vt調節のためには、注入種BF2、30keVのエネ
ルギー、および約1.2〜3.5E13原子/cm2
ドーズ量が、図2Hのコントロールゲートチャネル領域
201を形成する。
【0068】メモリ領域内の酸化膜226は、ウエット
又はドライエッチングによって緩やかに除去され、論理
領域上のフォトレジストは除去される。
【0069】最近開発されたISSG(装置内発生水蒸
気酸化)装置は、シリコン上だけでなく窒化シリコンの
上にも二酸化シリコンを成膜する。成長速度は、単結晶
シリコンについて約1であり、窒化シリコンについて
0.6である。ISSG酸化膜の品質として、その降伏
電圧はCVD酸化膜の降伏電圧よりも良好である。酸化
膜223−窒化膜231−酸化膜222のONO合成層
がISSG酸化で形成される。図2Jの下部酸化膜22
2は、ISSGによって約3.0〜5.0nmの厚さに
成膜される。ISSGは、ドープされる種又は濃度がど
のようなものであれ、通常の熱酸化成長と比較して、メ
モリワードゲートのエッジの下でバーズビークを小さく
する。ISSGは、キャップ状窒化膜上に酸化膜を成膜
する。ISSGによって窒化膜上に成膜された酸化膜
は、キャップ状窒化膜とONO合成層の窒化膜とを分離
する。850℃を超えるNH3雰囲気での前処理は、均
一な厚さの合成層窒化膜を提供する。図2Jの窒化シリ
コン膜231は、化学気相成長法によって約6〜9nm
の厚さに堆積される。ISSGによって酸化された酸化
膜は、約3〜5nmだけ窒化膜を酸化する。合成層の残
りの窒化膜の厚さは、ISSG酸化の後、約3〜6nm
へ縮小される。
【0070】図2Kのメモリコントロールゲート242
は、メモリワードゲートの側壁スペーサとして形成され
る。この第2の実施形態のコントロールゲートは、第1
の実施形態よりも容易である。コントロールゲートのエ
ッチングは深く進行する必要はない。なぜなら、キャッ
プ状窒化膜およびラップ窒化膜は、決して除去されない
からである。
【0071】図2Kにおいて、装置内反応でリンをドー
プされる多結晶シリコン242は、CVDによって約6
0〜100nmの厚さに堆積される。ONO表面が現れ
るまで垂直反応性イオンエッチングが多結晶シリコンを
除去し、約10〜30nmのオーバエッチングが付け加
えられて、ONO上部酸化膜および窒化膜が除去され、
下部酸化膜で停止して、図2Lに示されるように、論理
ソース/ドレイン領域上の酸化膜が残される。次に、通
常のCMOSプロセスを使用して、図2Lにおけるn−
MOSおよびp−MOSのソース/ドレイン領域205
が、n型およびp型イオンの注入によって個別に画定さ
れる。さらに、論理ソース/ドレインイオン注入を形成
するため、図2Lの多結晶シリコン側壁243が使用さ
れる。
【0072】論理領域内の多結晶シリコン側壁は、異方
性ドライエッチング、例えば、化学ダウンフローエッチ
ング(CDE)又はウエット化学エッチングによって除
去される。その場合、メモリ領域はフォトレジストによ
ってマスクされる。多結晶シリコン側壁の下の合成ON
O層は、多結晶シリコンが除去された後に残る。メモリ
領域をマスクしているフォトレジストは、O2アッシン
グによって除去される。メモリおよび論理ソース/ドレ
イン領域203および205は、軽いウエット化学エッ
チング又は緩やかなドライエッチングによって除去され
る。論理領域内で多結晶シリコン側壁の下にあるONO
窒化膜232、および窒化膜の下にある酸化膜226
は、図2Mに示されるように依然として残る。
【0073】ISSGを使用する約6nmの回復酸化が
実行される。それは、RIEによって損傷したONOを
回復し、表面上に残っている窒化膜232を酸化膜へ完
全に転化するためである。図2Nの他の約20nmの酸
化膜224は、注入損傷を防止するために堆積される。
論理領域をフォトレジストでマスクして、n型メモリド
ーパントイオン、例えばヒ素が、図2Mのメモリソース
/ドレイン領域203に注入される。フォトレジストは
2アッシングによって除去される。
【0074】抵抗を低くするため、シリコンと金属、例
えばチタン、コバルトなどとを合金にするシリサイド化
が、メモリコントロールゲートおよび論理拡散領域のた
めに必要である。金属(W/WN)を有するメモリワー
ドゲートおよび論理ゲートは、シリサイド化を必要とし
ない。メモリビット線は金属ワイヤを使用するので、ビ
ット線のシリサイド化は必要でない。ビット線のシリサ
イド化を避けるため、BARC292およびフォトレジ
スト293が、図2Nで示されるようにコーティングさ
れ、露光され、現像される。BARCは、コントロール
ゲートが露出するまで除去される。O2アッシングを使
用してレジストおよびBARCを除去した後、メモリコ
ントロールゲートおよび論理拡散は、図2Nに示される
ようにシリサイド化のために露出される。
【0075】続いて、シリサイド化金属、例えばチタ
ン、コバルトなどが、スパッタリングによって堆積さ
れ、シリサイド形成アニールが続く。形成アニールは、
金属がシリコンと反応して、金属−シリコン合金へ転化
するのを促進する。反応しなかった金属は、適切な化学
製品、例えばH22/硫酸を使用して除去される。シリ
サイドは、形成アニールの直後では十分に安定せず、従
って、シリサイドを安定化するため、変換アニールと呼
ばれる他のアニールが、より高い温度で実行される。コ
ントロールゲート251および論理拡散253は、図2
Pに示されるように、今や全てシリサイド化されてい
る。
【0076】拡散領域203へのコンタクトを作る通常
のアプローチでは、2つの隣接したワードゲート240
間の拡散領域は、ゲートマスクとコンタクトマスクとの
間のミスアライメントを考慮して十分に広く開放され
る。自己整合コンタクトのためには、図2Qで示される
ようにコントロールゲートの厚さよりも厚い窒化膜23
2が堆積される。厚い酸化膜225がCVDによって約
500nmの厚さに堆積され、CMP(化学的機械的ポ
リッシング)によって平坦化される。図6B−1の平面
図および図6B−2の断面図で示されるように、隣接し
た活性化領域を接続するため長いコンタクト261が使
用される。次に、酸化膜がCVDによって堆積される。
自己整合コンタクトはチップサイズを劇的に縮小する。
【0077】図2Rで示されるように、広いコンタクト
孔261が酸化膜227を通して開かれた後、窒化膜2
32は、Wスタドを充填する前にエッチングされる。コ
ントロールゲートショルダ上の窒化膜232は、垂直コ
ンタクトエッチングによって薄くされてよい。それは、
コントロールゲートとビットコンタクトWスタドとの間
にショートを生じるかも知れない。ショートの可能性を
避けるため、次のアプローチが提案され、図2Qに示さ
れる。窒化膜232を堆積した後、エッチングストップ
となり、窒化膜をアタックしないで軽いHFウエットエ
ッチングによって容易に除去されるBPSGのような材
料が、ギャップを充填するために約400nmの厚さに
堆積される。次に、BPSGがエッチバック又はCMP
によって平坦化され、コントロールゲート多結晶シリコ
ン242の上部ショルダへ後退させられる。
【0078】約10〜30nmの薄い窒化膜が堆積さ
れ、垂直窒化膜エッチングが実行され、薄い窒化膜スペ
ーサが形成される。窒化膜のこの堆積およびエッチング
は、ショルダが余分な窒化膜スペーサ233および23
4で完全にカバーされるまで反復される。この後、通常
の金属配線プロセスが続くことになる。従って、ショル
ダのショートの心配は、この独特の自己整合コンタクト
プロセスによって避けられる。
【0079】図4は、第1の実施形態の代替と同じよう
に、第2の実施形態の代替を示す。図4では、消去電流
を低減して信頼性を改善するため、蓄積窒化膜がDSW
によってカットされる。例えば、4.0nmの下部酸化
膜について、図2Fの後で、図4Cに示される酸化膜2
22が成長する間、ISSG酸化を950℃に調節する
ことによって、ポリゲート240の側壁酸化膜221が
約5.5nmへ成膜される。この層は下部酸化膜222
よりも少し厚い。次に、図4Dに示される7.5nmの
均一窒化膜231がCVDによって堆積される。下部酸
化222の直前、又は酸化222の直後、又は窒化膜
7.5nmの堆積の後、コントロールゲートメモリチャ
ネル201のために1.2E13〜2.5E13原子/
cm2のホウ素注入を挿入することができる。チャネル
201上の誘導体の厚さが増加するにつれて、注入エネ
ルギーを5keVから15keVへ増加させる。多くの
窒化膜又は熱酸化膜を除去することなく選択的にエッチ
ングされる除去可能側壁材料、例えば、プラズマオキシ
窒化膜、リンでドープされた多結晶シリコン、又はBP
SGが、25nm〜60nmへ整合的に堆積される。こ
こでは、例として50nmが選択される。DSW層は、
前の実施形態(図2G)と同じように異方的にエッチン
グされる。しかし今回は(図4C)、DSW層280の
下の窒化膜231は、下方の酸化膜222をアタックし
ないエッチングガス、例えばHBr/O 2/Cl2を使用
して除去される。この時点における断面構造は図4Cに
示される。このDSWスペーサ280を注入マスクとし
て使用して、N−LDD202のためのAs種が、1E
13〜1E14原子/cm2のドーズ量範囲で垂直に注
入される。ここで、チャネル201におけるホウ素の表
面濃度は、プロセス終了時に1E18〜2E18原子/
cm3であるように設計され、同時にN−LDDの表面
濃度は5E18〜1E19原子/cm3である。これら
のプロフィールは、プログラムの間にチャネルホットエ
レクトロンを効率的に生成するが、消去の間にホット正
孔生成(又は、ボンド間又はゲート誘導漏れと呼ばれ
る)を最小にするために選択される。一度、DSW層1
80が選択的に除去されると、酸化膜222の大部分は
洗浄プロセスの後で消滅する(窒化膜エッチングの間に
高い選択性によって酸化膜を残すのが好ましい)。次
に、ISSGによって約950℃で2分間の熱酸化が実
行される。図4Dで示されるように、窒化膜231の上
にある4〜6nmの酸化膜223、およびN−LDD2
02の上にある9〜10nmの酸化膜229が成膜す
る。
【0080】図4Eのメモリコントロールゲート多結晶
シリコン242が堆積され、次のプロセスステップが、
前述した第2の実施形態に続く。プロセス終了時におけ
る素子構造は、図4Aおよび図4Bに示される。N+拡
散接合から蓄積窒化領域をオフセットすることが、この
選択的プロセスの目的である。バンド間トンネルに起因
するGIDL電流のソースは、約1E19原子/cm3
の濃度を必要とするから、正孔生成は、濃度が約1E1
9になるN−LDDおよびN+接合のエッジで起こる。
もしこの生成ポイントが窒化膜でカバーされ、電子がプ
ログラミングの間に窒化膜の中にトラップされると、バ
ンド間トンネルに起因する正孔生成電流は、トラップさ
れた電子によって生成された負電界によって劇的に向上
する(殆ど2桁)。この挙動は、通常の多結晶シリコン
ゲートMOSFETにおいて、負ゲート電圧増加を伴う
GIDL電流増加で観測される挙動と同じである。CH
Eトラップによって正孔電流の過剰な増加を避けること
は、大きな消去ブロックサイズを達成するために非常に
重要である。なぜなら、チャージポンプからの電流供給
能力は制限されているからである。これは、説明したば
かりの選択的代替プロセスによって達成される。
【0081】本発明のプロセスは、ツインMONOSメ
モリセルアレイおよびCMOS論理回路を集積して製造
する方法を提供する。メモリゲートおよび論理ゲートは
同時に画定され、それによって集積プロセス形態を、よ
り容易で信頼性のある製造へ改善する。更に、寄生シー
ト抵抗が低くなって、高速を可能にし、同時に低い製造
コストを維持する。これは、ビット拡散の上にある金属
コンタクトによって達成される。ここで金属ビット線
は、図6Cに示されるように、相互に平行なワードゲー
トおよびコントロールゲートに垂直である。
【0082】従って、第2の実施形態(ビット拡散の上
にある金属コンタクト)によるアプローチのメモリセル
配列(セルアーキテクチャ)は、第1の実施形態(コン
タクトなしの拡散ビット線)によるアプローチとは異な
る。等価の回路図は、ビット拡散アプローチについては
図5Fに示され(図5Eの平面図に対応する)、金属コ
ンタクトのアプローチについては、図6C−2に示され
る(図6C−1の平面図に対応する)。
【0083】図7B〜図7Fに示される本発明の第3の
実施形態は、ツインMONOSアレイ構造に関する。こ
の実施形態は、第2の実施形態の派生と考えられる。ビ
ット線はワード線およびコントロールゲートと交差する
が、隣接する行によってビット線が共用されない点で、
第2の実施形態と異なる。金属を使った行に沿ったデュ
アルビット線の一方は、もう一方のデュアルビット線の
行から独立して、ワードゲートの双方の側の拡散領域の
電圧を制御する。第2の実施形態で説明された製造方法
は、第3の実施形態を達成するために正確にコピーされ
ることができる。従って、ここでは説明されない。
【0084】図7Bに示されるように、STIによって
分離された隣接する行のセルは、第2の実施形態と異な
り、ビット線を共用しないので個々のセルは独立して動
作される。STIマスクは、ワードゲートの双方の側の
拡散領域に動作電圧を個別に印加できるように工夫され
る。図7Bに示されるSTIマスクは、図7Aに示され
る第2の実施形態の長方形STIマスクから1つおきの
活性領域の線を除去することによって生成される。図7
Bに示されるように、活性領域の線の各々の側で拡散領
域を交互に拡張することによって、拡散コンタクトが置
かれる。
【0085】ワード線は、図7Cおよび図7Dに示され
るように、活性領域の線およびSTI(浅いトレンチ分
離)を交差するように配列される。ゲートプロセスの後
で出現するワードゲート間のメモリ拡散は、図7Dに示
されるように、ビット線方向に沿ってワードゲート方向
に交互に拡張される。ワードゲートの各々の側で異なっ
たビットに個別に動作電圧を印加するため、図7Eに示
されるように、行の1つの側における拡散の拡張部は、
デュアル金属ビット線の1つとコンタクトし、他の側に
おける拡散の拡張部は、デュアル金属ビット線の他のも
のとコンタクトする。理解を助けるため、図7Eの平面
図構造の電気的等価回路が、図7Fに示される。
【0086】図8A〜図8Fに示される本発明の第4の
実施形態も、ツインMONOSアレイアーキテクチャに
関する。このアーキテクチャは、米国特許6,255,
166に基づいて第2の実施形態と第1の実施形態との
概念を結合する。ワード線の1つの側の拡散は、STI
によって個別のビットへ分割され、他の側の拡散は、N
OR動作を可能にする1つの線として連続し、高密度ア
プリケーションの容易性を維持している。
【0087】長コンタクトプロセス(図8A−1)を、
図8A−2に示されるタングステンプラグ線プロセスへ
変換することによって、第2の実施形態で説明された製
造方法を第4の実施形態へ応用することができる。図8
A−1に示されるコンタクト酸化膜CMPまでのプロセ
スフローは、タングステンプラグ線プロセスおよび長コ
ンタクトプロセスに共通である。図8A−3に示される
第4の実施形態の構造は、図8A−2の長コンタクトマ
スクを、図8A−3のタングステンプラグ線マスクで置
換することによって提供される。図8A−3では、タン
グステンスタドおよびビット線コンタクトが結合されて
いる。第2の実施形態で説明された製造方法は、窒化チ
タン/タングステンの堆積、タングステンのCMP、酸
化膜の堆積、ビットコンタクトの開口、および通常の金
属配線プロセスの順序で続き、図8Dに示される金属ビ
ット線が形成される。ワード線の1つの側における各々
の拡散セグメントは、STI(浅いトレンチ分離)によ
って分離され、金属ビット線とコンタクトする。ワード
線の他の側におけるセグメントは、タングステンプラグ
線を介して相互に接続され、ソース線として働く。図8
Eの電気回路図は、図8Dと等価であり、ランダムな読
み出し/書き込み動作を可能にする。この実施形態はデ
ュアル金属線を必要としないため、第3の実施形態より
も、高密度アプリケーションに好ましい。更に、この回
路は、第2の実施形態でSTIマスクを修正することに
よって達成可能である。長方形STIは、図8B−1お
よび図8B−2で示されるように、双方の実施形態でビ
ット線方向に沿った線の上に周期的に置かれる。STI
行および半ピッチオフセット行は、図8B−1に示され
る第2の実施形態ではワード線方向に沿って交互に現れ
るが、図8B−2に示される第4の実施形態ではワード
線方向に沿って整列する。図8B−2に示されるよう
に、連続した拡散がワード線の1つの側に現れ、拡散ビ
ットは他の側でSTIによって分離される。このレイア
ウトは、図8Eの電気回路と等価である。第2の実施形
態で説明したコーナーの丸めおよびオーバレイに関する
プロセスウィンドウのために、図8Bと比較して、図8
Aが好ましい。
【0088】図8Cは、ゲートプロセス後の第4の実施
形態の平面図を示し、図8Dは、最初の金属プロセスの
後の同様な図である。図8Eは、理解を助けるための、
図8Dに対応する等価電気回路図である。
【0089】本発明は、好ましい実施形態を参照して具
体的に図示および説明されたが、、本発明の趣旨および
範囲から逸脱することなく、形式および詳細において様
々な変更が行われてよいことを理解するであろう。
【図面の簡単な説明】
【図1A】本発明の第1の実施形態によって製造された
完成ツインMONOS素子の概略断面図である。
【図1B】本発明の第1の実施形態によって製造された
完成ツインMONOS素子の概略断面図である。
【図1C】本発明の第1の実施形態によって製造された
完成ツインMONOS素子の概略断面図である。
【図1D】本発明の第1の実施形態の概略断面図であ
る。
【図1E】本発明の第1の実施形態の概略断面図であ
る。
【図1F】本発明の第1の実施形態の概略断面図であ
る。
【図1G】本発明の第1の実施形態の概略断面図であ
る。
【図1H】本発明の第1の実施形態の概略断面図であ
る。
【図1J】本発明の第1の実施形態の概略断面図であ
る。
【図1K】本発明の第1の実施形態の概略断面図であ
る。
【図1L】本発明の第1の実施形態の概略断面図であ
る。
【図1M】本発明の第1の実施形態の概略断面図であ
る。
【図1N】本発明の第1の実施形態の概略断面図であ
る。
【図1P】本発明の第1の実施形態の概略断面図であ
る。
【図1Q】本発明の第1の実施形態の概略断面図であ
る。
【図1R】本発明の第1の実施形態の概略断面図であ
る。
【図2A】本発明の第2の実施形態によって製造された
完成ツインMONOS素子の概略断面図である。
【図2B】本発明の第2の実施形態によって製造された
完成ツインMONOS素子の概略断面図である。
【図2C】本発明の第2の実施形態によって製造された
完成ツインMONOS素子の概略断面図である。
【図2D】本発明の第2の実施形態の概略断面図であ
る。
【図2E】本発明の第2の実施形態の概略断面図であ
る。
【図2F】本発明の第2の実施形態の概略断面図であ
る。
【図2G】本発明の第2の実施形態の概略断面図であ
る。
【図2H】本発明の第2の実施形態の概略断面図であ
る。
【図2J】本発明の第2の実施形態の概略断面図であ
る。
【図2K】本発明の第2の実施形態の概略断面図であ
る。
【図2L】本発明の第2の実施形態の概略断面図であ
る。
【図2M】本発明の第2の実施形態の概略断面図であ
る。
【図2N】本発明の第2の実施形態の概略断面図であ
る。
【図2P】本発明の第2の実施形態の概略断面図であ
る。
【図2Q】本発明の第2の実施形態の概略断面図であ
る。
【図2R】本発明の第2の実施形態の概略断面図であ
る。
【図3A】本発明の第1の好ましい実施形態の代替とし
て、DSWによって画定され、N領域からN+領域へオ
フセットされたONOを有する完成ツインMONOS素
子の概略断面図である。
【図3B】本発明の第1の好ましい実施形態の代替とし
て、DSWによって画定され、N領域からN+領域へオ
フセットされたONOを有する完成ツインMONOS素
子の概略断面図である。
【図3C】本発明の第1の好ましい実施形態の代替とし
て、DSWカットONOを有する実施形態の概略断面図
である。
【図3D】本発明の第1の好ましい実施形態の代替とし
て、DSWカットONOを有する実施形態の概略断面図
である。
【図3E】本発明の第1の好ましい実施形態の代替とし
て、DSWカットONOを有する実施形態の概略断面図
である。
【図4A】本発明の第2の好ましい実施形態の代替とし
て、DSWによって画定され、N領域からN+領域へオ
フセットされたONOを有する完成ツインMONOS素
子の概略断面図である。
【図4B】本発明の第2の好ましい実施形態の代替とし
て、DSWによって画定され、N領域からN+領域へオ
フセットされたONOを有する完成ツインMONOS素
子の概略断面図である。
【図4C】本発明の第2の好ましい実施形態の代替を示
す概略断面図である。
【図4D】本発明の第2の好ましい実施形態の代替を示
す概略断面図である。
【図4E】本発明の第2の好ましい実施形態の代替を示
す概略断面図である。
【図5A】第1の実施形態の拡散ビットツインMONO
Sの概略平面図である。
【図5B】図5Aの平面図で示された第1の実施形態の
拡散ビットツインMONOSの概略断面図である。
【図5C】図5Aの平面図で示された第1の実施形態の
拡散ビットツインMONOSの概略断面図である。
【図5D】図5Aの平面図で示された第1の実施形態の
拡散ビットツインMONOSの概略断面図である。
【図5E】図5Aの平面図で示された第1の実施形態の
拡散ビットツインMONOSの概略断面図である。
【図5F】第1の実施形態の拡散ビットツインMONO
Sの概略平面図である。
【図5G】第1の実施形態の拡散ビットツインMONO
Sの回路図である。
【図5H】図5Fの第1の実施形態の拡散ビットツイン
MONOSの概略断面図である。
【図5J】図5Fの第1の実施形態の拡散ビットツイン
MONOSの概略断面図である。
【図5K】図5Fの第1の実施形態の拡散ビットツイン
MONOSの概略断面図である。
【図5L】図5Fの第1の実施形態の拡散ビットツイン
MONOSの概略断面図である。
【図6A−1】長方形STIを有する第2の実施形態の
金属ビットツインMONOSの概略平面図である。
【図6A−2】長方形STIを有する第2の実施形態の
金属ビットツインMONOSの概略平面図である。
【図6A−3】図6A−1で示された長方形STIを有
する第2の実施形態の金属ビットツインMONOSの断
面図である。
【図6B−1】長いコンタクトを有し、STIとワード
ゲートとの間にミスアライメントを有しない、本発明の
第2の実施形態に従った金属ビットツインMONOS素
子の概略平面図である。
【図6B−2】図6B−1の平面図で示される、本発明
の第2の実施形態に従った金属ビットツインMONOS
素子の概略断面図である。
【図6C−1】第2の実施形態に従った金属ビットツイ
ンMONOSの概略平面図である。
【図6C−2】図6C−1の平面図に対応する概略電気
回路図である。
【図7A】第3の実施形態であるデュアル金属ビットツ
インMONOSの概略平面図である。
【図7B】第3の実施形態であるデュアル金属ビットツ
インMONOSの概略平面図である。
【図7C】第3の実施形態であるデュアル金属ビットツ
インMONOSの概略平面図である。
【図7D】第3の実施形態であるデュアル金属ビットツ
インMONOSの概略平面図である。
【図7E】第3の実施形態であるデュアル金属ビットツ
インMONOSの概略平面図である。
【図7F】第3の実施形態であるデュアル金属ビットツ
インMONOSの概略電気回路図である。
【図8A−1】第4の実施形態である金属ビット/拡散
ソースツインMONOSの概略平面図である。
【図8A−2】第4の実施形態である金属ビット/拡散
ソースツインMONOSの概略平面図である。
【図8A−3】第4の実施形態である金属ビット/拡散
ソースツインMONOSの概略平面図である。
【図8B−1】第4の実施形態である金属ビット/拡散
ソースツインMONOSの概略平面図である。
【図8B−2】第4の実施形態である金属ビット/拡散
ソースツインMONOSの概略平面図である。
【図8C】第4の実施形態である金属ビット/拡散ソー
スツインMONOSの概略平面図である。
【図8D】第4の実施形態である金属ビット/拡散ソー
スツインMONOSの概略平面図である。
【図8E】第4の実施形態である金属ビット/拡散ソー
スツインMONOSの概略電気回路図である。
【符号の説明】
100 半導体基板 101 ホウ素イオン注入コントロールゲートメモリチ
ャネル領域 102 LDD(lightly doped dra
in)領域、N−LDD 103 ソース/ドレイン領域、メモリ拡散領域 104 論理LDD構造 105 ソース/ドレイン領域 106 フィールドイオン注入領域 120 メモリゲートおよび論理ゲート酸化シリコン膜 121 側壁酸化膜 122 下部酸化膜 123 酸化膜 124 酸化膜側壁、分離 125 酸化膜 126、127 上部酸化シリコン膜、誘導体パターン 128 酸化シリコン膜 129 酸化膜 130 メモリキャップ状窒化シリコン膜 131 蓄積窒化シリコン膜 132 窒化膜 133 窒化膜パターン、窒化膜ウエッジ 140 メモリゲートおよびワードゲート、多結晶シリ
コンポリゲート 141 多結晶シリコン論理ゲート 142 多結晶シリコンメモリコントロールゲート 143 多結晶シリコン側壁 144 多結晶シリコンワードゲート 150 メモリ拡散 151 コントロールゲート 152 論理ゲート 153 論理拡散 154 ワードゲート、ワード線 160 酸化膜 180 DSW(disposable sidewall)層、DSWス
ペーサ 190、191 フォトレジスト 192 拡散領域層 201 ホウ素イオン注入コントロールゲートメモリチ
ャネル領域 202 LDD領域、N−LDD 203 メモリソース/ドレイン領域、拡散領域 204 論理LDD構造 205 論理ソース/ドレイン領域 210 STI(shallow trench isolation) 212 漏れ通路 220 メモリゲートおよび論理ゲート酸化シリコン膜 221 側壁酸化膜 222 下部酸化膜 223 上部酸化膜 224、225 酸化膜 226 酸化シリコン膜 227 誘導体レベル酸化膜 229 酸化膜 230 キャップ状窒化シリコン膜 231 窒化シリコン膜 232 窒化膜 233、234 窒化膜スペーサ 240 メモリコントロールゲートおよびワードゲー
ト、多結晶シリコンポリゲ ート 241 論理ゲート 242 多結晶シリコンメモリコントロールゲート 243 多結晶シリコン側壁 251 コントロールゲート 253 論理拡散 260 W/WN(タングステン/窒化タングステン)
層 261 ローカル配線、長いコンタクト、広いコンタク
ト孔 280 DSW層、DSWスペーサ 291 フォトレジスト 292 BARC(bottom anti-reflective coating) 293 フォトレジスト BL[0]、BL[1]、BL[2]、BL[3]、B
L[4]、BL[5]ビット線 CG[0]、CG[1]、CG[2]、CG[3]、C
G[4] コントロールゲート SL[0]、SL[1]、SL[2] ソース線 WL[0]、WL[1]、WL[2]、WL[3]、W
L[4] ワード線
フロントページの続き (72)発明者 佐藤 公博 アメリカ合衆国 12533 ニューヨーク州、 ホープウェル ジャンクション、ウィマー ロード 56 (72)発明者 小椋 正気 アメリカ合衆国 12590 ニューヨーク州、 ワッピンガーズ フォールズ、オールド ホープウェル ロード 140 (72)発明者 斉藤 朋也 アメリカ合衆国 12603 ニューヨーク州、 ポーキプシー、チェリー ヒル ドライブ 5307 Fターム(参考) 5F083 EP18 EP24 EP28 EP30 EP32 EP63 EP64 EP68 EP69 GA02 JA04 JA35 JA39 JA40 JA53 KA05 KA08 LA12 MA01 MA02 MA04 MA19 NA01 NA04 PR03 PR05 PR06 PR07 PR12 PR29 PR40 PR43 PR53 PR56 ZA05 ZA07 ZA08 ZA28 5F101 BA45 BB03 BB08 BD07 BD15 BD27 BD35 BD36 BD38 BH03 BH13 BH14 BH19 BH21

Claims (73)

    【特許請求の範囲】
  1. 【請求項1】 ツインMONOSメモリセルアレイおよ
    びCMOS論理素子回路を集積して製造する方法であっ
    て、 メモリ領域および論理領域を有する基板を提供するステ
    ップと、 前記論理領域内の論理ゲートおよび前記メモリ領域内の
    メモリゲートを同時に画定するステップであって、ここ
    では論理メモリ境界構造も形成され、前記論理ゲートは
    第1の導電層の下にあるゲート酸化膜を含み、前記メモ
    リゲートおよび前記論理メモリ境界構造は、キャップ状
    窒化膜の下の第1の導電層の下にあるゲート酸化膜を含
    み、 前記基板、論理ゲート、メモリゲートおよび論理メモリ
    境界構造の上に酸化膜−窒化膜−酸化膜(ONO)の層
    を形成するステップと、 前記ONO層上に多結晶シリコン膜を整合的に堆積する
    ステップと、 前記論理ゲート、メモリゲートおよび論理メモリ境界構
    造の側壁上に多結晶シリコンスペーサを残すために前記
    多結晶シリコン膜をエッチバックするステップであっ
    て、前記多結晶シリコンスペーサは前記メモリ領域内に
    コントロールゲートを形成し、 前記論理ゲートおよび前記多結晶シリコンスペーサを注
    入マスクとして使用して、ソース/ドレイン領域を前記
    論理領域内に形成するステップと、 その後で前記論理領域内の多結晶シリコンスペーサを除
    去するステップと、 前記コントロールゲートを注入マスクとして使用して、
    前記メモリ領域内にソース/ドレイン領域を形成するス
    テップと、 前記論理領域内のコントロールゲートおよび前記ソース
    /ドレイン領域をシリサイド化するステップと、 前記ツインMONOSメモリのMONOSメモリセルア
    レイおよび前記CMOS論理素子回路の製造の統合を完
    了するため、前記シリサイド化されたゲートおよびソー
    ス/ドレイン領域上に酸化膜を堆積するステップとを含
    む方法。
  2. 【請求項2】 前記論理領域内の論理ゲートおよび前記
    メモリ領域内のメモリゲートを同時に画定する前記ステ
    ップが、 前記ゲート酸化膜を前記基板上に形成するステップと、 前記ゲート酸化膜の上に前記第1の導電層を堆積するス
    テップと、 前記メモリ領域内において、前記第1の導電層上に前記
    キャップ状窒化膜を堆積するステップと、 ハードマスクを形成するために、前記第1および第2の
    キャップ状酸化膜およびキャップ状窒化膜をパターン化
    してハードマスクを形成するステップと、 前記ハードマスクで覆われていない前記キャップ状窒化
    膜、前記第1導電層および前記ゲート酸化膜をエッチン
    グにより除去して、前記メモリ領域内の前記メモリゲー
    トおよび前記論理領域内の前記論理ゲートを形成するス
    テップとを含む請求項1に記載の方法。
  3. 【請求項3】 前記ゲート酸化膜の膜厚が約2〜10n
    mである請求項1に記載の方法。
  4. 【請求項4】 前記多結晶シリコンを含む第1導電層の
    厚みが約100〜150nmである請求項1に記載の方
    法。
  5. 【請求項5】 前記第1および第2のキャップ状酸化膜
    の膜厚が約30nmである請求項2に記載の方法。
  6. 【請求項6】 前記キャップ状窒化膜の膜厚が約100
    〜200nmである請求項1に記載の方法。
  7. 【請求項7】 前記キャップ状窒化膜、前記第1導電層
    および前記ゲート酸化膜をエッチングにより除去するス
    テップが反応性イオンエッチングであり、窒化膜のエッ
    チングレートと多結晶シリコンのエッチングレートとが
    同等であり、酸化膜のエッチングレートが多結晶シリコ
    ンのエッチングレートよりも非常に低い請求項2に記載
    の方法。
  8. 【請求項8】 前記論理領域内の論理ゲートおよび前記
    メモリ領域内のメモリゲートを同時に画定する前記ステ
    ップが、 前記ゲート酸化膜を前記基板上に形成するステップと、 前記ゲート酸化膜の上に前記第1の導電層を堆積するス
    テップであって、ここで前記第1の導電層は、タングス
    テン/窒化タングステン層の下に多結晶シリコン膜を含
    み、 前記第1の導電層の上に前記キャップ状窒化膜を堆積す
    るステップと、 ハードマスクを形成するために、前記キャップ状窒化膜
    および前記タングステン/窒化タングステン層をパター
    ン化するステップと、 その後、前記ハードマスクおよび前記多結晶シリコン膜
    の上に、前記タングステン/窒化タングステン層を酸化
    から保護する窒化膜を堆積するステップと、 その後、前記ハードマスクで覆われていない多結晶シリ
    コン膜および前記ゲート酸化膜をエッチングにより除去
    して、前記メモリ領域内の前記メモリゲートおよび前記
    論理領域内の前記論理ゲートを形成するステップとを含
    む請求項1に記載の方法。
  9. 【請求項9】 前記ONO層を形成する前記ステップの
    前に、 前記メモリゲート、前記論理ゲート、および前記論理メ
    モリ境界構造の上に酸化シリコン膜を堆積するステップ
    と、 しきい値電圧を調節するために、前記基板へホウ素イオ
    ンを注入するステップと、 軽くドープされたソース/ドレイン(LDD)領域を、
    前記論理領域および前記メモリ領域内に形成するステッ
    プとを更に含む請求項1に記載の方法。
  10. 【請求項10】 前記LDD領域を形成するステップが
    さらに、 前記論理領域内にLDD領域を形成するために前記基板
    内にイオンを注入するステップと、 その後、除去可能な側壁スペーサを前記メモリゲートお
    よび前記論理メモリ境界構造の上に形成するステップ
    と、 前記LDD領域を前記メモリ領域の中に形成するため
    に、前記除去可能な側壁スペーサをマスクとして使用し
    て、前記メモリ領域の中にイオンを注入するステップ
    と、 その後で、前記除去可能な側壁スペーサを除去するステ
    ップとを含む請求項9に記載の方法。
  11. 【請求項11】 前記除去可能な側壁スペーサが、多結
    晶シリコン、窒化シリコンおよびボロフォスフォシリケ
    イトグラス(BPSG)を含むグループから選択される
    請求項10に記載の方法。
  12. 【請求項12】 前記第1の導電層が多結晶シリコンを
    含み、前記ONO層を形成するステップが、 装置内発生水蒸気酸化(In-Situ Steam Generation:I
    SSG)装置を使用して、前記基板、第1の導電層およ
    びキャップ状窒化膜の上に第1の二酸化シリコン膜を成
    長させるステップと、 前記第1の二酸化シリコン膜を850℃よりも高いNH
    3雰囲気の中で処理した後に、前記第1の二酸化シリコ
    ン膜の上に窒化シリコン膜を堆積させるステップと、 前記ISSG装置を使用して前記窒化シリコン膜の上に
    第2の二酸化シリコン膜を生成させるステップとを含む
    請求項1に記載の方法。
  13. 【請求項13】 前記二酸化シリコン膜の膜厚が約3.
    0〜5.0nmであり、前記窒化シリコン膜の膜厚が約
    3〜6nmであり、前記第2の二酸化シリコン膜の膜厚
    が約3〜8nmである請求項12に記載の方法。
  14. 【請求項14】 前記ONO層の上に多結晶シリコン膜
    を整合的に堆積するステップの前に、 DSWをエッチングマスクとして使用して前記基板上の
    前記ONO層の酸化膜−窒化膜部分をエッチングによっ
    て除去するステップと、残っている前記ONO層の第1
    の酸化膜部分の上に第3の酸化膜を形成し、それによっ
    て前記多結晶シリコンスペーサの少なくとも外側部分
    が、その下に窒化膜を有しないようにするステップとを
    更に含む請求項1に記載の方法。
  15. 【請求項15】 前記多結晶シリコン膜にはリンまたは
    砒素がドープされ、CVDによって約60〜100nm
    の膜厚に堆積される請求項1に記載の方法。
  16. 【請求項16】 前記多結晶シリコンスペーサの上面
    が、前記メモリゲートおよび前記論理メモリ境界構造の
    前記第1の導電層の上面の下になるまで、前記メモリ領
    域内の多結晶シリコンスペーサをエッチバックすること
    を更に含む請求項1に記載の方法。
  17. 【請求項17】 前記論理ゲートおよび前記メモリ領域
    内のソース/ドレイン領域をシリサイド化するステップ
    を更に含む請求項1に記載の方法。
  18. 【請求項18】 前記酸化膜を前記キャップ状窒化膜の
    上面へ平坦化するステップと、 前記第1の導電層を露出させるために、前記メモリ領域
    内で露出した前記キャップ状窒化膜を除去するステップ
    と、 前記酸化膜および露出した前記第1の導電層の上に第2
    の導電層を堆積するステップと、 前記メモリ領域の中にワードゲートを形成するため前記
    第2の導電層をパターン化するステップとを更に含む請
    求項1に記載の方法。
  19. 【請求項19】 前記酸化膜を平坦化するステップが化
    学的機械的ポリッシング(CMP)を含み、前記CMP
    プロセスの間のディッシングを防止するために、ダミー
    の窒化膜パターンが前記論理領域内に形成される請求項
    18に記載の方法。
  20. 【請求項20】 前記第2の導電層が多結晶シリコン、
    タングステン/多結晶シリコンおよびタングステンシリ
    コン/多結晶シリコンを含むグループから選択される請
    求項18に記載の方法。
  21. 【請求項21】 前記第2の導電層が約15〜20の膜
    厚に堆積される請求項18に記載の方法。
  22. 【請求項22】 前記メモリ領域において、前記酸化膜
    を貫通してソース/ドレイン領域までコンタクトホール
    を開口するステップと、 前記コンタクトホール内にタングステンを充填するステ
    ップとを更に含む請求項1に記載の方法。
  23. 【請求項23】 前記コントロールゲートをシリサイド
    化する前記ステップの後に、 前記基板およびコントロールゲート上に窒化シリコン膜
    を堆積するステップと、 前記窒化シリコン膜の上に誘電体層を堆積して、前記誘
    電体層を、前記コントロールゲートの上面のレベルへエ
    ッチバックするステップと、 前記コントロールゲートが完全にカバーされるまで、 前記コントロールゲートおよび前記誘電体層の上に薄い
    窒化シリコン膜を堆積するステップと、 薄い窒化シリコンスペーサを形成するため前記薄い窒化
    シリコン膜をエッチバックするステップを反復すること
    を含む請求項22に記載の方法。
  24. 【請求項24】 ツインMONOSメモリセルアレイお
    よびCMOS論理素子回路を集積して製造する方法であ
    って、 メモリ領域および論理領域を有する基板を提供するステ
    ップと、 前記論理領域内の論理ゲートおよび前記メモリ領域内の
    メモリゲートを同時に画定するステップであって、ここ
    では論理メモリ境界構造も形成され、前記論理ゲートは
    第1の導電層の下にあるゲート酸化膜を含み、前記メモ
    リゲートおよび前記論理メモリ境界構造は、キャップ状
    窒化膜の下の第1の導電層の下にあるゲート酸化膜を含
    み、 前記基板、論理ゲート、メモリゲートおよび論理メモリ
    境界構造の上に酸化膜−窒化膜−酸化膜(ONO)の層
    を形成するステップと、 前記ONO層上に多結晶シリコン膜を整合的に堆積する
    ステップと、 前記論理ゲート、メモリゲートおよび論理メモリ境界構
    造の側壁上に多結晶シリコンスペーサを残すために前記
    多結晶シリコン膜をエッチバックするステップと、 前記論理ゲートおよび前記多結晶シリコンスペーサを注
    入マスクとして使用して、ソース/ドレイン領域を前記
    論理領域内に形成するステップと、 その後で前記論理領域内の多結晶シリコンスペーサを除
    去するステップと、 前記多結晶シリコンスペーサの上面が、前記メモリゲー
    トおよび前記論理メモリ境界構造の前記第1の導電層の
    上面の下になるまで、前記メモリ領域内の多結晶シリコ
    ンスペーサをエッチバックするステップであって、前記
    多結晶シリコンスペーサは前記メモリ領域内にコントロ
    ールゲートを形成し、 前記コントロールゲートを注入マスクとして使用して、
    前記メモリ領域内にソース/ドレイン領域を形成するス
    テップと、 前記論理領域内のコントロールゲートおよび前記ソース
    /ドレイン領域をシリサイド化するステップと、 前記シリサイド化されたゲートおよびソース/ドレイン
    領域上に酸化膜を堆積し、前記酸化膜を前記キャップ状
    窒化膜の上面へ平坦化するステップと、 前記第1の導電層を露出させるために、前記メモリ領域
    内で露出したキャップ状窒化膜を除去するステップと、 前記酸化膜および露出した第1の導電層の上に第2の導
    電層を堆積するステップと、 前記メモリ領域内にワードゲートを形成するために前記
    第2の導電層をパターン化し、前記ツインMONOSメ
    モリのMONOSメモリセルアレイおよび前記CMOS
    論理素子回路の製造統合を完了するステップとを含む方
    法。
  25. 【請求項25】 前記論理領域内の論理ゲートおよび前
    記メモリ領域内のメモリゲートを同時に画定する前記ス
    テップが、 前記ゲート酸化膜を前記基板上に形成するステップと、 前記ゲート酸化膜の上に前記第1の導電層を堆積するス
    テップと、 前記論理領域内において、前記第1の導電層を覆うよう
    に第1のキャップ状酸化膜を形成するステップと、 前記キャップ状窒化膜を、前記メモリ領域内において前
    記第1の導電層上に堆積し、前記論理領域内において前
    記第1のキャップ状酸化膜上に堆積するステップと、 前記メモリ領域内において、前記キャップ状窒化膜の上
    に第2のキャップ状酸化膜を形成するステップと、 ハードマスクを形成するために、前記第1および第2の
    キャップ状酸化膜およびキャップ状窒化膜をパターン化
    してハードマスクを形成するステップと、 前記ハードマスクで覆われていない前記キャップ状窒化
    膜、前記第1導電層および前記ゲート酸化膜をエッチン
    グにより除去して、前記メモリ領域内の前記メモリゲー
    トおよび前記論理領域内の前記論理ゲートを形成するス
    テップとを含む請求項24に記載の方法。
  26. 【請求項26】 前記ゲート酸化膜の膜厚が約2〜10
    nmである請求項25に記載の方法。
  27. 【請求項27】 前記多結晶シリコンを含む第1導電層
    の厚みが約100〜150nmである請求項25に記載
    の方法。
  28. 【請求項28】 前記第1および第2のキャップ状酸化
    膜の膜厚が約30nmである請求項26に記載の方法。
  29. 【請求項29】 前記キャップ状窒化膜の膜厚が約10
    0〜200nmである請求項25に記載の方法。
  30. 【請求項30】 前記キャップ状窒化膜、前記第1導電
    層および前記ゲート酸化膜をエッチングにより除去する
    ステップが反応性イオンエッチングであり、窒化膜のエ
    ッチングレートと多結晶シリコンのエッチングレートと
    が同等であり、酸化膜のエッチングレートが多結晶シリ
    コンのエッチングレートよりも非常に低い請求項25に
    記載の方法。
  31. 【請求項31】 前記ONO層を形成する前記ステップ
    の前に、 前記メモリゲート、論理ゲート、および論理メモリ境界
    構造の上に酸化シリコン膜を堆積するステップと、 前記コントロールゲートの下のしきい値電圧を調節する
    ためホウ素イオンを前記基板の中へ注入するステップ
    と、 軽くドープされたソース/ドレイン(LDD)領域を、
    前記論理領域およびメモリ領域の中に形成するステップ
    とを更に含む請求項24に記載の方法。
  32. 【請求項32】 LDD領域を形成する前記ステップ
    が、 前記論理領域内にLDD領域を形成するために基板内へ
    イオンを注入するステップと、 その後、除去可能な側壁スペーサを前記メモリゲートお
    よび論理メモリ境界構造の上に形成するステップと、 前記LDD領域を前記メモリ領域の中に形成するため、
    前記除去可能な側壁スペーサをマスクとして使用して、
    前記メモリ領域内にイオンを注入するステップと、 その後で、前記除去可能な側壁スペーサを除去するステ
    ップとを更に含む請求項31に記載の方法。
  33. 【請求項33】 前記除去可能な側壁スペーサが、多結
    晶シリコン、窒化シリコンおよびボロフォスフォシリケ
    イトグラス(BPSG)を含むグループから選択される
    請求項32に記載の方法。
  34. 【請求項34】 前記第1の導電層が多結晶シリコンを
    含み、前記ONO層を形成するステップが、 装置内発生水蒸気酸化(ISSG)装置を使用して、前
    記基板、第1の導電層およびキャップ状窒化膜の上に第
    1の二酸化シリコン膜を成長させるステップと、 前記第1の二酸化シリコン膜を850℃よりも高いNH
    3雰囲気の中で処理することによって、前記第1の二酸
    化シリコン膜の上に窒化シリコン膜を堆積させるステッ
    プと、 前記ISSG装置を使用して前記窒化シリコン膜の上に
    第2の二酸化シリコン膜を生成させるステップとを含む
    請求項24に記載の方法。
  35. 【請求項35】 前記二酸化シリコン膜の膜厚が約3.
    0〜5.0nmであり、前記窒化シリコン膜の膜厚が約
    3〜6nmであり、前記第2の二酸化シリコン膜の膜厚
    が約3〜8nmである請求項34に記載の方法。
  36. 【請求項36】 前記ONO層の上に多結晶シリコン膜
    を整合的に堆積するステップの前に、 前記基板の上にある前記ONO層の酸化膜−窒化膜部分
    をエッチングによって除去するステップと、 残っている前記ONO層の第1の酸化膜部分の上に第3
    の酸化膜を形成し、前記多結晶シリコンスペーサの少な
    くとも外側部分が、その下に窒化膜を有しないようにす
    るステップとを更に含む請求項24に記載の方法。
  37. 【請求項37】 前記多結晶シリコン膜にはリンまたは
    砒素がドープされ、CVDによって約60〜100の膜
    厚に堆積される請求項24に記載の方法。
  38. 【請求項38】 前記酸化膜を平坦化するステップが化
    学的機械的ポリッシング(CMP)を含み、前記CMP
    プロセスの間のディッシングを防止するために、ダミー
    の窒化膜パターンが前記論理領域内に形成される請求項
    24に記載の方法。
  39. 【請求項39】 前記第2の導電層が多結晶シリコン、
    タングステン/多結晶シリコンおよびタングステンシリ
    コン/多結晶シリコンを含むグループから選択される請
    求項24に記載の方法。
  40. 【請求項40】 前記メモリ領域内の前記ワードゲート
    が、 前記第2の導電層およびその下に位置する前記第1の導
    電層をパターン化するステップと、 ワード線間の漏れを防止するため、前記ワード線に隣接
    して前記基板内にホウ素イオンを注入するステップとに
    よって形成される請求項24に記載の方法。
  41. 【請求項41】 前記第2の導電層が約15〜20の膜
    厚に堆積される請求項24に記載の方法。
  42. 【請求項42】 ツインMONOSメモリセルアレイお
    よびCMOS論理素子回路を集積して製造する方法であ
    って、 メモリ領域および論理領域を有する基板を提供するステ
    ップと、 メモリセルの分離および論理活性化領域の分離のために
    STIを形成するステップと、 前記論理領域内の論理ゲートおよび前記メモリ領域内の
    メモリゲートを同時に画定するステップであって、ここ
    では論理メモリ境界構造も形成され、前記論理ゲートは
    第1の導電層の下にあるゲート酸化膜を含み、前記メモ
    リゲートおよび前記論理メモリ境界構造は、キャップ状
    窒化膜の下の第1の導電層の下にあるゲート酸化膜を含
    み、 前記基板、論理ゲート、メモリゲートおよび論理メモリ
    境界構造の上に酸化膜−窒化膜−酸化膜(ONO)の層
    を形成するステップと、 前記ONO層上に多結晶シリコン膜を整合的に堆積する
    ステップと、 前記論理ゲート、メモリゲートおよび論理メモリ境界構
    造の側壁上に多結晶シリコンスペーサを残すために前記
    多結晶シリコン膜をエッチバックするステップであっ
    て、前記多結晶シリコンスペーサは前記メモリ領域内に
    コントロールゲートを形成するステップと、 前記論理ゲートおよび前記多結晶シリコンスペーサを注
    入マスクとして使用して、ソース/ドレイン領域を前記
    論理領域内に形成するステップと、 その後で前記論理領域内の多結晶シリコンスペーサを除
    去するステップと、 前記コントロールゲートを注入マスクとして使用して、
    前記メモリ領域内にソース/ドレイン領域を形成するス
    テップと、 前記論理領域内のコントロールゲートおよび前記ソース
    /ドレイン領域をシリサイド化するステップと、 前記シリサイド化されたゲートおよびソース/ドレイン
    領域上に酸化膜を堆積するステップと、 前記メモリ領域において、前記酸化膜を貫通してソース
    /ドレイン領域までコンタクトホールを開口するステッ
    プと、 前記コンタクトホール内にタングステンを充填して、前
    記ツインMONOSメモリのMONOSメモリセルアレ
    イおよび前記CMOS論理素子回路の製造統合を完了す
    るステップとを含む方法。
  43. 【請求項43】 前記論理領域内の論理ゲートおよび前
    記メモリ領域内のメモリゲートを同時に画定する前記ス
    テップが、 前記ゲート酸化膜を前記基板上に形成するステップと、 前記ゲート酸化膜の上に前記第1の導電層を堆積するス
    テップであって、ここで前記第1の導電層は、タングス
    テン/窒化タングステン層の下に多結晶シリコン膜を含
    み、 前記第1の導電層の上に前記キャップ状窒化膜を堆積す
    るステップと、 ハードマスクを形成するために、前記キャップ状窒化膜
    および前記タングステン/窒化タングステン層をパター
    ン化するステップと、 その後、前記ハードマスクおよび前記多結晶シリコン膜
    の上に、前記タングステン/窒化タングステン層を酸化
    から保護する窒化膜を堆積するステップと、 その後、前記ハードマスクで覆われていない多結晶シリ
    コン膜および前記ゲート酸化膜をエッチングにより除去
    して、前記メモリ領域内の前記メモリゲートおよび前記
    論理領域内の前記論理ゲートを形成するステップとを含
    む請求項42に記載の方法。
  44. 【請求項44】 前記ゲート酸化膜の膜厚が約2〜10
    nmである請求項42に記載の方法。
  45. 【請求項45】 前記多結晶シリコンを含む第1導電層
    の厚みが約100〜150nmである請求項43に記載
    の方法。
  46. 【請求項46】 前記キャップ状窒化膜の膜厚が約10
    0〜200nmである請求項42に記載の方法。
  47. 【請求項47】 前記ONO層を形成するステップの前
    に、 前記メモリゲート、論理ゲート、および論理メモリ境界
    構造の上に酸化シリコン膜を堆積するステップと、 前記コントロールゲートの下のしきい値電圧を調節する
    ために前記基板内にホウ素イオンを注入するステップ
    と、 軽くドープされたソース/ドレイン(LDD)領域を、
    前記論理領域およびメモリ領域に形成するステップとを
    更に含む請求項42に記載の方法。
  48. 【請求項48】 LDD領域を形成する前記ステップ
    が、 前記論理領域内にLDD領域を形成するために基板内へ
    イオンを注入するステップと、 その後、除去可能な側壁スペーサを前記メモリゲートお
    よび論理メモリ境界構造の上に形成するステップと、 前記LDD領域を前記メモリ領域の中に形成するため、
    前記除去可能な側壁スペーサをマスクとして使用して、
    前記メモリ領域内にイオンを注入するステップと、 その後で、前記除去可能な側壁スペーサを除去するステ
    ップとを更に含む請求項42に記載の方法。
  49. 【請求項49】 前記除去可能な側壁スペーサが、多結
    晶シリコン、窒化シリコンおよびボロフォスフォシリケ
    イトグラス(BPSG)を含むグループから選択される
    請求項48に記載の方法。
  50. 【請求項50】 前記ONO層を形成するステップが、 装置内発生水蒸気酸化(ISSG)装置を使用して、前
    記基板、第1の導電層およびキャップ状窒化膜の上に第
    1の二酸化シリコン膜を成長させるステップと、 前記第1の二酸化シリコン膜を850℃よりも高いNH
    3雰囲気の中で処理することによって、前記第1の二酸
    化シリコン膜の上に窒化シリコン膜を堆積させるステッ
    プと、 前記ISSG装置を使用して前記窒化シリコン膜の上に
    第2の二酸化シリコン膜を生成させるステップとを含む
    請求項42に記載の方法。
  51. 【請求項51】 前記二酸化シリコン膜の膜厚が約3.
    0〜5.0nmであり、前記窒化シリコン膜の膜厚が約
    3〜6nmであり、前記第2の二酸化シリコン膜の膜厚
    が約3〜8nmである請求項50に記載の方法。
  52. 【請求項52】 前記ONO層の上に多結晶シリコン膜
    を整合的に堆積するステップの前に、 前記基板上のONO層の酸化膜−窒化膜部分をエッチン
    グによって除去するステップと、 残っているONO層の第1の酸化膜部分の上に第3の酸
    化膜を形成し、それによって前記多結晶シリコンスペー
    サの少なくとも外側部分が、その下に窒化膜を有しない
    ようにするステップとを含む請求項42に記載の方法。
  53. 【請求項53】 前記多結晶シリコン膜にはリンまたは
    砒素がドープされ、CVDによって約60〜100nm
    の膜厚に堆積される請求項42に記載の方法。
  54. 【請求項54】 前記コントロールゲートをシリサイド
    化するステップの後に、 前記基板およびコントロールゲートの上に窒化シリコン
    膜を堆積するステップと、 前記窒化シリコン膜の上に誘電体層を堆積して、前記誘
    電体層を、前記コントロールゲートの上面のレベルへエ
    ッチバックするステップとを含み、 さらに前記コントロールゲートが完全にカバーされるま
    で、 前記コントロールゲートおよび前記誘電体層の上に薄い
    窒化シリコン膜を堆積するステップと、 薄い窒化シリコンスペーサを形成するため前記薄い窒化
    シリコン膜をエッチバックするステップとを反復するこ
    とを含む請求項42に記載の方法。
  55. 【請求項55】 ツインMONOSメモリセルアレイお
    よびCMOS論理素子回路集積回路素子であって、 メモリ領域内のフィールド注入メモリセル分離と、 論理領域内のシリサイド化された論理ゲートおよび隣接
    のシリサイド化されたソース/ドレイン領域と、 前記メモリ領域内のメモリゲートおよび隣接のシリサイ
    ド化されたソース/ドレイン領域と、 前記メモリゲートの側壁の上で酸化膜−窒化膜−酸化膜
    (ONO)の層によって前記メモリゲートから分離され
    たコントロールゲートと、 前記メモリゲートに接触するシリサイド化されたワード
    ゲートとを具備する素子。
  56. 【請求項56】 前記コントロールゲートがビットライ
    ンと平行であり、前記ワードラインが前記コントロール
    ゲートおよびビットラインと直交する請求項55に記載
    の素子。
  57. 【請求項57】 前記メモリゲートおよび論理ゲートが
    多結晶シリコンを含む請求項55に記載の素子。
  58. 【請求項58】 前記ワードラインが多結晶シリコン、
    タングステン/多結晶シリコンおよびタングステンシリ
    コン/多結晶シリコンを含むグループから選択される請
    求項55に記載の素子。
  59. 【請求項59】 前記ONO層が前記コントロールゲー
    ト下にも存在する請求項55に記載の素子。
  60. 【請求項60】 前記コントロールゲート下の前記ON
    O層が前記ソース/ドレイン領域に隣接した部分には存
    在しない請求項55に記載の素子。
  61. 【請求項61】 ツインMONOSメモリセルアレイお
    よびCMOS論理素子回路集積回路素子であって、 メモリセル分離および論理素子分離のための浅いトレン
    チ分離と、 論理領域内の論理ゲートおよび隣接のシリサイド化され
    たソース/ドレイン領域と、 メモリ領域内のメモリゲートおよび隣接のソース/ドレ
    イン領域と、 前記メモリゲートの側壁の上で酸化膜−窒化膜−酸化膜
    (ONO)の層によって前記メモリゲートから分離され
    たコントロールゲートと、 絶縁層面を通って前記メモリ領域内の前記ソース/ドレ
    イン領域の対を結合するローカル配線とを具備する素
    子。
  62. 【請求項62】 前記コントロールゲートがビットライ
    ンと平行であり、前記ワードラインが前記コントロール
    ゲートおよびビットラインと直交する請求項61に記載
    の素子。
  63. 【請求項63】 前記メモリゲートおよび論理ゲート
    が、キャップ状窒化膜下のタングステン/窒化タングス
    テン層下の多結晶シリコンを含む請求項61に記載の素
    子。
  64. 【請求項64】 前記ONO層が前記コントロールゲー
    ト下にも存在する請求項61に記載の素子。
  65. 【請求項65】 前記コントロールゲート下の前記ON
    O層が前記ソース/ドレイン領域に隣接した部分には存
    在しない請求項61に記載の素子。
  66. 【請求項66】 ツインMONOSメモリセルアレイお
    よびCMOS論理素子回路集積回路素子であって、 前記ビット線の方向に沿った前記メモリ素子分離のため
    の浅いトレンチ分離および前記論理素子分離のための浅
    いトレンチ分離と、 論理領域内の論理ゲートおよび隣接のシリサイド化され
    たソース/ドレイン領域と、 メモリ領域内でワード線として機能するメモリゲートの
    両側に形成される拡散領域のそれぞれの側とそれぞれに
    コンタクトする一対の(デュアル)金属ビット線と、 前記ワード線および隣接のソース/ドレイン拡散領域に
    沿ったメモリゲートの側壁上のコントロールゲートであ
    って、前記メモリゲートの側壁上のコントロールゲート
    は誘電体層によって前記メモリゲートから分離され、更
    に誘電体層は前記コントロールゲートの下にあり、前記
    ワード線およびコントロールゲートは前記ビット線に垂
    直であること、および前記ワード線の間に形成される前
    記拡散領域がが、ビット線方向に沿って、1つおきに前
    記デュアル金属ビット線の1つとコンタクトし、、他方
    の側では、前記デュアル金属ビット線の他方とコンタク
    トできるように拡張された拡散領域絶縁層面を通って前
    記メモリ領域内の前記ソース/ドレイン領域の1つと接
    触するローカル配線とを具備する素子。
  67. 【請求項67】 前記メモリゲートおよび論理ゲート
    が、キャップ状窒化膜下にタングステン/窒化タングス
    テン層、前記タングステン/窒化タングステン下に多結
    晶シリコンを含む請求項66に記載の素子。
  68. 【請求項68】 前記誘電体層がONO層を含む請求項
    66に記載の素子。
  69. 【請求項69】 前記誘電体層が前記ソース/ドレイン
    領域に隣接したコントロールゲート部分の下には存在し
    ない請求項66に記載の素子。
  70. 【請求項70】 ツインMONOSメモリセルアレイお
    よびCMOS論理素子回路集積回路素子であって、 論理領域の中の論理ゲートおよび隣接のシリサイド化さ
    れたソース/ドレイン領域と、 メモリ領域の中のメモリゲートおよび隣接のソース/ド
    レイン領域と、 前記メモリゲートの側壁の上で酸化膜−窒化膜−酸化膜
    (ONO)の層によって前記メモリゲートから分離され
    たコントロールゲートと、 前記コントロールゲートに平行なワード線と、 前記ワード線の1つの側にある第1の拡散領域と、ここ
    で前記第1の拡散領域は、浅いトレンチ分離領域によっ
    てビットへ分割され、 前記ワード線の他の側にある第2の拡散領域と、ここで
    前記第2の拡散領域は連続した拡散線を形成するステッ
    プと、 前記ワード線の上にあって前記ワード線に垂直な金属線
    と、ここで前記金属線は前記第1の拡散領域の前記ビッ
    トの各々と接触し、前記金属線はビット線として働き、
    前記金属線は前記連続した拡散線と接触せず、前記連続
    した拡散線はソース線として働くこととを具備する素
    子。
  71. 【請求項71】 前記メモリゲートおよび論理ゲート
    が、キャップ状窒化膜下のタングステン/窒化タングス
    テン層下の多結晶シリコンを含む請求項70に記載の素
    子。
  72. 【請求項72】 前記ONO層が前記コントロールゲー
    ト下にも存在する請求項70に記載の素子。
  73. 【請求項73】 前記ONO層が前記ソース/ドレイン
    領域に隣接したコントロールゲート部分の下には存在し
    ない請求項70に記載の素子。
JP2002043294A 2001-02-22 2002-02-20 ツインmonosセルの製作方法 Expired - Lifetime JP4368087B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US27045501P 2001-02-22 2001-02-22
US27862301P 2001-03-26 2001-03-26
US09/994084 2001-11-21
US60/278623 2001-11-21
US60/270455 2001-11-21
US09/994,084 US6531350B2 (en) 2001-02-22 2001-11-21 Twin MONOS cell fabrication method and array organization

Publications (2)

Publication Number Publication Date
JP2002289715A true JP2002289715A (ja) 2002-10-04
JP4368087B2 JP4368087B2 (ja) 2009-11-18

Family

ID=27402291

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002043294A Expired - Lifetime JP4368087B2 (ja) 2001-02-22 2002-02-20 ツインmonosセルの製作方法

Country Status (5)

Country Link
US (2) US6531350B2 (ja)
EP (1) EP1237192A3 (ja)
JP (1) JP4368087B2 (ja)
KR (1) KR100871574B1 (ja)
TW (1) TW560064B (ja)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005123518A (ja) * 2003-10-20 2005-05-12 Renesas Technology Corp 不揮発性半導体記憶装置およびその製造方法
JP2005260197A (ja) * 2004-03-11 2005-09-22 Hynix Semiconductor Inc 半導体素子及びその製造方法
JP2006019373A (ja) * 2004-06-30 2006-01-19 Renesas Technology Corp 不揮発性半導体記憶装置の製造方法および不揮発性半導体記憶装置
JP2006121094A (ja) * 2004-10-21 2006-05-11 Samsung Electronics Co Ltd 電荷トラップ膜を有する不揮発性メモリセル構造物及びその製造方法
JP2007504679A (ja) * 2003-05-22 2007-03-01 フリースケール セミコンダクター インコーポレイテッド 個別ゲート構造を備えたトランジスタ
JP2008071454A (ja) * 2006-09-15 2008-03-27 Sharp Corp 半導体記憶装置およびその書き込み方法
US7504688B2 (en) 2005-03-31 2009-03-17 Fujitsu Microelectronics Limited Semiconductor device with integrated flash memory and peripheral circuit and its manufacture method
JP2009302269A (ja) * 2008-06-13 2009-12-24 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US7682990B2 (en) 2004-06-07 2010-03-23 Renesas Technology Corp. Method of manufacturing nonvolatile semiconductor memory device
JP2010067645A (ja) * 2008-09-08 2010-03-25 Renesas Technology Corp 半導体装置およびその製造方法
JP2011114048A (ja) * 2009-11-25 2011-06-09 Renesas Electronics Corp 半導体装置およびその製造方法
JP2011135105A (ja) * 2011-04-01 2011-07-07 Renesas Electronics Corp 半導体装置
JP2013058810A (ja) * 2012-12-27 2013-03-28 Renesas Electronics Corp 不揮発性半導体装置およびその製造方法
JP2013123072A (ja) * 2013-01-28 2013-06-20 Renesas Electronics Corp 不揮発性半導体記憶装置
JP5951069B1 (ja) * 2015-05-01 2016-07-13 株式会社フローディア 半導体集積回路装置、および半導体集積回路装置の製造方法
KR20190012119A (ko) * 2017-07-26 2019-02-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 메모리 어레이 에지 cmp 디싱 효과를 감소시키기 위한 경계 설계

Families Citing this family (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4996151A (en) * 1988-05-19 1991-02-26 New England Biolabs, Inc. Method for producing the Eag I restriction endonuclease and methylase
JP2002217383A (ja) * 2001-01-12 2002-08-02 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
JP3966707B2 (ja) * 2001-02-06 2007-08-29 株式会社東芝 半導体装置及びその製造方法
US6531350B2 (en) * 2001-02-22 2003-03-11 Halo, Inc. Twin MONOS cell fabrication method and array organization
KR100389130B1 (ko) * 2001-04-25 2003-06-25 삼성전자주식회사 2비트 동작의 2트랜지스터를 구비한 불휘발성 메모리소자
JP4147765B2 (ja) * 2001-06-01 2008-09-10 ソニー株式会社 不揮発性半導体メモリ装置およびその電荷注入方法
DE60222504T2 (de) * 2001-07-06 2008-06-19 Halo Lsi Design And Device Technology Inc. Verfahren zur Herstellung von selbstjustierenden L-förmigen Seitenwand-Abstandsstücken
JP3671889B2 (ja) * 2001-09-25 2005-07-13 セイコーエプソン株式会社 半導体装置およびその製造方法
US6897522B2 (en) * 2001-10-31 2005-05-24 Sandisk Corporation Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements
US6925007B2 (en) * 2001-10-31 2005-08-02 Sandisk Corporation Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements
TWI291710B (en) * 2002-01-16 2007-12-21 Macronix Int Co Ltd Fabrication method for non-volatile memory
JP3956709B2 (ja) * 2002-01-23 2007-08-08 セイコーエプソン株式会社 半導体装置の製造方法
JP2003218244A (ja) * 2002-01-24 2003-07-31 Seiko Epson Corp 半導体装置の製造方法
JP3531641B2 (ja) * 2002-01-24 2004-05-31 セイコーエプソン株式会社 半導体装置の製造方法
JP3726760B2 (ja) * 2002-02-20 2005-12-14 セイコーエプソン株式会社 半導体装置の製造方法
JP2003243617A (ja) * 2002-02-20 2003-08-29 Seiko Epson Corp 半導体装置の製造方法
JP2003243616A (ja) * 2002-02-20 2003-08-29 Seiko Epson Corp 半導体装置の製造方法
US6750103B1 (en) * 2002-02-27 2004-06-15 Advanced Micro Devices, Inc. NROM cell with N-less channel
US6795349B2 (en) * 2002-02-28 2004-09-21 Sandisk Corporation Method and system for efficiently reading and programming of dual cell memory elements
JP2003258132A (ja) * 2002-03-05 2003-09-12 Seiko Epson Corp 不揮発性記憶装置の製造方法
JP2003258133A (ja) * 2002-03-05 2003-09-12 Seiko Epson Corp 不揮発性記憶装置の製造方法および半導体装置の製造方法
US6756271B1 (en) 2002-03-12 2004-06-29 Halo Lsi, Inc. Simplified twin monos fabrication method with three extra masks to standard CMOS
US6838344B2 (en) * 2002-03-12 2005-01-04 Halo Lsi, Inc. Simplified twin monos fabrication method with three extra masks to standard CMOS
JP3867624B2 (ja) * 2002-06-06 2007-01-10 セイコーエプソン株式会社 不揮発性半導体記憶装置およびその駆動方法
JP3622741B2 (ja) * 2002-07-12 2005-02-23 セイコーエプソン株式会社 半導体装置の製造方法
JP3975349B2 (ja) * 2002-09-02 2007-09-12 セイコーエプソン株式会社 半導体装置およびその製造方法
KR100448911B1 (ko) * 2002-09-04 2004-09-16 삼성전자주식회사 더미 패턴을 갖는 비휘발성 기억소자
US6773988B1 (en) * 2002-09-13 2004-08-10 Advanced Micro Devices, Inc. Memory wordline spacer
US6900098B1 (en) * 2002-10-15 2005-05-31 Halo Lsi, Inc. Twin insulator charge storage device operation and its fabrication method
US7382659B2 (en) * 2002-10-15 2008-06-03 Halo Lsi, Inc. Twin insulator charge storage device operation and its fabrication method
US7391653B2 (en) * 2002-10-15 2008-06-24 Halo Lsi, Inc. Twin insulator charge storage device operation and its fabrication method
JP2004140208A (ja) * 2002-10-18 2004-05-13 Toshiba Corp 半導体記憶装置及びその製造方法
JP3664159B2 (ja) * 2002-10-29 2005-06-22 セイコーエプソン株式会社 半導体装置およびその製造方法
DE10258194B4 (de) * 2002-12-12 2005-11-03 Infineon Technologies Ag Halbleiterspeicher mit Charge-trapping-Speicherzellen und Herstellungsverfahren
US6740927B1 (en) * 2003-01-06 2004-05-25 Applied Intellectual Properties Co., Ltd. Nonvolatile memory capable of storing multibits binary information and the method of forming the same
TW586221B (en) * 2003-03-20 2004-05-01 Powerchip Semiconductor Corp Flash memory with selective gate within a substrate and method of fabricating the same
KR100480645B1 (ko) * 2003-04-01 2005-03-31 삼성전자주식회사 역자기 정합 방식을 이용한 트윈―ono 형태의sonos 메모리 소자 제조 방법
US6967143B2 (en) * 2003-04-30 2005-11-22 Freescale Semiconductor, Inc. Semiconductor fabrication process with asymmetrical conductive spacers
US6903967B2 (en) * 2003-05-22 2005-06-07 Freescale Semiconductor, Inc. Memory with charge storage locations and adjacent gate structures
DE10332095B3 (de) * 2003-07-15 2005-01-20 Infineon Technologies Ag Halbleiterspeicher mit Charge-trapping-Speicherzellen
KR100498507B1 (ko) * 2003-08-08 2005-07-01 삼성전자주식회사 자기정렬형 1 비트 소노스(sonos) 셀 및 그 형성방법
US7141468B2 (en) * 2003-10-27 2006-11-28 Texas Instruments Incorporated Application of different isolation schemes for logic and embedded memory
US7098502B2 (en) * 2003-11-10 2006-08-29 Freescale Semiconductor, Inc. Transistor having three electrically isolated electrodes and method of formation
US6849531B1 (en) * 2003-11-21 2005-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Phosphoric acid free process for polysilicon gate definition
US7241654B2 (en) * 2003-12-17 2007-07-10 Micron Technology, Inc. Vertical NROM NAND flash memory array
US20050251617A1 (en) * 2004-05-07 2005-11-10 Sinclair Alan W Hybrid non-volatile memory system
KR100587670B1 (ko) * 2004-01-08 2006-06-08 삼성전자주식회사 비휘발성 메모리 셀의 유전막 형성방법
US7041545B2 (en) * 2004-03-08 2006-05-09 Infineon Technologies Ag Method for producing semiconductor memory devices and integrated memory device
JP4640918B2 (ja) * 2004-03-11 2011-03-02 ルネサスエレクトロニクス株式会社 不揮発性半導体記憶装置及びその製造方法
KR100546691B1 (ko) * 2004-04-23 2006-01-26 동부아남반도체 주식회사 플래시 메모리 소자 및 그의 제조 방법과 프로그래밍/소거방법
US7371691B2 (en) * 2004-07-29 2008-05-13 Texas Instruments Incorporated Silicon recess improvement through improved post implant resist removal and cleans
US6946349B1 (en) 2004-08-09 2005-09-20 Chartered Semiconductor Manufacturing Ltd. Method for integrating a SONOS gate oxide transistor into a logic/analog integrated circuit having several gate oxide thicknesses
JP4567396B2 (ja) * 2004-08-10 2010-10-20 セイコーインスツル株式会社 半導体集積回路装置
TWI258201B (en) * 2005-02-16 2006-07-11 Powerchip Semiconductor Corp Method for manufacturing semiconductor device and plug
US20060197140A1 (en) * 2005-03-04 2006-09-07 Freescale Semiconductor, Inc. Vertical transistor NVM with body contact structure and method
JP4461042B2 (ja) * 2005-03-11 2010-05-12 Okiセミコンダクタ株式会社 不揮発性メモリの製造方法
KR100632046B1 (ko) * 2005-07-05 2006-10-04 동부일렉트로닉스 주식회사 반도체 소자의 게이트 라인 및 그 제조 방법
US20070026605A1 (en) * 2005-08-01 2007-02-01 Macronix International Co., Ltd. Fabricating approach for memory device
KR100618908B1 (ko) * 2005-08-12 2006-09-05 삼성전자주식회사 게이트 저항을 개선한 반도체 소자 및 제조 방법
TWI263309B (en) * 2005-08-29 2006-10-01 Powerchip Semiconductor Corp Method of fabricating non-volatile memory
US7936604B2 (en) * 2005-08-30 2011-05-03 Halo Lsi Inc. High speed operation method for twin MONOS metal bit array
US7352033B2 (en) * 2005-08-30 2008-04-01 Halo Lsi Inc. Twin MONOS array for high speed application
US7432122B2 (en) 2006-01-06 2008-10-07 Freescale Semiconductor, Inc. Electronic device and a process for forming the electronic device
US7820539B2 (en) * 2006-02-28 2010-10-26 Freescale Semiconductor, Inc. Method for separately optimizing spacer width for two transistor groups using a recess spacer etch (RSE) integration
JP4799229B2 (ja) * 2006-03-14 2011-10-26 Okiセミコンダクタ株式会社 半導体記憶装置の製造方法
JP4680116B2 (ja) * 2006-03-31 2011-05-11 Okiセミコンダクタ株式会社 半導体装置
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
US7569086B2 (en) * 2006-04-24 2009-08-04 Thermochem Recovery International, Inc. Fluid bed reactor having vertically spaced apart clusters of heating conduits
US7485528B2 (en) 2006-07-14 2009-02-03 Micron Technology, Inc. Method of forming memory devices by performing halogen ion implantation and diffusion processes
KR100776139B1 (ko) * 2006-11-30 2007-11-15 동부일렉트로닉스 주식회사 플래시 메모리 소자
US7745344B2 (en) * 2007-10-29 2010-06-29 Freescale Semiconductor, Inc. Method for integrating NVM circuitry with logic circuitry
KR100924045B1 (ko) * 2007-12-27 2009-10-27 주식회사 동부하이텍 이미지 센서 및 그 제조 방법
KR100971411B1 (ko) * 2008-05-21 2010-07-21 주식회사 하이닉스반도체 반도체 장치의 수직 채널 트랜지스터 형성 방법
US8173505B2 (en) * 2008-10-20 2012-05-08 Freescale Semiconductor, Inc. Method of making a split gate memory cell
US8450199B2 (en) * 2008-12-22 2013-05-28 Micron Technology, Inc. Integrating diverse transistors on the same wafer
JP5486884B2 (ja) * 2009-09-10 2014-05-07 ルネサスエレクトロニクス株式会社 不揮発性半導体記憶装置、及びその製造方法
US8193094B2 (en) * 2010-06-21 2012-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Post CMP planarization by cluster ION beam etch
US8372714B2 (en) 2010-06-28 2013-02-12 Macronix International Co., Ltd. Semiconductor device and method of manufacturing a semiconductor device
US8816409B2 (en) * 2010-07-15 2014-08-26 United Microelectronics Corp. Metal-oxide semiconductor transistor
US8304840B2 (en) 2010-07-29 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer structures of a semiconductor device
US8399310B2 (en) 2010-10-29 2013-03-19 Freescale Semiconductor, Inc. Non-volatile memory and logic circuit process integration
JP2012151187A (ja) * 2011-01-17 2012-08-09 Toshiba Corp 半導体記憶装置の製造方法
US8389365B2 (en) 2011-03-31 2013-03-05 Freescale Semiconductor, Inc. Non-volatile memory and logic circuit process integration
US8564044B2 (en) * 2011-03-31 2013-10-22 Freescale Semiconductor, Inc. Non-volatile memory and logic circuit process integration
US8658497B2 (en) 2012-01-04 2014-02-25 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and logic integration
US8669158B2 (en) 2012-01-04 2014-03-11 Mark D. Hall Non-volatile memory (NVM) and logic integration
US8906764B2 (en) 2012-01-04 2014-12-09 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and logic integration
US8951863B2 (en) 2012-04-06 2015-02-10 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and logic integration
US8722493B2 (en) 2012-04-09 2014-05-13 Freescale Semiconductor, Inc. Logic transistor and non-volatile memory cell integration
US9087913B2 (en) 2012-04-09 2015-07-21 Freescale Semiconductor, Inc. Integration technique using thermal oxide select gate dielectric for select gate and apartial replacement gate for logic
US8728886B2 (en) 2012-06-08 2014-05-20 Freescale Semiconductor, Inc. Integrating formation of a replacement gate transistor and a non-volatile memory cell using a high-k dielectric
US9111865B2 (en) 2012-10-26 2015-08-18 Freescale Semiconductor, Inc. Method of making a logic transistor and a non-volatile memory (NVM) cell
US8921136B2 (en) * 2013-01-17 2014-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Self aligned contact formation
US9087796B2 (en) 2013-02-26 2015-07-21 International Business Machines Corporation Semiconductor fabrication method using stop layer
US9111866B2 (en) * 2013-03-07 2015-08-18 Globalfoundries Singapore Pte. Ltd. Method of forming split-gate cell for non-volative memory devices
US8741719B1 (en) 2013-03-08 2014-06-03 Freescale Semiconductor, Inc. Integrating formation of a logic transistor and a non-volatile memory cell using a partial replacement gate technique
US8716089B1 (en) 2013-03-08 2014-05-06 Freescale Semiconductor, Inc. Integrating formation of a replacement gate transistor and a non-volatile memory cell having thin film storage
US9006093B2 (en) 2013-06-27 2015-04-14 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high voltage transistor integration
US9129996B2 (en) 2013-07-31 2015-09-08 Freescale Semiconductor, Inc. Non-volatile memory (NVM) cell and high-K and metal gate transistor integration
US8877585B1 (en) 2013-08-16 2014-11-04 Freescale Semiconductor, Inc. Non-volatile memory (NVM) cell, high voltage transistor, and high-K and metal gate transistor integration
US8871598B1 (en) 2013-07-31 2014-10-28 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high-k and metal gate integration using gate-first methodology
US9082837B2 (en) 2013-08-08 2015-07-14 Freescale Semiconductor, Inc. Nonvolatile memory bitcell with inlaid high k metal select gate
US9252246B2 (en) 2013-08-21 2016-02-02 Freescale Semiconductor, Inc. Integrated split gate non-volatile memory cell and logic device
US9082650B2 (en) 2013-08-21 2015-07-14 Freescale Semiconductor, Inc. Integrated split gate non-volatile memory cell and logic structure
US9275864B2 (en) 2013-08-22 2016-03-01 Freescale Semiconductor,Inc. Method to form a polysilicon nanocrystal thin film storage bitcell within a high k metal gate platform technology using a gate last process to form transistor gates
US8932925B1 (en) 2013-08-22 2015-01-13 Freescale Semiconductor, Inc. Split-gate non-volatile memory (NVM) cell and device structure integration
US9129855B2 (en) 2013-09-30 2015-09-08 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high-k and metal gate integration using gate-first methodology
US9136129B2 (en) 2013-09-30 2015-09-15 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high-k and metal gate integration using gate-last methodology
US8901632B1 (en) 2013-09-30 2014-12-02 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high-K and metal gate integration using gate-last methodology
US9293551B2 (en) 2013-11-25 2016-03-22 Globalfoundries Inc. Integrated multiple gate length semiconductor device including self-aligned contacts
US9231077B2 (en) 2014-03-03 2016-01-05 Freescale Semiconductor, Inc. Method of making a logic transistor and non-volatile memory (NVM) cell
US9112056B1 (en) 2014-03-28 2015-08-18 Freescale Semiconductor, Inc. Method for forming a split-gate device
US9252152B2 (en) 2014-03-28 2016-02-02 Freescale Semiconductor, Inc. Method for forming a split-gate device
US9472418B2 (en) 2014-03-28 2016-10-18 Freescale Semiconductor, Inc. Method for forming a split-gate device
US9257445B2 (en) 2014-05-30 2016-02-09 Freescale Semiconductor, Inc. Method of making a split gate non-volatile memory (NVM) cell and a logic transistor
US9379222B2 (en) 2014-05-30 2016-06-28 Freescale Semiconductor, Inc. Method of making a split gate non-volatile memory (NVM) cell
US9343314B2 (en) 2014-05-30 2016-05-17 Freescale Semiconductor, Inc. Split gate nanocrystal memory integration
DE102018117235A1 (de) 2017-07-26 2019-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Grenzbereichsentwurf zur reduzierung des cmp-vertiefungseffekts an speichermatrixrändern
CN108878439A (zh) * 2018-06-29 2018-11-23 上海华虹宏力半导体制造有限公司 Sonos非挥发性存储器及其制造方法
CN110854184B (zh) * 2018-08-03 2023-04-07 联华电子股份有限公司 半导体元件及其制造方法
US10985171B2 (en) 2018-09-26 2021-04-20 Sandisk Technologies Llc Three-dimensional flat NAND memory device including wavy word lines and method of making the same
US11018151B2 (en) 2018-09-26 2021-05-25 Sandisk Technologies Llc Three-dimensional flat NAND memory device including wavy word lines and method of making the same
US10700078B1 (en) 2019-02-18 2020-06-30 Sandisk Technologies Llc Three-dimensional flat NAND memory device having curved memory elements and methods of making the same
US10700090B1 (en) 2019-02-18 2020-06-30 Sandisk Technologies Llc Three-dimensional flat NAND memory device having curved memory elements and methods of making the same
TWI704648B (zh) * 2019-11-20 2020-09-11 華邦電子股份有限公司 記憶體裝置的製造方法
US11017851B1 (en) 2019-11-26 2021-05-25 Cypress Semiconductor Corporation Silicon-oxide-nitride-oxide-silicon based multi level non-volatile memory device and methods of operation thereof
CN113284797B (zh) * 2020-02-20 2022-10-18 长鑫存储技术有限公司 半导体存储器的制作方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5510638A (en) * 1992-11-02 1996-04-23 Nvx Corporation Field shield isolated EPROM
EP0811983A1 (en) * 1996-06-06 1997-12-10 STMicroelectronics S.r.l. Flash memory cell, electronic device comprising such a cell, and relative fabrication method
US6054734A (en) 1996-07-26 2000-04-25 Sony Corporation Non-volatile memory cell having dual gate electrodes
US5851881A (en) 1997-10-06 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making monos flash memory for multi-level logic
US6255166B1 (en) 1999-08-05 2001-07-03 Aalo Lsi Design & Device Technology, Inc. Nonvolatile memory cell, method of programming the same and nonvolatile memory array
US6388293B1 (en) 1999-10-12 2002-05-14 Halo Lsi Design & Device Technology, Inc. Nonvolatile memory cell, operating method of the same and nonvolatile memory array
US6177318B1 (en) * 1999-10-18 2001-01-23 Halo Lsi Design & Device Technology, Inc. Integration method for sidewall split gate monos transistor
US6248633B1 (en) * 1999-10-25 2001-06-19 Halo Lsi Design & Device Technology, Inc. Process for making and programming and operating a dual-bit multi-level ballistic MONOS memory
JP4834897B2 (ja) * 2000-05-02 2011-12-14 ソニー株式会社 不揮発性半導体記憶装置およびその動作方法
US6531350B2 (en) * 2001-02-22 2003-03-11 Halo, Inc. Twin MONOS cell fabrication method and array organization
DE60235335D1 (de) * 2001-03-15 2010-04-01 Halo Inc Doppelbit MONOS Speicherzellgebrauch für breite Programbandbreite

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007504679A (ja) * 2003-05-22 2007-03-01 フリースケール セミコンダクター インコーポレイテッド 個別ゲート構造を備えたトランジスタ
JP2005123518A (ja) * 2003-10-20 2005-05-12 Renesas Technology Corp 不揮発性半導体記憶装置およびその製造方法
JP4746835B2 (ja) * 2003-10-20 2011-08-10 ルネサスエレクトロニクス株式会社 不揮発性半導体記憶装置
JP2005260197A (ja) * 2004-03-11 2005-09-22 Hynix Semiconductor Inc 半導体素子及びその製造方法
US7682990B2 (en) 2004-06-07 2010-03-23 Renesas Technology Corp. Method of manufacturing nonvolatile semiconductor memory device
JP2006019373A (ja) * 2004-06-30 2006-01-19 Renesas Technology Corp 不揮発性半導体記憶装置の製造方法および不揮発性半導体記憶装置
JP2006121094A (ja) * 2004-10-21 2006-05-11 Samsung Electronics Co Ltd 電荷トラップ膜を有する不揮発性メモリセル構造物及びその製造方法
US7767523B2 (en) 2005-03-31 2010-08-03 Fujitsu Semiconductor Limited Semiconductor device with integrated flash memory and peripheral circuit and its manufacture method
US7504688B2 (en) 2005-03-31 2009-03-17 Fujitsu Microelectronics Limited Semiconductor device with integrated flash memory and peripheral circuit and its manufacture method
JP2008071454A (ja) * 2006-09-15 2008-03-27 Sharp Corp 半導体記憶装置およびその書き込み方法
JP2009302269A (ja) * 2008-06-13 2009-12-24 Renesas Technology Corp 半導体装置の製造方法および半導体装置
JP2010067645A (ja) * 2008-09-08 2010-03-25 Renesas Technology Corp 半導体装置およびその製造方法
JP2011114048A (ja) * 2009-11-25 2011-06-09 Renesas Electronics Corp 半導体装置およびその製造方法
JP2011135105A (ja) * 2011-04-01 2011-07-07 Renesas Electronics Corp 半導体装置
JP2013058810A (ja) * 2012-12-27 2013-03-28 Renesas Electronics Corp 不揮発性半導体装置およびその製造方法
JP2013123072A (ja) * 2013-01-28 2013-06-20 Renesas Electronics Corp 不揮発性半導体記憶装置
JP5951069B1 (ja) * 2015-05-01 2016-07-13 株式会社フローディア 半導体集積回路装置、および半導体集積回路装置の製造方法
WO2016178392A1 (ja) * 2015-05-01 2016-11-10 株式会社フローディア メモリセル、半導体集積回路装置、および半導体集積回路装置の製造方法
JP2016213292A (ja) * 2015-05-01 2016-12-15 株式会社フローディア 半導体集積回路装置、および半導体集積回路装置の製造方法
TWI613760B (zh) * 2015-05-01 2018-02-01 芙洛提亞股份有限公司 半導體積體電路裝置、及半導體積體電路裝置之製造方法
US10276727B2 (en) 2015-05-01 2019-04-30 Floadia Corporation Memory cell, semiconductor integrated circuit device, and method for manufacturing semiconductor integrated circuit device
KR20190012119A (ko) * 2017-07-26 2019-02-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 메모리 어레이 에지 cmp 디싱 효과를 감소시키기 위한 경계 설계
KR102127799B1 (ko) 2017-07-26 2020-07-01 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 메모리 어레이 에지 cmp 디싱 효과를 감소시키기 위한 경계 설계

Also Published As

Publication number Publication date
US20020137296A1 (en) 2002-09-26
JP4368087B2 (ja) 2009-11-18
US6707079B2 (en) 2004-03-16
TW560064B (en) 2003-11-01
EP1237192A2 (en) 2002-09-04
US20030143792A1 (en) 2003-07-31
US6531350B2 (en) 2003-03-11
EP1237192A3 (en) 2007-05-30
KR100871574B1 (ko) 2008-12-02
KR20020069126A (ko) 2002-08-29

Similar Documents

Publication Publication Date Title
JP4368087B2 (ja) ツインmonosセルの製作方法
JP4388266B2 (ja) ストラップ領域及び周辺論理デバイス領域を有するフローティングゲートメモリセルの半導体アレーを形成する方法
US6346438B1 (en) Method of manufacturing a semiconductor device
US6265292B1 (en) Method of fabrication of a novel flash integrated circuit
US7045413B2 (en) Method of manufacturing a semiconductor integrated circuit using a selective disposable spacer technique and semiconductor integrated circuit manufactured thereby
US6943071B2 (en) Integrated memory cell and method of fabrication
JP4086926B2 (ja) 半導体装置及びその製造方法
KR100393205B1 (ko) 자기정렬 콘택구조를 가진 메모리영역과 샐리사이디드된듀얼 게이트 구조의 로직영역이 병합된 mml 반도체소자 및 그 제조방법
KR100818873B1 (ko) 반도체 장치 및 그 제조 방법
US7176085B2 (en) Method of manufacturing split gate type nonvolatile memory device
US7939397B2 (en) Method of manufacturing semiconductor device
US6180456B1 (en) Triple polysilicon embedded NVRAM cell and method thereof
JP2003218244A (ja) 半導体装置の製造方法
JP2004363443A (ja) 不揮発性半導体記憶装置及びその製造方法
JP3963629B2 (ja) 半導体装置及びその製造方法
US6852581B2 (en) Methods of manufacturing a semiconductor device having increased gaps between gates
JP4266089B2 (ja) 半導体記憶装置の製造方法
US7094644B2 (en) Method for manufacturing a semiconductor device
JP2003258132A (ja) 不揮発性記憶装置の製造方法
KR100552592B1 (ko) 반도체 소자의 제조 방법
JPH09321287A (ja) 半導体装置の製造方法
JPH06204489A (ja) 半導体不揮発性メモリおよびその製造方法
KR20050009488A (ko) 반도체 소자의 제조 방법
KR20050063100A (ko) 플래시 소자의 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050216

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090107

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090407

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090410

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090507

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090512

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090608

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090611

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090703

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090805

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090825

R150 Certificate of patent or registration of utility model

Ref document number: 4368087

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120904

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130904

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term