CN104600023A - 半导体集成电路制造的方法 - Google Patents

半导体集成电路制造的方法 Download PDF

Info

Publication number
CN104600023A
CN104600023A CN201410373394.5A CN201410373394A CN104600023A CN 104600023 A CN104600023 A CN 104600023A CN 201410373394 A CN201410373394 A CN 201410373394A CN 104600023 A CN104600023 A CN 104600023A
Authority
CN
China
Prior art keywords
conductive component
dielectric layer
metal plug
layer
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410373394.5A
Other languages
English (en)
Other versions
CN104600023B (zh
Inventor
谢铭峰
曾文弘
谢弘璋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN104600023A publication Critical patent/CN104600023A/zh
Application granted granted Critical
Publication of CN104600023B publication Critical patent/CN104600023B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28141Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/7688Filling of holes, grooves or trenches, e.g. vias, with conductive material by deposition over sacrificial masking layer, e.g. lift-off
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/435Resistive materials for field effect devices, e.g. resistive gate for MOSFET or MESFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/4763Deposition of non-insulating, e.g. conductive -, resistive -, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本发明公开了一种制造半导体集成电路(IC)的方法。提供了第一导电部件和第二导电部件。在第一导电部件上形成第一硬掩模(HM)。在第一和第二导电部件上方形成图案化的介电层,第一开口暴露第二导电部件。在第一开口中形成第一金属插塞以与第一导电部件接触。在第一金属插塞上形成第二HM,并且在衬底上方形成另一个图案化的介电层,第二开口暴露出第一金属插塞与第一导电部件的子集。在第二开口中形成第二金属插塞。

Description

半导体集成电路制造的方法
技术领域
本发明总体涉及半导体集成电路,更具体地,涉及半导体集成电路的制造方法。
背景技术
半导体集成电路(IC)工业已然经历了快速发展。IC设计和材料的技术进步产生了数代IC,其中,每一代都比前一代具有更小且更复杂的电路。在IC演进的过程中,在几何尺寸(即,可以使用制造工艺创建的最小组件(或线))减小的同时,通常增加了功能密度(即,每芯片面积的互连器件的数量)。
这种按比例缩小处理通常通过提高生产效率并降低相关成本来提供益处。这种按比例缩小还增加了IC处理和制造的复杂性。为实现这些进步,需要IC处理和制造的类似发展。当通过多种技术节点按比例缩小诸如金属氧化物半导体场效应晶体管(MOSFET)的半导体器件时,促进晶体管和其他器件之间的布线的导电线和相关介电材料的互连在IC性能改进中发挥着更为重要的作用。尽管制造IC器件的现有方法通常足以满足它们的期望目的,但是它们不是在所有方面都完全令人满意。例如,开发用于互连结构的更耐用金属插塞形成件存在挑战。期望对该区域进行改进。
发明内容
根据本发明的一个方面,提供了一种用于制造半导体集成电路(IC)的方法,该方法包括:在衬底中提供由第一介电层分离的第一导电部件和第二导电部件,其中,第二导电部件的顶面水平地位于第一导电部件的顶面下面;将第一硬掩模(HM)形成为第一导电部件上的顶层;在第一导电部件和第二导电部件上方形成第二介电层,在第二介电层和第一介电层中具有第一开口以暴露第二导电部件;在第一开口中形成第一金属插塞以与第二导电部件接触;在第一金属插塞上形成第二HM作为顶层;在第一导电部件和第一金属插塞之上形成第三介电层,在第三介电层中具有第二开口以暴露第一导电部件与第一金属插塞的子集;以及在第二开口中形成第二金属插塞以连接至第一导电部件与第一金属插塞的子集。
优选地,第一HM的形成包括:使第一导电部件凹进以形成第一沟槽;在衬底上方沉积第一HM层,包括填充在第一沟槽中;以及去除过多的第一HM层。
优选地,第一开口的形成包括:在第二介电层上方形成光刻胶图案;以及通过光刻胶图案来选择性蚀刻第二介电层和第一介电层,其中,选择性蚀刻相对于第一硬掩模具有选择性。
优选地,在选择性蚀刻期间,第一导电部件受到第一HM保护。
优选地,第一金属插塞的形成包括:用第一金属层填充在第一开口中;以及使第一金属层和第二介电层凹进,其中,该凹进被控制,使得所示凹进回蚀第一金属层和第二介电层直至暴露出第一HM。
优选地,第二HM的形成包括:使第一金属插塞凹进以形成第二沟槽;在衬底上方沉积第二HM层,包括填充在第二沟槽中;以及使第二HM层凹进,直至暴露出第一HM。
优选地,在使第二HM层凹进之后,第一HM和第二HM分别覆盖第一导电部件和第一金属插塞。
优选地,第二开口的形成包括:在第三介电层上方形成光刻胶图案;以及通过光刻胶图案来蚀刻第三介电层。
根据本发明的另一方面,提供了一种用于制造半导体集成电路(IC)的方法,该方法包括:提供器件前体;使HK/MG凹进以在HK/MG上形成第一沟槽;在第一沟槽中形成第一硬掩模(HM),其中,第一HM是HK/MG上的顶层;在HK/MG和导电部件上方形成第二介电层,在第二介电层和第一介电层中具有第一开口以暴露出导电部件;在第一开口中形成第一金属插塞;将第二HM形成作为第一金属插塞上的顶层;在HK/MG和第一金属插塞上方形成第三介电层,在第三介电层中具有第二开口以暴露HK/MG与第一金属插塞的子集;以及在第二开口中形成第二金属插塞以和HK/MG与第一金属插塞的子集连接。其中,提供器件前体包括:位于衬底上方的高k/金属栅极(HK/MG);沿着HK/MG侧壁的侧壁间隔件,位于衬底上方的导电部件,其中,导电部件的顶面水平地位于HK/MG的顶面下面;和分离HK/MG和导电部件的第一介电层。
优选地,导电部件包括源极和漏极部件。
优选地,第一开口的形成包括:在第二介电层上方形成光刻胶图案;以及通过光刻胶图案来选择性蚀刻第二介电层和第一介电层,其中,选择性蚀刻相对于第一硬掩模具有选择性。
优选地,在选择性蚀刻期间,由第一HM和侧壁间隔件保护HK/MG。
优选地,通过化学机械抛光(CMP)使第一金属层和第二介电层凹进。
优选地,该方法还包括:在沉积第二介电层之前,在衬底上方沉积CMP停止层,衬底上方包括在HK/MG上方;以及CMP在CMP停止层处停止。
优选地,第二HM的形成包括:使第一金属插塞凹进以形成第二沟槽;在衬底上方沉积第二HM层,包括填充在第二沟槽中;以及使第二HM层凹进,直至暴露出第一HM。
优选地,在使第二HM层凹进之后,第一HM覆盖HK/MG并且第二HM覆盖第一金属插塞。
根据本发明的又一方面,提供了一种用于制造半导体集成电路(IC)的方法,该方法包括:在衬底中提供由第一介电层分离的第一导电部件和第二导电部件;形成作为第一导电部件上的顶层的第一硬掩模(HM);在第一导电部件和第二导电部件上方形成第一图案化的介电层,其中,第一图案化的介电层具有开口以暴露出第二导电部件;在第一开口中形成第一金属插塞以连接第二导电部件;形成作为第一金属插塞上的顶层的第二HM;在第一导电部件和第一金属插塞上方形成第二图案化的介电层,其中,第二图案化的介电层具有第二开口以暴露出第一导电部件与第一金属插塞的子集;以及在第二开口中形成第二金属插塞以连接第一导电部件与第一金属插塞的子集。
优选地,第一HM的形成包括:使第一导电部件凹进以形成第一沟槽;在衬底上方沉积第一HM层,包括填充在第一沟槽中;以及去除过多的第一HM层。
优选地,第一图案化的介电层的形成包括:在第一介电层上方沉积第二介电层;在第二介电层上方形成光刻胶图案;以及通过光刻胶图案来选择性蚀刻第二介电层和第一介电层,其中,选择性蚀刻相对于第一硬掩模具有选择性。
优选地,第二HM的形成包括:使第一金属插塞凹进以形成第二沟槽;在衬底上方沉积第二HM层,包括填充在第二沟槽中;以及去除过多的第二HM层。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明的各方面。应该注意,根据工业中的标准实践,各种部件没有被按比例绘制,并且仅被用于说明目的。实际上,为了论述清楚的目的,多种部件的尺寸可以被任意地增加或减小。
图1是用于制造根据本公开的多个方面构造的半导体集成电路(IC)的示例性方法的流程图。
图2至图8是处于根据图1的方法构造的制造阶段的示例性半导体集成电路(IC)的截面图。
具体实施方式
以下公开提供了用于实现本发明的不同特征的多个不同实施例或实例。下面描述组件和布置的特定实例以简化本公开。当然,这些仅是实例,并且不旨在限制。例如,以下说明书中的第一特征在第二特征之上或上形成可以包括第一和第二特征直接接触形成的实施例,并且还可以包括可以在第一和第二特征之间形成附加部件,使得第一和第二特征可以不直接接触的实施例。另外,本公开可以在多个实例中重复参考数字和/或字母。该重复用于简单和清楚的目的,并且其本身不指示所论述的多种实施例和/或结构之间的关系。
而且,为简化说明,在此可以使用诸如“之下”、“下面”、“下部”、“之上”、“上部”等的空间相对术语,以描述一个元件或特征与图中所示的另一个元件或特征的关系。除了图中所示的定向之外,空间相对术语旨在包括正在使用或操作的器件的不同定向。例如,如果图中的器件被翻转,则被描述为在其他元件或特征“下面”或“之下”的元件将被定向为在其他元件或特征“之上”。因此,示例性术语“之下”可以包括之上和之下的定向。装置可以另外被定向(旋转90度或者以其他定向),并且在此使用的空间相对术语可作同样地解释。
本公开旨在但并不限于FinFET器件。例如,FinFET器件可以是互补金属氧化物半导体(CMOS)器件,其包括P型金属氧化物半导体(PMOS)FinFET器件和N型金属氧化物半导体(NMOS)FinFET器件。以下公开将继续采用FinFET实例,以示出本发明的多种实施例。然而,应当理解,除非特别要求,该应用不应该限于特定类型的器件。
图1是根据本公开的多个方面制造一个或多个半导体器件的方法100的一个实施例的流程图。举例来说,方法100会参考图2中所示的半导体器件前体200和图3A至图3B、图4至图8中所示的半导体器件500进行详细讨论。应当理解,可以在该方法之前、期间和之后提供附加步骤,并且对于该方法的其他实施例,所描述的一些步骤可以被替换或删除。
参考图1和图2,方法100通过接收半导体器件前体200而开始于步骤102。半导体器件前体200包括衬底210。在本实施例中,衬底210包括硅。在可选实施例中,衬底可以包括锗、硅锗、砷化镓或其他合适半导体材料。可替换地并且对于一些实施例而言,衬底210可以包括外延层。例如,衬底210可以具有上覆块状半导体的外延层。而且,衬底210可以产生应变来增强性能。例如,外延层可以包括不同于块状半导体材料的半导体材料,诸如,通过包括选择性外延生长(SEG)的工艺而形成的上覆块状硅的硅锗层或者上覆块状硅锗的硅层。而且,衬底210可以包括绝缘体上半导体(SOI)结构,诸如,掩埋介电层。而且,可替换地,衬底210可以包括掩埋介电层,诸如,埋氧(BOX)层,诸如,通过被称为注氧隔离(SIMOX)技术的方法、晶元接合、SEG或其他合适方法形成的掩埋介电层。实际上,多种实施例可以包括任何多种衬底结构和材料。
半导体器件前体200还可以包括多种隔离部件220。隔离部件220将衬底210中的多种器件区分隔开。隔离部件220包括通过使用不同处理技术形成的不同结构。例如,隔离部件220可以包括浅沟槽隔离(STI)部件。STI的形成可以包括在衬底210中蚀刻沟槽,并且用诸如氧化硅、氮化硅、或氮氧化硅的绝缘材料填充沟槽。填充后的沟槽可以具有多层结构,诸如,采用氮化硅填充沟槽的热氧化物衬垫层。可以执行化学机械抛光(CMP),以回抛过多绝缘体材料并且平坦化隔离部件220的顶面。
半导体器件前体200还包括一个或多个第一导电部件230。在一个实施例中,第一导电部件230可以包括高k/金属栅极(HK/MG),即,围绕在鳍状结构之上的三维HK/MG。作为实例,HK/MG可以包括栅极介电层和金属栅极(MG)。栅极介电层可以包括LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba、Sr)TiO3(BST)、Al2O3、Si3N4、氮氧化物(SiON)或其他合适材料。MG可以包括单层或多层,诸如,金属层、衬垫层、浸润层以及粘合层。MG可以包括Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、Al、WN、Cu、W或任何合适材料。另外,在HK/MG的侧壁上形成侧壁间隔件240。侧壁间隔件240可以包括诸如氧化硅的介电材料。可替换地,侧壁间隔件240可以包括氮化硅、碳化硅、氮氧化硅或它们的组合。侧壁间隔件240可以通过本领域中已知的沉积和干蚀刻工艺形成。
在另一个实施例中,第一导电部件230包括电极、电容器、电阻器或电阻器的一部分。在又一个实施例中,第一导电部件230包括互连结构的一部分。例如,第一导电部件230包括接触件、金属通孔或金属线。
半导体器件前体200还包括衬底210中的第二导电部件250。第二导电部件250的顶面与第一导电部件230的顶面可能不在一个水平面上。在一个实施例中,如图2所示,第二导电部件250的顶面水平地位于第一导电部件230的顶面下面的深度d处。在一个实施例中,第二导电部件250包括掺杂区(诸如,源极或漏极)或栅电极。在另一个实施例中,第二导电部件250包括电极、电容器、电阻器或电阻器的一部分或者互连结构的一部分。
半导体器件前体200还包括沉积在衬底210之上(包括位于每个第一导电部件230上方/之间并且位于第二导电部件250上方)的第一介电层260。第一介电层260包括氧化硅、氮化硅、氮氧化物、具有低于热氧化硅的介电常数(k)的介电材料(因此被称为低k介电材料层)或其他合适的介电材料层。第一介电层260包括单层或多层。可以执行CMP以去除过多的第一介电层260,从而暴露第一导电部件230的顶面以及为第一导电部件230和第一介电层260提供基本平坦的顶面。
参考图1和图3A至图3B,一旦接收到半导体器件前体200,则该方法100通过在第一导电部件230上形成第一硬掩模(HM)层310而进行至步骤104。在一个实施例中,如图3A中所示,首先通过选择性蚀刻来使第一导电部件230凹进以形成第一沟槽305。选择性蚀刻可以包括湿蚀刻、干蚀刻或它们的结合。在另一个实施例中,通过包括图案化和蚀刻的合适工艺而形成第一沟槽305。然后,通过诸如化学汽相沉积(CVD)或物理汽相沉积(PVD)的合适技术由第一HM层310填充第一沟槽305。第一HM层310包括氧化钛、氧化钽、氮化硅、氧化硅、碳化硅以及氮碳化硅。在本实施例中,HM层310不同于第一介电层260,以在后续蚀刻期间实现蚀刻选择性,这会在后面进行描述。在一个实施例中,然后执行CMP处理以去除过多的第一HM层310。控制CMP处理以能够去除第一沟槽305之上的第一HM层310,因此,如图3B所示,第一HM层310中位于第一沟槽305内的部分变为第一导电部件230的顶层。
参考图1和图4,方法100进行至步骤106,形成位于衬底210上方的且具有第一开口415的第二介电层410。第二介电层410在很多方面都类似于以上结合图2论述的第一介电层260。在第一开口415的底部,暴露出第二导电部件250的一部分。可以通过光刻图案化和蚀刻工艺形成第一开口415。在本实施例中,如图4中所示,第一开口415成形为与各自的第二导电部件250对准而不与第一导电部件230对准。由于第一开口415的深度基本相同,可以改进蚀刻工艺窗。在一个实施例中,第一开口415通过蚀刻工艺形成,该蚀刻工艺选择性地去除第二介电层410和第一介电层260,但是基本上不蚀刻侧壁间隔件240和第一HM 310。因此,由于保护侧壁间隔件240和第一HM 310,放宽了对第一开口图案化工艺中的覆盖物的约束,并且同时改进了蚀刻工艺窗。
参考图1和图5,方法100进行至步骤108,在第一开口415中形成第一金属插塞420以形成向下延伸至第二导电部件250的完全接触件。在一个实施例中,首先通过诸如PVD和CVD的合适沉积技术在第一开口415中形成第一阻挡层。第一阻挡层可以包括金属并且导电,但是不允许在第一介电材料层260和将被填充在第一开口415中的第一金属层420之间的相互扩散和反应。第一阻挡层可以包括难熔金属和它们的氮化物。在多种实例中,第一阻挡层包括TiN、TaN、Co、WN、TiSiN、TaSiN或它们的组合。第一阻挡层可以包括多个薄膜。
然后,第一金属层420填充在第一开口415中以及第一阻挡层上方。第一金属层420可以包括铜(Cu)、铝(Al)、钨(W)、铜或诸如铜镁(CuMn)、铜铝(CuAl)或铜硅(CuSi)的铜合金或其他合适导电材料。可以通过PVD、CVD、金属有机化学汽相沉积(MOCVD)或镀法来沉积第一金属层420。
在本实施例中,在通过第一金属层420填充第一开口415之后,执行凹进,以回蚀过多的第一金属层420以及过多的第一阻挡层和第二介电层410,并且提供基本平坦的表面。控制开槽,使得该开槽回蚀至暴露出第一HM 310的顶面。作为一个实例,执行CMP,以回抛过多的第一金属层420以及过多的第一阻挡层和第二介电层410。因此,第一金属层420中填充在第一开口415内的部分形成第一金属插塞420。首先通过填充在第一开口415中随后通过回凹(recessing back),形成具有自对准特性的第一金属插塞420。同时结合侧壁间隔件240,第一HM 310提供了电隔离以防止第一金属插塞420和第一导电部件230之间的电短路。
参考图1和图6,方法100进行至步骤110,在第一金属插塞420上形成第二HM 510。第二HM 510形成为在多个方面类似于以上结合图3A和图3B论述的第一HM 310。第二HM层510包括氧化钛、氧化钽、氮化硅、氧化硅、碳化硅以及氮碳化硅。在一个实施例中,通过选择性蚀刻使第一金属插塞420凹进以形成第二沟槽。然后,由第二HM层510填充第二沟槽,随后执行凹进工艺以去除过多的HM层510。因此,第二HM层510中填充在第二沟槽中内的部分变为第一金属插塞420的顶层。在本实施例中,控制凹进,使得该凹进回蚀第二HM层510至暴露出第一HM 310的顶面。因此,分别作为第一导电部件230和第一金属插塞420上的顶层,第一HM 310和第二HM 510提供隔离层,以防止第一导电部件230和第一金属插塞420与将被形成的第二金属插塞之间的电短路,这会在后文进行描述。
参考图1和图7,方法100进行至步骤112,形成位于衬底210上方(包括位于第一导电部件230和第一金属插塞420上方)且具有第二开口615的第三介电层610。第三介电层610和第二开口615的形成在多个方面类似于以上关于图4论述的第二介电层410和第一开口415。第二开口615形成为暴露第一导电部件230和第一金属插塞420(其与第二导电部件250连接)的子集。为了清楚以更好地描述方法100起见,现在分别通过参考数字230A、420A和250A标记第一导电部件230、第一金属插塞420和第二导电部件250的子集,并且分别通过参考数字230B、420B和250B标记第一导电部件230、第一金属插塞420和第二导电部件250的其他部分。在一个实施例中,通过光刻图案化和蚀刻工艺形成第二开口615。在蚀刻工艺期间,还移除了第一导电部件230A上的第一HM 310和第一金属插塞420A上的第二HM 510。由于第二开口615的深度基本相同,改进蚀刻工艺窗。
参考图1和图8,方法100进行至步骤114,在第二开口615中形成第二金属插塞710以形成向下延伸至第一导电部件230A和第一金属插塞420A的完全接触件。因此,第二金属插塞710的形成在很多方面类似于以上结合图5论述的第一金属插塞420。在一个实施例中,首先在第二开口615中形成第二阻挡层。第二阻挡层可以包括难熔金属和它们的氮化物。在多种实例中,第二阻挡层包括TiN、TaN、Co、WN、TiSiN、TaSiN或它们的结合。第二阻挡层可以包括多个薄膜。
然后,第二金属层710填充在第二开口615中,包括沉积在第二阻挡层之上。第二金属层710可以包括铜(Cu)、铝(Al)、钨(W)、铜或诸如铜镁(CuMn)、铜铝(CuAl)或铜硅(CuSi)的铜合金或其他合适的导电材料。然后,执行凹进,以回蚀过多的第二金属层710以及过多的第二阻挡层,从而形成第二金属插塞710以及与第三介电层610基本共面的表面。
通过首先填充在第二开口615中随后进行回凹,形成具有自对准性质的第二金属插塞710。在形成第二金属插塞710期间,第一HM 310和第二HM 510强化了第一导电部件230B以及第一金属插塞420B与第二金属插塞710之间的保护,这样放宽了工艺约束并且改进了工艺窗。
在本实施例中,通过位于第二导电部件250A顶面之上的两个金属插塞而非一个金属插塞为第二导电部件250A提供了垂直导电连接,其中第二金属插塞710位于第一金属插塞420A顶部。通常,在形成开口期间,开口在其延伸得更深时会变得更窄。因此,为获得开口的目标底部尺寸,较深开口通常在顶部处需要较宽开口。因此,分离两个邻近开口的间隔可能变得更小。较小分离间隔可能使工艺窗更窄,诸如,对错位的容差更小。还可导致减小器件封装密度中更多约束。因此,代替一个更深开口,在该两插塞方案中,每个开口均形成为较深开口的一部分,因此可以实现较小顶部宽度(与较深开口相比)。
在方法100之前、期间和之后,可以提供附加步骤,并且对于方法100的附加实施例,所描述的一些步骤可以被替换、删除或移动。例如,在沉积第二介电层410(在步骤106中)之前,可以在衬底之上沉积蚀刻停止层,以在使第一金属层420凹进时增强蚀刻工艺控制(在步骤108中)。器件500可以进一步经受CMOS或MOS技术处理,以形成多种部件和区域。
基于上文,本公开提供了一种用于制造半导体器件的方法。该方法采用形成硬掩模作为导电部件的顶层,以在形成金属插塞期间防止相应的导电部件与另一个导电部件连接。该方法还采用形成具有自对准性质的金属插塞。该方法展示出具有放宽的工艺约束的互连件的集成、增强的电短路保护以及改进的工艺窗。
本公开提供了制造半导体IC的多个不同实施例,其提供了优于现有方法的一个或多个改进。在一个实施例中,一种用于制造半导体集成电路(IC)的方法包括在衬底中提供第一导电部件和第二导电部件。第一和第二导电部件通过第一介电层分离。第二导电部件的顶面水平位于第一导电部件的顶面下面。该方法还包括:在第一导电部件上形成第一硬掩模(HM)作为顶层;在第一和第二导电部件之上沉积第二介电层;在第一和第二介电层中形成第一开口以暴露第二导电部件;在第一开口中形成第一金属插塞以与第二导电部件接触;在第一金属插塞上形成第二HM作为顶层;在第一导电部件和第一金属插塞之上沉积第三介电层;在第三介电层中形成第二开口以暴露第一导电部件和第一金属插塞的子集;以及在第二开口中形成第二金属插塞以连接至第一导电部件和第一金属插塞的子集。
在另一个实施例中,一种用于制造半导体IC的方法包括提供器件前体。器件前体包括位于衬底中的高-k/金属栅极(HK/MG)、沿着HK/WG侧壁的侧壁间隔件、位于衬底中的导电部件以及分离HK/MG和第二导电部件的第一介电层。导电部件的顶面水平位于HK/MG的顶面下面。该方法还包括:使HK/MG凹进以在HK/MG上形成第一沟槽;在第一沟槽中形成第一硬掩模(HM),因此第一HM是HK/MG上的顶层。该方法还包括:在HK/MG和导电部件之上沉积第二介电层;在第二和第一介电层中形成第一开口以暴露导电部件;由第一金属层填充第一开口以与导电部件接触;使第一金属层和第二介电层凹进直到暴露第一HM。因此,在第一开口中形成第一金属插塞。该方法还包括:在第一金属插塞上形成第二HM作为顶层;在HK/MG和第一金属插塞之上沉积第三介电层;在第三介电层中形成第二开口以暴露HK/MG和第一金属插塞的子集;以及在第二开口中形成第二金属插塞以与HK/MG和第一金属插塞的子集连接。
在又一个实施例中,一种用于制造半导体IC的方法包括:在衬底中提供通过第一介电层分离的第一导电部件和第二导电部件。该方法还包括:在第一导电部件上形成第一硬掩模(HM)作为顶层;在第一和第二导电部件之上形成第一图案化的介电层。因此。第一图案化的介电层具有开口以暴露第二导电部件。该方法还包括:在第一开口中形成第一金属插塞以连接第二导电部件;在第一金属插塞上形成第二HM作为顶层;在第一导电部件和第一金属插塞之上形成第二图案化的介电层。因此,第二图案化的介电层具有第二开口以暴露第一金属插塞和第一导电部件的子集;以及在第二开口中形成第二金属插塞以连接第一金属插塞的子集和第一导电部件。
以上概述了多个实施例的特征,使得本领域技术人员可以更好地理解本公开的多个方面。本领域技术人员将想到,它们可以容易地使用本公开作为用于设计或修改用于实现与在此介绍的实施例相同的目的和/或实现与其相同优点的其他处理和结构的基础。本领域技术人员还将认识到,这样的等效结构不脱离本公开的精神和范围,并且可以在不脱离本公开的精神和范围的情况下,在此作出多种改变、替换和更改。

Claims (10)

1.一种用于制造半导体集成电路(IC)的方法,所述方法包括:
在衬底中提供由第一介电层分离的第一导电部件和第二导电部件,其中,所述第二导电部件的顶面水平地位于所述第一导电部件的顶面下面;
将第一硬掩模(HM)形成为所述第一导电部件上的顶层;
在所述第一导电部件和所述第二导电部件上方形成第二介电层,在所述第二介电层和所述第一介电层中具有第一开口以暴露所述第二导电部件;
在所述第一开口中形成第一金属插塞以与所述第二导电部件接触;
在所述第一金属插塞上形成第二HM作为顶层;
在所述第一导电部件和所述第一金属插塞之上形成第三介电层,在所述第三介电层中具有第二开口以暴露所述第一导电部件与所述第一金属插塞的子集;以及
在所述第二开口中形成第二金属插塞以连接至所述第一导电部件与所述第一金属插塞的子集。
2.根据权利要求1所述的方法,其中,所述第一HM的形成包括:
使所述第一导电部件凹进以形成第一沟槽;
在所述衬底上方沉积第一HM层,包括填充在所述第一沟槽中;以及
去除过多的所述第一HM层。
3.根据权利要求1所述的方法,其中,所述第一开口的形成包括:
在所述第二介电层上方形成光刻胶图案;以及
通过所述光刻胶图案来选择性蚀刻所述第二介电层和所述第一介电层,其中,所述选择性蚀刻相对于所述第一硬掩模具有选择性。
4.根据权利要求3所述的方法,其中,在所述选择性蚀刻期间,所述第一导电部件受到所述第一HM保护。
5.根据权利要求1所述的方法,其中,所述第一金属插塞的形成包括:
用第一金属层填充在所述第一开口中;以及
使所述第一金属层和所述第二介电层凹进,其中,所述凹进被控制,使得所示凹进回蚀所述第一金属层和所述第二介电层直至暴露出所述第一HM。
6.根据权利要求1所述的方法,其中,所述第二HM的形成包括:
使所述第一金属插塞凹进以形成第二沟槽;
在所述衬底上方沉积第二HM层,包括填充在所述第二沟槽中;以及
使所述第二HM层凹进,直至暴露出所述第一HM。
7.根据权利要求6所述的方法,其中,在使所述第二HM层凹进之后,所述第一HM和所述第二HM分别覆盖所述第一导电部件和所述第一金属插塞。
8.根据权利要求1所述的方法,其中,所述第二开口的形成包括:
在所述第三介电层上方形成光刻胶图案;以及
通过所述光刻胶图案来蚀刻所述第三介电层。
9.一种用于制造半导体集成电路(IC)的方法,所述方法包括:
提供器件前体,包括:
位于衬底上方的高k/金属栅极(HK/MG);
沿着HK/MG侧壁的侧壁间隔件,
位于所述衬底上方的导电部件,其中,所述导电部件的顶面水平地位于所述HK/MG的顶面下面;和
分离所述HK/MG和所述导电部件的第一介电层;
使所述HK/MG凹进以在所述HK/MG上形成第一沟槽;
在所述第一沟槽中形成第一硬掩模(HM),其中,所述第一HM是所述HK/MG上的顶层;
在所述HK/MG和所述导电部件上方形成第二介电层,在所述第二介电层和所述第一介电层中具有第一开口以暴露出所述导电部件;
在所述第一开口中形成第一金属插塞;
将第二HM形成作为所述第一金属插塞上的顶层;
在所述HK/MG和所述第一金属插塞上方形成第三介电层,在所述第三介电层中具有第二开口以暴露所述HK/MG与所述第一金属插塞的子集;以及
在所述第二开口中形成第二金属插塞以和所述HK/MG与所述第一金属插塞的所述子集连接。
10.一种用于制造半导体集成电路(IC)的方法,所述方法包括:
在衬底中提供由第一介电层分离的第一导电部件和第二导电部件;
形成作为所述第一导电部件上的顶层的第一硬掩模(HM);
在所述第一导电部件和所述第二导电部件上方形成第一图案化的介电层,其中,所述第一图案化的介电层具有开口以暴露出所述第二导电部件;
在所述第一开口中形成第一金属插塞以连接所述第二导电部件;
形成作为所述第一金属插塞上的顶层的第二HM;
在所述第一导电部件和所述第一金属插塞上方形成第二图案化的介电层,其中,所述第二图案化的介电层具有第二开口以暴露出所述第一导电部件与所述第一金属插塞的子集;以及
在所述第二开口中形成第二金属插塞以连接所述第一导电部件与所述第一金属插塞的子集。
CN201410373394.5A 2013-10-30 2014-07-31 半导体集成电路制造的方法 Active CN104600023B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/066,889 US9153483B2 (en) 2013-10-30 2013-10-30 Method of semiconductor integrated circuit fabrication
US14/066,889 2013-10-30

Publications (2)

Publication Number Publication Date
CN104600023A true CN104600023A (zh) 2015-05-06
CN104600023B CN104600023B (zh) 2018-08-07

Family

ID=52995899

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410373394.5A Active CN104600023B (zh) 2013-10-30 2014-07-31 半导体集成电路制造的方法

Country Status (3)

Country Link
US (3) US9153483B2 (zh)
KR (1) KR101607399B1 (zh)
CN (1) CN104600023B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106935510A (zh) * 2015-12-30 2017-07-07 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN107424918A (zh) * 2016-04-04 2017-12-01 格罗方德半导体公司 以功函数材料层凹陷形成自对准接触结构的方法及其产生装置
CN108122827A (zh) * 2016-11-29 2018-06-05 台湾积体电路制造股份有限公司 集成电路及其形成方法
US10804149B2 (en) 2016-11-29 2020-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10916475B2 (en) 2015-12-30 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9397004B2 (en) * 2014-01-27 2016-07-19 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits with simultaneous formation of local contact openings
US9837354B2 (en) * 2014-07-02 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid copper structure for advance interconnect usage
US9679812B2 (en) * 2014-07-24 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with self-aligned contact
US9478626B2 (en) 2014-12-19 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with an interconnect structure and method for forming the same
US10332795B2 (en) 2015-06-11 2019-06-25 Renesas Electronics Corporation Manufacturing method of semiconductor device
JP2017003824A (ja) * 2015-06-11 2017-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9991205B2 (en) * 2016-08-03 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
DE102017118364B4 (de) 2016-11-29 2021-10-14 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren mit Herstellung von Source/Drain- und Gate-Kontakten und Struktur mit solchen
US9929048B1 (en) * 2016-12-22 2018-03-27 Globalfoundries Inc. Middle of the line (MOL) contacts with two-dimensional self-alignment
US10475692B2 (en) * 2017-04-07 2019-11-12 Globalfoundries Inc. Self aligned buried power rail
US10186456B2 (en) * 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
US10141225B2 (en) 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
US10269624B2 (en) * 2017-07-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods of forming same
US10515896B2 (en) 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
WO2019193463A1 (ja) * 2018-04-04 2019-10-10 株式会社半導体エネルギー研究所 半導体装置、および半導体装置の作製方法
US10868184B2 (en) * 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same
US20210134669A1 (en) * 2019-10-31 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure for metal interconnect
CN113555438B (zh) * 2020-04-26 2024-04-19 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11349004B2 (en) 2020-04-28 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Backside vias in semiconductor device
US11404366B2 (en) 2020-05-27 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect structure for self aligned via
US11482454B2 (en) * 2021-02-17 2022-10-25 Tokyo Electron Limited Methods for forming self-aligned contacts using spin-on silicon carbide
US20220367266A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect Structure Including Graphite and Method Forming Same
US20230061022A1 (en) * 2021-08-27 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020025669A1 (en) * 2000-08-28 2002-02-28 Hwang Min-Wk Methods of forming a contact structure in a semiconductor device
CN101483184A (zh) * 2008-01-07 2009-07-15 东部高科股份有限公司 图像传感器及其制造方法
CN102768848A (zh) * 2011-05-02 2012-11-07 海力士半导体有限公司 半导体器件、半导体组件及制造方法
CN103199063A (zh) * 2012-01-06 2013-07-10 格罗方德半导体公司 具电介质帽盖于接触件上的半导体设备及相关的制造方法

Family Cites Families (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US37943A (en) * 1863-03-24 Improvement in trimming or cutting bolts
US5151168A (en) * 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
JP3297220B2 (ja) * 1993-10-29 2002-07-02 株式会社東芝 半導体装置の製造方法および半導体装置
US5451543A (en) * 1994-04-25 1995-09-19 Motorola, Inc. Straight sidewall profile contact opening to underlying interconnect and method for making the same
US5935868A (en) * 1997-03-31 1999-08-10 Intel Corporation Interconnect structure and method to achieve unlanded vias for low dielectric constant materials
JP4412620B2 (ja) * 1997-12-15 2010-02-10 セイコーインスツル株式会社 光導波路プローブ
KR100258364B1 (ko) * 1997-12-27 2000-06-01 김영환 반도체 소자의 콘택 제조방법
US6387759B1 (en) * 1998-05-18 2002-05-14 Hyundai Electronics Industries Co., Ltd. Method of fabricating a semiconductor device
KR100285700B1 (ko) * 1998-07-10 2001-04-02 윤종용 반도체장치의콘택형성방법및그구조
US6174800B1 (en) * 1998-09-08 2001-01-16 Taiwan Semiconductor Manufacturing Company Via formation in a poly(arylene ether) inter metal dielectric layer
US6037223A (en) * 1998-10-23 2000-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Stack gate flash memory cell featuring symmetric self aligned contact structures
US6077770A (en) * 1998-10-30 2000-06-20 United Microelectronics Corp. Damascene manufacturing process capable of forming borderless via
JP2000183313A (ja) * 1998-12-21 2000-06-30 Hitachi Ltd 半導体集積回路装置およびその製造方法
KR100316017B1 (ko) * 1998-12-24 2002-02-19 박종섭 상감기법을이용한미세금속패턴형성방법
TW408435B (en) * 1998-12-31 2000-10-11 Taiwan Semiconductor Mfg Self aligned process and structure capable of increasing the yield of borderless contact window
US6168704B1 (en) * 1999-02-04 2001-01-02 Advanced Micro Device, Inc. Site-selective electrochemical deposition of copper
DE19919469A1 (de) * 1999-04-29 2000-11-02 Bosch Gmbh Robert Verfahren zum Plasmaätzen von Silizium
JP3317399B2 (ja) * 1999-07-14 2002-08-26 日本電気株式会社 半導体装置の製造方法
DE19933842A1 (de) * 1999-07-20 2001-02-01 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
JP3317347B2 (ja) * 1999-09-02 2002-08-26 日本電気株式会社 ダイオードを備えた半導体装置およびその製造方法
US6124192A (en) * 1999-09-27 2000-09-26 Vanguard International Semicondutor Corporation Method for fabricating ultra-small interconnections using simplified patterns and sidewall contact plugs
KR20010057669A (ko) * 1999-12-23 2001-07-05 한신혁 적층형 캐패시터를 갖는 반도체 장치의 제조 방법
US6445050B1 (en) * 2000-02-08 2002-09-03 International Business Machines Corporation Symmetric device with contacts self aligned to gate
US6544850B1 (en) * 2000-04-19 2003-04-08 Infineon Technologies Ag Dynamic random access memory
US6511879B1 (en) * 2000-06-16 2003-01-28 Micron Technology, Inc. Interconnect line selectively isolated from an underlying contact plug
US6713378B2 (en) * 2000-06-16 2004-03-30 Micron Technology, Inc. Interconnect line selectively isolated from an underlying contact plug
US6214662B1 (en) * 2000-07-03 2001-04-10 Taiwan Semiconductor Manufacturing Company Forming self-align source line for memory array
KR100425457B1 (ko) * 2001-08-13 2004-03-30 삼성전자주식회사 자기 정렬 콘택 패드를 구비하는 반도체 소자 및 그 제조방법
US6511912B1 (en) * 2000-08-22 2003-01-28 Micron Technology, Inc. Method of forming a non-conformal layer over and exposing a trench
US6518671B1 (en) * 2000-10-30 2003-02-11 Samsung Electronics Co. Ltd. Bit line landing pad and borderless contact on bit line stud with localized etch stop layer and manufacturing method thereof
KR100363328B1 (ko) * 2001-01-11 2002-12-05 삼성전자 주식회사 콘택 패드를 갖는 반도체 소자의 제조방법
US6900513B2 (en) * 2001-01-22 2005-05-31 Nec Electronics Corporation Semiconductor memory device and manufacturing method thereof
KR100400033B1 (ko) * 2001-02-08 2003-09-29 삼성전자주식회사 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법
TW550642B (en) * 2001-06-12 2003-09-01 Toshiba Corp Semiconductor device with multi-layer interconnect and method fabricating the same
KR100385960B1 (ko) * 2001-06-16 2003-06-02 삼성전자주식회사 자기 정렬된 금속 콘택 플러그를 구비하는 반도체 소자 및그 제조 방법
US6861362B2 (en) * 2001-06-29 2005-03-01 Lam Research Corporation Self-aligned contact process implementing bias compensation etch endpoint detection and methods for implementing the same
DE10140468B4 (de) * 2001-08-17 2006-01-05 Infineon Technologies Ag Verfahren zur Erzeugung von Kontaktlöchern auf einer Metallisierungsstruktur
US6528418B1 (en) * 2001-09-20 2003-03-04 Hynix Semiconductor Inc. Manufacturing method for semiconductor device
US6787469B2 (en) * 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
KR100446293B1 (ko) * 2002-01-07 2004-09-01 삼성전자주식회사 저항체를 포함하는 반도체 소자 제조 방법
KR100450671B1 (ko) * 2002-02-26 2004-10-01 삼성전자주식회사 스토리지 노드 콘택플러그를 갖는 반도체 소자의 제조방법
US20060051948A1 (en) * 2003-02-04 2006-03-09 Microfabrica Inc. Microprobe tips and methods for making
US7078334B1 (en) * 2002-06-06 2006-07-18 Cypress Semiconductor Corporation In situ hard mask approach for self-aligned contact etch
KR100456697B1 (ko) * 2002-07-30 2004-11-10 삼성전자주식회사 반도체 장치의 캐패시터 및 그 제조방법
US6686247B1 (en) * 2002-08-22 2004-02-03 Intel Corporation Self-aligned contacts to gates
US20150307997A1 (en) * 2002-10-29 2015-10-29 Microfabrica Inc. Methods for Fabricating Metal Structures Incorporating Dielectric Sheets
US7015135B2 (en) * 2002-12-10 2006-03-21 Advanced Micro Devices, Inc. Method and system for reducing contact defects using non conventional contact formation method for semiconductor cells
DE10260616B3 (de) * 2002-12-23 2004-09-02 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Simultanen Bildung von Bauelementenkontakten und Rückseitenkontakten auf Wafern mit einer vergrabenen Isolatorschicht
KR100620197B1 (ko) * 2002-12-30 2006-09-01 동부일렉트로닉스 주식회사 반도체 소자의 모스형 트랜지스터 제조 방법
US6861686B2 (en) * 2003-01-16 2005-03-01 Samsung Electronics Co., Ltd. Structure of a CMOS image sensor and method for fabricating the same
US7115927B2 (en) * 2003-02-24 2006-10-03 Samsung Electronics Co., Ltd. Phase changeable memory devices
TW588433B (en) * 2003-03-25 2004-05-21 Nanya Technology Corp Method of forming metal plug
KR100539276B1 (ko) * 2003-04-02 2005-12-27 삼성전자주식회사 게이트 라인을 포함하는 반도체 장치 및 이의 제조 방법
DE10324433B4 (de) * 2003-05-28 2007-02-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Substratkontakts für ein SOI-Halbleiterbauteil
US6797611B1 (en) * 2003-08-03 2004-09-28 Nanya Technology Corp. Method of fabricating contact holes on a semiconductor chip
KR100574948B1 (ko) * 2003-08-23 2006-04-28 삼성전자주식회사 기생 캐패시턴스가 감소된 반도체 메모리 소자 및 그제조방법
FR2859822B1 (fr) * 2003-09-16 2006-05-05 Commissariat Energie Atomique Structure d'interconnexion a faible constante dielectrique
US20050085072A1 (en) * 2003-10-20 2005-04-21 Kim Hyun T. Formation of self-aligned contact plugs
US20050095763A1 (en) * 2003-10-29 2005-05-05 Samavedam Srikanth B. Method of forming an NMOS transistor and structure thereof
US7291556B2 (en) * 2003-12-12 2007-11-06 Samsung Electronics Co., Ltd. Method for forming small features in microelectronic devices using sacrificial layers
KR100520227B1 (ko) * 2003-12-26 2005-10-11 삼성전자주식회사 반도체 메모리장치의 제조방법 및 그에 따른 구조
US7095086B2 (en) * 2003-12-31 2006-08-22 Dongbu Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
AR047467A1 (es) * 2004-01-30 2006-01-18 Sumitomo Metal Ind Tubo de acero sin costura para pozos petroliferos y procedimiento para fabricarlo
US7476945B2 (en) * 2004-03-17 2009-01-13 Sanyo Electric Co., Ltd. Memory having reduced memory cell size
US7053400B2 (en) * 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
US7772108B2 (en) * 2004-06-25 2010-08-10 Samsung Electronics Co., Ltd. Interconnection structures for semiconductor devices and methods of forming the same
US7226857B2 (en) * 2004-07-30 2007-06-05 Micron Technology, Inc. Front-end processing of nickel plated bond pads
US7396759B1 (en) * 2004-11-03 2008-07-08 Novellus Systems, Inc. Protection of Cu damascene interconnects by formation of a self-aligned buffer layer
US20060113604A1 (en) * 2004-12-01 2006-06-01 Texas Instruments Incorporated Methods for reduced circuit area and improved gate length control
US7563701B2 (en) * 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
KR100630749B1 (ko) * 2005-05-20 2006-10-02 삼성전자주식회사 반도체 소자의 제조방법
US20060267106A1 (en) * 2005-05-26 2006-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Novel semiconductor device with improved channel strain effect
US7157333B1 (en) * 2005-07-11 2007-01-02 Macronix International Co., Ltd. Non-volatile memory and fabricating method thereof
JP2007081113A (ja) * 2005-09-14 2007-03-29 Sony Corp 半導体装置の製造方法
US20070066047A1 (en) * 2005-09-18 2007-03-22 Jianhui Ye Method of forming opening and contact
DE102005046977B4 (de) * 2005-09-30 2015-02-05 Advanced Micro Devices, Inc. Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung mittels Kontaktätzstoppschichtstapels mit einer dazwischen liegenden Ätzstoppschicht
US7960838B2 (en) * 2005-11-18 2011-06-14 United Microelectronics Corp. Interconnect structure
KR100753049B1 (ko) * 2005-11-28 2007-08-30 주식회사 하이닉스반도체 반도체소자의 스토리지노드콘택플러그 형성 방법
JP2007294618A (ja) * 2006-04-24 2007-11-08 Elpida Memory Inc 半導体装置の製造方法及び半導体装置
KR100750943B1 (ko) * 2006-07-03 2007-08-22 삼성전자주식회사 반도체 장치의 배선 구조물 및 그 형성 방법
KR100791074B1 (ko) * 2006-08-23 2008-01-02 삼성전자주식회사 귀금속을 함유하는 장벽막을 갖는 콘택 구조체, 이를채택하는 강유전체 메모리 소자 및 그 제조방법들
US7838921B2 (en) * 2006-09-22 2010-11-23 Qimonda Ag Memory cell arrangements
US7608538B2 (en) * 2007-01-05 2009-10-27 International Business Machines Corporation Formation of vertical devices by electroplating
JP4314278B2 (ja) * 2007-01-22 2009-08-12 エルピーダメモリ株式会社 半導体装置及びその製造方法
US7880303B2 (en) * 2007-02-13 2011-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked contact with low aspect ratio
KR100843714B1 (ko) * 2007-04-12 2008-07-04 삼성전자주식회사 콘택 구조체 형성 방법 및 이를 이용한 반도체소자의제조방법
US7642572B2 (en) * 2007-04-13 2010-01-05 Qimonda Ag Integrated circuit having a memory cell array and method of forming an integrated circuit
US7760065B2 (en) * 2007-06-29 2010-07-20 Alcatel-Lucent Usa Inc. MEMS device with bi-directional element
US8952547B2 (en) * 2007-07-09 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with contact structure with first/second contacts formed in first/second dielectric layers and method of forming same
KR100939775B1 (ko) * 2007-10-09 2010-01-29 주식회사 하이닉스반도체 반도체 소자 및 그 제조방법
KR20090044528A (ko) 2007-10-31 2009-05-07 주식회사 하이닉스반도체 반도체 소자의 제조 방법
DE102007057682A1 (de) * 2007-11-30 2009-06-04 Advanced Micro Devices, Inc., Sunnyvale Hybridkontaktstruktur mit Kontakt mit kleinem Aspektverhältnis in einem Halbleiterbauelement
JP2009158591A (ja) * 2007-12-25 2009-07-16 Nec Electronics Corp 半導体装置およびその製造方法
US20090224327A1 (en) * 2008-03-04 2009-09-10 En-Chiuan Liou Plane mos and the method for making the same
US8033012B2 (en) * 2008-03-07 2011-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a semiconductor test probe card space transformer
US8536660B2 (en) * 2008-03-12 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates of MOS devices
US8043973B2 (en) * 2008-05-22 2011-10-25 Texas Instruments Incorporated Mask overhang reduction or elimination after substrate etch
KR101399099B1 (ko) * 2008-06-02 2014-05-26 삼성전자주식회사 콘택 구조체를 포함하는 반도체 소자 및 그 형성 방법
US8803245B2 (en) * 2008-06-30 2014-08-12 Mcafee, Inc. Method of forming stacked trench contacts and structures formed thereby
US7803704B2 (en) * 2008-08-22 2010-09-28 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnects
TWI366236B (en) * 2008-09-04 2012-06-11 Anpec Electronics Corp Method of forming power device
US7928003B2 (en) * 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
JP2010177521A (ja) * 2009-01-30 2010-08-12 Elpida Memory Inc 半導体記憶装置の製造方法
US8614131B2 (en) * 2009-02-03 2013-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned static random access memory (SRAM) on metal gate
US20100308380A1 (en) * 2009-06-05 2010-12-09 International Business Machines Corporation Dual damascene processing for gate conductor and active area to first metal level interconnect structures
JP5434360B2 (ja) * 2009-08-20 2014-03-05 ソニー株式会社 半導体装置及びその製造方法
CN102024744B (zh) * 2009-09-16 2013-02-06 中国科学院微电子研究所 半导体器件及其制造方法
TWI395016B (zh) * 2009-10-14 2013-05-01 Au Optronics Corp 主動元件陣列基板及其製造方法
DE102009046260B4 (de) * 2009-10-30 2020-02-06 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Halbleiterbauelement und Verfahren zur Herstellung eines Halbleiterbauelements
US20110123783A1 (en) * 2009-11-23 2011-05-26 David Sherrer Multilayer build processses and devices thereof
CN102074479B (zh) * 2009-11-24 2012-08-29 中国科学院微电子研究所 半导体器件及其制造方法
US8765581B2 (en) * 2009-11-30 2014-07-01 Micron Technology, Inc. Self-aligned cross-point phase change memory-switch array
CN102110611B (zh) * 2009-12-29 2013-04-10 中国科学院微电子研究所 具有改善的载流子迁移率的nmos的制造方法
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
DE102009055437B4 (de) * 2009-12-31 2017-08-10 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterwiderstände, die in einem Halbleiterbauelement mit Metallgatestrukturen auf einer geringeren Höhe hergestellt sind und Verfahren zur Herstellung der Halbleiterwiderstände
US8119473B2 (en) * 2009-12-31 2012-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature anneal for aluminum surface protection
CN101777499B (zh) * 2010-01-22 2011-08-24 北京大学 一种基于平面工艺自对准制备隧穿场效应晶体管的方法
US8399350B2 (en) * 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
DE102010002411B4 (de) * 2010-02-26 2012-10-31 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Kontaktbalken mit reduzierter Randzonenkapazität in einem Halbleiterbauelement
US8232148B2 (en) * 2010-03-04 2012-07-31 International Business Machines Corporation Structure and method to make replacement metal gate and contact metal
CN102214576A (zh) * 2010-04-09 2011-10-12 中国科学院微电子研究所 半导体器件及其制作方法
CN102237294B (zh) * 2010-04-21 2013-11-27 中国科学院微电子研究所 一种源漏区、接触孔及其形成方法
DE102010028460B4 (de) * 2010-04-30 2014-01-23 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Herstellen eines Halbleiterbauelements mit einer reduzierten Defektrate in Kontakten, das Austauschgateelektrodenstrukturen unter Anwendung einer Zwischendeckschicht aufweist
US8551874B2 (en) * 2010-05-08 2013-10-08 International Business Machines Corporation MOSFET gate and source/drain contact metallization
JP5635301B2 (ja) * 2010-05-12 2014-12-03 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置及びその製造方法
CN102244031B (zh) * 2010-05-14 2013-11-06 中国科学院微电子研究所 一种接触孔、半导体器件和二者的形成方法
KR101718981B1 (ko) * 2010-06-30 2017-03-23 삼성전자주식회사 콘택 플러그를 포함하는 반도체 소자
CN102347277B (zh) * 2010-07-30 2014-02-12 中国科学院微电子研究所 半导体器件结构及其制作方法
US9711612B2 (en) * 2010-07-30 2017-07-18 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device structure and method for fabricating the same
US8358012B2 (en) * 2010-08-03 2013-01-22 International Business Machines Corporation Metal semiconductor alloy structure for low contact resistance
US8749067B2 (en) * 2010-08-18 2014-06-10 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for forming the same
CN102376630B (zh) * 2010-08-20 2013-08-14 中国科学院微电子研究所 半导体器件及其局部互连结构的制造方法
KR101811316B1 (ko) * 2010-08-20 2017-12-27 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN102376633A (zh) * 2010-08-26 2012-03-14 中国科学院微电子研究所 一种半导体结构及其制造方法
CN102437088B (zh) * 2010-09-29 2014-01-01 中国科学院微电子研究所 一种半导体结构及其制造方法
KR101093967B1 (ko) * 2010-10-06 2011-12-15 주식회사 하이닉스반도체 낸드 플래시 메모리 소자 및 그 제조방법
US20120088345A1 (en) * 2010-10-12 2012-04-12 Yi-Wei Chen Method of forming silicide for contact plugs
US20120086054A1 (en) * 2010-10-12 2012-04-12 Tzyy-Ming Cheng Semiconductor structure and method for making the same
US9142462B2 (en) * 2010-10-21 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a contact etch stop layer and method of forming the same
JP2012089744A (ja) * 2010-10-21 2012-05-10 Elpida Memory Inc 半導体装置の製造方法
WO2012058264A1 (en) * 2010-10-26 2012-05-03 The Regents Of The University Of California Limiting strain relaxation in iii-nitride heterostructures by substrate and epitaxial layer patterning
CN102468221B (zh) * 2010-11-11 2014-10-22 中国科学院微电子研究所 采用后栅工艺制备cmos器件中接触孔的方法
US9620421B2 (en) * 2010-11-17 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate transistor, integrated circuits, systems, and fabrication methods thereof
KR20120053799A (ko) * 2010-11-18 2012-05-29 삼성전자주식회사 반도체 장치 및 반도체 장치의 형성 방법
CN102468226B (zh) * 2010-11-18 2014-08-20 中国科学院微电子研究所 一种半导体结构及其制造方法
CN102487085B (zh) * 2010-12-01 2014-04-23 中国科学院微电子研究所 半导体器件及其制造方法
CN102487014B (zh) * 2010-12-03 2014-03-05 中国科学院微电子研究所 一种半导体结构及其制造方法
US8951907B2 (en) * 2010-12-14 2015-02-10 GlobalFoundries, Inc. Semiconductor devices having through-contacts and related fabrication methods
DE102011002769B4 (de) * 2011-01-17 2013-03-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102011004323B4 (de) * 2011-02-17 2016-02-25 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement mit selbstjustierten Kontaktelementen und Verfahren zu seiner Herstellung
US20120235244A1 (en) * 2011-03-18 2012-09-20 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor Structure and Method for Manufacturing the Same
US8361854B2 (en) * 2011-03-21 2013-01-29 United Microelectronics Corp. Fin field-effect transistor structure and manufacturing process thereof
US8765585B2 (en) * 2011-04-28 2014-07-01 International Business Machines Corporation Method of forming a borderless contact structure employing dual etch stop layers
US8816444B2 (en) * 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
CN102789972B (zh) * 2011-05-20 2015-04-22 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
US20120313149A1 (en) * 2011-06-09 2012-12-13 Beijing Nmc Co., Ltd. Semiconductor structure and method for manufacturing the same
US8564030B2 (en) * 2011-06-10 2013-10-22 Advanced Micro Devices Self-aligned trench contact and local interconnect with replacement gate process
US9293377B2 (en) * 2011-07-15 2016-03-22 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device structure and method for manufacturing the same
US20130020623A1 (en) * 2011-07-18 2013-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for single gate non-volatile memory device
CN102938378B (zh) * 2011-08-16 2015-06-17 中芯国际集成电路制造(北京)有限公司 半导体器件制造方法
CN102956459B (zh) * 2011-08-26 2016-04-13 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
DE112011105702T5 (de) * 2011-10-01 2014-07-17 Intel Corporation Source-/Drain-Kontakte für nicht planare Transistoren
US8791502B2 (en) * 2011-10-09 2014-07-29 The Institute of Microelectronics Chinese Academy of Science Semiconductor device and method of manufacturing the same
US8716124B2 (en) * 2011-11-14 2014-05-06 Advanced Micro Devices Trench silicide and gate open with local interconnect with replacement gate process
US8614123B2 (en) * 2011-11-28 2013-12-24 Globalfoundries Inc. Method of forming a semiconductor device by using sacrificial gate electrodes and sacrificial self-aligned contact structures
US8603915B2 (en) * 2011-11-28 2013-12-10 International Business Machines Corporation Multi-stage silicidation process
KR101851727B1 (ko) * 2011-12-16 2018-06-12 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
WO2013095548A1 (en) * 2011-12-22 2013-06-27 Intel Corporation Gate aligned contact and method to fabricate same
US8772159B2 (en) * 2012-02-01 2014-07-08 United Microelectronics Corp. Method of fabricating electrical contact
US8969922B2 (en) * 2012-02-08 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistors and method of forming the same
US8860181B2 (en) * 2012-03-07 2014-10-14 United Microelectronics Corp. Thin film resistor structure
US8536040B1 (en) * 2012-04-03 2013-09-17 Globalfoundries Inc. Techniques for using material substitution processes to form replacement metal gate electrodes of semiconductor devices with self-aligned contacts
FR2990295B1 (fr) * 2012-05-04 2016-11-25 St Microelectronics Sa Procede de formation de contacts de grille, de source et de drain sur un transistor mos
US8987126B2 (en) * 2012-05-09 2015-03-24 GlobalFoundries, Inc. Integrated circuit and method for fabricating the same having a replacement gate structure
US20130309856A1 (en) * 2012-05-15 2013-11-21 International Business Machines Corporation Etch resistant barrier for replacement gate integration
US8759920B2 (en) * 2012-06-01 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US9130023B2 (en) * 2012-06-05 2015-09-08 Kabushiki Kaisha Toshiba Isolated insulating gate structure
US8679909B2 (en) * 2012-06-08 2014-03-25 Globalfoundries Singapore Pte. Ltd. Recessing and capping of gate structures with varying metal compositions
US9093551B2 (en) * 2012-07-06 2015-07-28 Globalfoundries Singapore Pte. Ltd. Method and apparatus for embedded NVM utilizing an RMG process
US20140051239A1 (en) * 2012-08-14 2014-02-20 International Business Machines Corporation Disposable carbon-based template layer for formation of borderless contact structures
US9461143B2 (en) * 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
JP6095927B2 (ja) * 2012-09-27 2017-03-15 エスアイアイ・セミコンダクタ株式会社 半導体集積回路装置
US8772101B2 (en) * 2012-11-08 2014-07-08 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices and the resulting device
US9029208B2 (en) * 2012-11-30 2015-05-12 International Business Machines Corporation Semiconductor device with replacement metal gate and method for selective deposition of material for replacement metal gate
US9054172B2 (en) * 2012-12-05 2015-06-09 United Microelectrnics Corp. Semiconductor structure having contact plug and method of making the same
US8900940B2 (en) * 2013-01-10 2014-12-02 Globalfoundries Inc. Reducing gate height variance during semiconductor device formation
US8980726B2 (en) * 2013-01-25 2015-03-17 Applied Materials, Inc. Substrate dicing by laser ablation and plasma etch damage removal for ultra-thin wafers
US20140209984A1 (en) * 2013-01-31 2014-07-31 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor Device With Multi Level Interconnects And Method Of Forming The Same
KR101993335B1 (ko) 2013-02-12 2019-06-27 삼성디스플레이 주식회사 유기 발광 표시 장치
US9337083B2 (en) * 2013-03-10 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer metal contacts
US9786597B2 (en) * 2013-03-11 2017-10-10 International Business Machines Corporation Self-aligned pitch split for unidirectional metal wiring
US8836129B1 (en) * 2013-03-14 2014-09-16 United Microelectronics Corp. Plug structure
US9093285B2 (en) * 2013-03-22 2015-07-28 United Microelectronics Corp. Semiconductor structure and process thereof
US20140306290A1 (en) * 2013-04-11 2014-10-16 International Business Machines Corporation Dual Silicide Process Compatible with Replacement-Metal-Gate
US8937359B2 (en) * 2013-05-15 2015-01-20 Globalfoundries Inc. Contact formation for ultra-scaled devices
US9349812B2 (en) * 2013-05-27 2016-05-24 United Microelectronics Corp. Semiconductor device with self-aligned contact and method of manufacturing the same
US9029920B2 (en) * 2013-06-04 2015-05-12 Globalfoundries Inc. Semiconductor devices and methods of fabrication with reduced gate and contact resistances
US9153498B2 (en) * 2013-07-22 2015-10-06 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting devices
US9324709B2 (en) * 2013-08-19 2016-04-26 Globalfoundries Inc. Self-aligned gate contact structure
US9105497B2 (en) * 2013-09-04 2015-08-11 Globalfoundries Inc. Methods of forming gate structures for transistor devices for CMOS applications
US9558999B2 (en) * 2013-09-12 2017-01-31 Globalfoundries Inc. Ultra-thin metal wires formed through selective deposition
US8962464B1 (en) * 2013-09-18 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-alignment for using two or more layers and methods of forming same
US9478534B2 (en) * 2013-10-08 2016-10-25 Globalfoundries Inc. Lateral BiCMOS replacement metal gate
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9312174B2 (en) * 2013-12-17 2016-04-12 United Microelectronics Corp. Method for manufacturing contact plugs for semiconductor devices
US9117927B2 (en) * 2014-01-17 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9397004B2 (en) * 2014-01-27 2016-07-19 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits with simultaneous formation of local contact openings
US9236437B2 (en) * 2014-02-20 2016-01-12 Globalfoundries Inc. Method for creating self-aligned transistor contacts
US9553028B2 (en) * 2014-03-19 2017-01-24 Globalfoundries Inc. Methods of forming reduced resistance local interconnect structures and the resulting devices
US9478636B2 (en) * 2014-05-16 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device including source/drain contact having height below gate stack
US9312182B2 (en) * 2014-06-11 2016-04-12 Globalfoundries Inc. Forming gate and source/drain contact openings by performing a common etch patterning process
US10998228B2 (en) * 2014-06-12 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnect with protection layer
KR102088200B1 (ko) * 2014-07-01 2020-03-13 삼성전자주식회사 반도체 소자 및 그 제조방법
US9754935B2 (en) * 2014-08-07 2017-09-05 International Business Machines Corporation Raised metal semiconductor alloy for self-aligned middle-of-line contact
US9502528B2 (en) * 2014-08-26 2016-11-22 Globalfoundries Inc. Borderless contact formation through metal-recess dual cap integration
US9349818B2 (en) * 2014-10-21 2016-05-24 United Microelectronics Corp. Metal-oxide-semiconductor transistor device having a drain side dummy contact
KR102224386B1 (ko) * 2014-12-18 2021-03-08 삼성전자주식회사 집적 회로 장치의 제조 방법
US9526436B2 (en) * 2015-05-19 2016-12-27 Samsung Electronics Co., Ltd Amplifiers including tunable tunnel field effect transistor pseudo resistors and related devices
US9559184B2 (en) * 2015-06-15 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Devices including gate spacer with gap or void and methods of forming the same
US9685340B2 (en) * 2015-06-29 2017-06-20 International Business Machines Corporation Stable contact on one-sided gate tie-down structure
US9831090B2 (en) * 2015-08-19 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor device having gate spacer protection layer
US9425105B1 (en) * 2015-09-15 2016-08-23 International Business Machines Corporation Semiconductor device including self-aligned gate structure and improved gate spacer topography
US9735052B2 (en) * 2015-10-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal lines for interconnect structure and method of manufacturing same
CN106920771B (zh) * 2015-12-28 2020-03-10 中芯国际集成电路制造(北京)有限公司 金属栅晶体管源漏区接触塞的制作方法
US10163704B2 (en) * 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US11088030B2 (en) * 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9947657B2 (en) * 2016-01-29 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9779984B1 (en) * 2016-03-25 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming trenches with different depths
US9824920B2 (en) * 2016-04-04 2017-11-21 Globalfoundries Inc. Methods of forming self-aligned contact structures by work function material layer recessing and the resulting devices
US9893062B2 (en) * 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9589807B1 (en) * 2016-05-25 2017-03-07 Globalfoundries Inc. Method for eliminating interlayer dielectric dishing and controlling gate height uniformity
US9917009B2 (en) * 2016-08-04 2018-03-13 Globalfoundries Inc. Methods of forming a through-substrate-via (TSV) and a metallization layer after formation of a semiconductor device
US9941162B1 (en) * 2016-11-17 2018-04-10 Globalfoundries Inc. Self-aligned middle of the line (MOL) contacts
US10283406B2 (en) * 2017-01-23 2019-05-07 International Business Machines Corporation Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
US10453751B2 (en) * 2017-02-14 2019-10-22 Globalfoundries Inc. Tone inversion method and structure for selective contact via patterning
US10211302B2 (en) * 2017-06-28 2019-02-19 International Business Machines Corporation Field effect transistor devices having gate contacts formed in active region overlapping source/drain contacts
DE102018102685A1 (de) * 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Kontaktbildungsverfahren und zugehörige Struktur
US10636697B2 (en) * 2017-11-30 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation method and related structure
US10763208B2 (en) * 2018-08-13 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10790376B2 (en) * 2018-08-20 2020-09-29 Globalfoundries Inc. Contact structures
US10854518B2 (en) * 2018-10-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Configuring different via sizes for bridging risk reduction and performance improvement

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020025669A1 (en) * 2000-08-28 2002-02-28 Hwang Min-Wk Methods of forming a contact structure in a semiconductor device
CN101483184A (zh) * 2008-01-07 2009-07-15 东部高科股份有限公司 图像传感器及其制造方法
CN102768848A (zh) * 2011-05-02 2012-11-07 海力士半导体有限公司 半导体器件、半导体组件及制造方法
CN103199063A (zh) * 2012-01-06 2013-07-10 格罗方德半导体公司 具电介质帽盖于接触件上的半导体设备及相关的制造方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106935510A (zh) * 2015-12-30 2017-07-07 台湾积体电路制造股份有限公司 半导体装置及其制造方法
US10916475B2 (en) 2015-12-30 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US11088030B2 (en) 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
CN107424918A (zh) * 2016-04-04 2017-12-01 格罗方德半导体公司 以功函数材料层凹陷形成自对准接触结构的方法及其产生装置
CN107424918B (zh) * 2016-04-04 2019-04-09 格罗方德半导体公司 以功函数材料层凹陷形成自对准接触结构的方法
CN108122827A (zh) * 2016-11-29 2018-06-05 台湾积体电路制造股份有限公司 集成电路及其形成方法
US10804149B2 (en) 2016-11-29 2020-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
CN108122827B (zh) * 2016-11-29 2021-04-06 台湾积体电路制造股份有限公司 集成电路及其形成方法
US11532515B2 (en) 2016-11-29 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same

Also Published As

Publication number Publication date
US20160027692A1 (en) 2016-01-28
KR101607399B1 (ko) 2016-03-29
CN104600023B (zh) 2018-08-07
KR20150050448A (ko) 2015-05-08
US20200286782A1 (en) 2020-09-10
US11735477B2 (en) 2023-08-22
US20150118837A1 (en) 2015-04-30
US9153483B2 (en) 2015-10-06
US10672656B2 (en) 2020-06-02

Similar Documents

Publication Publication Date Title
US20200286782A1 (en) Method of Semiconductor Integrated Circuit Fabrication
CN106206413B (zh) 形成源极/漏极接触件的方法
CN105280700B (zh) 用于mosfet器件的结构和方法
TWI556352B (zh) 半導體積體電路與其製作方法
CN107068757B (zh) Finfet器件及其形成方法
JP5677809B2 (ja) 集積回路および集積回路の製造方法
KR20180101953A (ko) 집적회로 소자
US10804264B2 (en) Integrated circuit device
US9153484B2 (en) Methods of forming integrated circuits
TW201937675A (zh) 接觸結構
US9496180B2 (en) Method of semiconductor integrated circuit fabrication
US20200152507A1 (en) Integrated circuit with conductive line having line-ends
US20190131436A1 (en) Field effect transistor and method of forming the same
US9653364B1 (en) FinFET device and method of forming the same
TWI749986B (zh) 半導體元件及其形成方法
TW202221762A (zh) 半導體裝置以及其形成方法
TWI670770B (zh) 用於形成自對準接觸物的擴大犧牲閘極覆蓋物
US9627537B1 (en) FinFET device and method of forming the same
US20240014283A1 (en) Semiconductor device with backside power rail
TW202410203A (zh) 半導體裝置及其製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant