CN102054741A - 形成集成电路结构的方法 - Google Patents

形成集成电路结构的方法 Download PDF

Info

Publication number
CN102054741A
CN102054741A CN201010518038XA CN201010518038A CN102054741A CN 102054741 A CN102054741 A CN 102054741A CN 201010518038X A CN201010518038X A CN 201010518038XA CN 201010518038 A CN201010518038 A CN 201010518038A CN 102054741 A CN102054741 A CN 102054741A
Authority
CN
China
Prior art keywords
fin
insulation layer
channel isolation
shallow channel
top surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201010518038XA
Other languages
English (en)
Other versions
CN102054741B (zh
Inventor
袁锋
李宗霖
陈宏铭
张长昀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102054741A publication Critical patent/CN102054741A/zh
Application granted granted Critical
Publication of CN102054741B publication Critical patent/CN102054741B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

本发明提供一种形成集成电路结构的方法,包括:提供一半导体基底,包括一顶部表面;形成一第一绝缘区和一第二绝缘区于半导体基底中;及凹陷化第一绝缘区和第二绝缘区,其中第一绝缘区和第二绝缘区剩余部分的顶部表面是平坦表面或凹陷表面,且其中位于第一绝缘区和第二绝缘区的移除部分之间,且邻接两者的部分半导体基底形成一鳍。通过于鳍式场效应晶体管的栅电极下形成平坦浅沟槽隔离区或凹陷浅沟槽隔离区,可减少鳍式场效应晶体管的寄生栅极电容,且也可增加对应鳍式场效应晶体管的速度。

Description

形成集成电路结构的方法
技术领域
本发明涉及一种集成电路,特别涉及一种半导体鳍和鳍式场效应晶体管的结构和制造方法。
背景技术
随着集成电路的微缩和速度的要求增加,晶体管需要具有较高的驱动电流和较小的尺寸。因此,发展出鳍式场效应晶体管(fin field-effect transistor,以下可简称FinFET)。图1揭示一传统鳍式场效应晶体管的剖面图,其中剖面是横跨鳍,而不是横跨源极区和漏极区。鳍100延伸至基底102上的垂直硅鳍用来形成源极区、漏极区(未示出)和其间的沟道区。浅沟槽隔离区120形成用来定义鳍100。栅极108是形成于鳍100上方。栅极介电层106分隔鳍100和栅极108。
在形成浅沟槽隔离区120的过程中,使用湿蚀刻于浅沟槽隔离区120的顶部表面形成凹槽,以形成鳍100。可观察到的是,由于湿蚀刻工艺,浅沟槽隔离区120中央部分的表面低于邻近鳍100的部分表面。因此,浅沟槽隔离区120具有微笑形的轮廓。
可理解的是,栅极108和半导体条122间产生寄生电容(显示为电容器110),其中浅沟槽隔离区120用作寄生电容器110的绝缘层。寄生电容对相对集成电路的效能会造成不利的影响,因此需要减少寄生电容。
发明内容
为克服现有技术中的缺陷,本发明提供一种形成集成电路结构的方法,包括:提供一半导体基底,包括一顶部表面;形成一第一绝缘区和一第二绝缘区于半导体基底中;及凹陷化第一绝缘区和第二绝缘区,其中第一绝缘区和第二绝缘区剩余部分的顶部表面是平坦表面或凹陷表面,且其中位于第一绝缘区和第二绝缘区的移除部分之间,且邻接两者的部分半导体基底形成一鳍。
本发明提供一种形成集成电路结构的方法,包括:提供一硅基底;形成一多个浅沟槽隔离区于硅基底中;使用SiCoNi工艺,移除上述浅沟槽隔离区的顶部部分,以形成一第一硅鳍和一第二硅鳍,其中第一硅鳍和第二硅鳍水平的位于上述浅沟槽隔离区的剩余下部部分之间和上方;及形成一鳍式场效应晶体管,包括:形成一栅极介电层于第一硅鳍和第二硅鳍的顶部表面和侧壁;形成一栅电极于栅极介电层上,其中栅电极直接从第一硅鳍上方延伸至第二硅鳍上方。
通过于鳍式场效应晶体管的栅电极下形成平坦浅沟槽隔离区或凹陷浅沟槽隔离区,可减少鳍式场效应晶体管的寄生栅极电容,且也可增加对应鳍式场效应晶体管的速度。
为让本发明的上述目的、特征及优点能更明显易懂,下文特举一优选实施例,并配合附图,进行详细说明。
附图说明
图1显示一传统鳍式场效应晶体管的剖面图。
图2至图8C显示本发明一实施例制造鳍式场效应晶体管的中间阶段的剖面图。
图9A至图9C显示用以进行模拟的结构。
其中,附图标记说明如下:
20~半导体基底;    22~垫层;
24~掩模层;        26~光致抗蚀剂;
28~开口            32~沟槽;
34~衬氧化层;      36~介电材料;
40~浅沟槽隔离区;  42~半导体条;
52~凹槽            60~鳍;
61~中间线;        62~栅极介电层;
64~栅电极;        66~鳍式场效应晶体管;
100~鳍;           102~基底;
106~栅极介电层;   108~栅极;
110~电容器;       120~浅沟槽隔离区;
122~半导体条;     240~浅沟槽隔离区;
260~鳍;           264~栅电极;
2641~栅极部分;    2642~栅极部分;
2643~栅极部分;    270~电容器。
具体实施方式
以下详细讨论揭示实施例的制造和使用。然而,可以理解的是,实施例提供许多可应用的发明概念,其可以较广的变化实施。所讨论的特定实施例仅用来揭示制造和使用实施例的特定方法,而不用来限定揭示的范畴。
以下提供形成浅沟槽隔离区和鳍式场效应晶体管的新颖方法,其中揭示制作实施例的中间阶段,并讨论实施例的变化。在所有的附图和揭示的实施例中,相似的单元使用类似的标号。
请参照图2,提供半导体基底20。在一实施例中,半导体基底20包括硅。半导体基底20另可包括其它常用的材料,例如碳、锗、镓、砷、氮、铟和/或磷,和类似的材料。半导体基底20可以是硅块材或绝缘层上覆半导体(semiconductor-on-insulator)基底。
形成垫层22和掩模层24于半导体基底20上方。垫层22可以是例如使用热氧化工艺形成的氧化硅。垫层22可用作半导体基底20和掩模层24间的粘合层。垫层22也可以用作蚀刻掩模层24的停止层。在一实施例中,掩模层24是例如使用低压化学气相沉积法(LPCVD)形成的氮化硅。在其它的实施例中,掩模层24是由热氮化硅、等离子体辅助化学气相沉积法(PECVD)或等离子体阳极氮化法(plasma anodic nitridation)形成。掩模层24是用作后续光刻的硬式掩模。形成光致抗蚀剂26于掩模层24上,且对其图案化,于光致抗蚀剂26中形成开口28。
请参照图3,经由开口28蚀刻掩模层24和垫层22,暴露下方的半导体基底20。之后蚀刻暴露的半导体基底20,形成沟槽32。沟槽32间的部分半导体基底20形成半导体条42。沟槽32可以是彼此平行的条状(在俯视图),且紧密的相邻。例如,沟槽32间的间距可约小于30nm。之后移除光致抗蚀剂26。接着,进行一清洗步骤,移除半导体基底20的自生氧化层(native oxide)。上述清洗步骤可使用稀释的氢氟酸(dilute HF)。
沟槽32的深度可约介于2100埃至2500埃之间,而宽度可约介于300埃至1500埃之间。在一示范的实施例中,沟槽32的深宽比(D/W)约大于7.0。在其它的示范实施例中,虽然深宽比可能约为7.0,或介于7.0和8.0间,深宽比可甚至大于8.0。然而,本领域技术人员可理解所有叙述中的尺寸和数值仅是范例,其可改变以符合不同比例的集成电路。
之后如图4所示,于沟槽32中形成衬氧化层34。在一实施例中,衬氧化层34可以是厚度约为20埃~500埃的热氧化层。在其它的实施例中,衬氧化层34能使用现场水气生成(in-situ steam generation,简称ISSG)。在另外的实施例中,衬氧化层34可以使用可形成顺应性氧化层的沉积技术形成,例如选择性区域化学气相沉积法(selective area chemical vapor deposition,简称SACVD)。衬氧化层34的形成可圆化沟槽32的脚,因而减少电场,改进制作出集成电路的效能。
请参照图5,于沟槽32中填入介电材料36,介电材料36可包括氧化硅,因此其也可称为氧化物36。然而,介电材料36可以使用其它的介电材料,例如氮化硅、碳化硅或类似的材料。在一实施例中,氧化物36是使用高深宽比工艺(high aspect-ratio process,HARP)形成,其中工艺气体可包括正硅酸乙酯(TEOS)或臭氧。
接着进行化学机械研磨法,后续移除掩模层24和垫层22,所完成的结构如图6所示。沟槽32中剩余的氧化物36和衬氧化层34在以下称为浅沟槽隔离(STI)区40。掩模层24(若由氮化硅组成)能以热磷酸的湿蚀刻工艺移除,垫层22(若由氧化硅组成)可由稀释的氢氟酸移除。在另外的实施例中,可在浅沟槽隔离区40形成凹槽之后,移除掩模层24和垫层22,形成凹槽的步骤显示于图7A~图7C。
后续,图6所示的结构可用来形成FinFET的鳍。如图7A、图7B和图7C所示,浅沟槽隔离区40是使用蚀刻步骤进行凹陷,形成凹槽52。半导体条42突出剩余浅沟槽隔离区40顶部表面的部分成为鳍60。鳍60的高度H’可介于15nm至50nm之间,但其可以更大或更小。
在图7A、图7B和图7C的浅沟槽隔离区40的顶部表面具有不同的轮廓。在图7A和图7B的浅沟槽隔离区40具有凹陷(divot)轮廓。因此,图7A和7B的浅沟槽隔离区40称为凹陷(divot)浅沟槽隔离区。可观察到的是,相邻鳍60间的间隔具有中间线61,且靠近中间线61浅沟槽隔离区40的部分顶部表面高于靠近鳍60的浅沟槽隔离区40的部分顶部表面。换句话说,凹陷(divot)浅沟槽隔离区40的中央可以是最高的,且从中间线61至相对的鳍60,浅沟槽隔离区40的顶部表面渐渐的或持续的降低。在一实施例中,如图7A所示,浅沟槽隔离区40的顶部表面的最高点和最低点的高差ΔH约大于5nm,甚至大于20nm。如图7A所示,浅沟槽隔离区40的顶部表面可从中央线61至连接鳍60的位置连续且一路的下降。在另一实施例中,如图7B所示,浅沟槽隔离区40的顶部表面在邻接鳍60的位置稍微的升高。然而,浅沟槽隔离区40的顶部表面的最高点仍然接近中央线61。图7C揭示另一实施例,其中浅沟槽隔离区40的顶部表面大体上是平坦的。因此,对应的浅沟槽隔离区40称为平坦浅沟槽隔离区。
为了形成具有图7A、图7B和图7C轮廓的浅沟槽隔离区40,可进行一干蚀刻工艺。在一实施例中,蚀刻是使用Siconi(也称为SiCoNi)工艺,其中工艺气体包括NH3、HF和类似的气体。在一实施例中,NH3的流量约为10sccm和1000sccm,HF的流量约为100sccm和500sccm。HF和NH3与浅沟槽隔离区40中的氧化硅反应,形成(NH4)2SiF6,其聚积于浅沟槽隔离区40,阻挡更多的HF/NH3到达氧化硅。因此,蚀刻速率降低,侧向的蚀刻增加,可形成平坦浅沟槽隔离区40或凹陷浅沟槽隔离区40。
图8A、图8B和图8C揭示分别从图7A、图7B和图7C形成的鳍式场效应晶体管。在图8A、图8B和图8C中,形成栅极介电层62,以覆盖鳍60的顶部表面和侧壁。栅极介电层62可用热氧化法形成,因此可包括热氧化硅。在此实施例中,栅极介电层62是形成于鳍60的顶部表面,但没有形成在浅沟槽隔离区40的顶部表面的主要部分。在另一实施例中,栅极介电层62可以沉积法形成,且可由高介电常数材料组成。因此,栅极介电层62形成于鳍60的顶部表面和浅沟槽隔离区40的顶部表面(以虚线表示的部分)。直接位于浅沟槽隔离区40顶部表面上方的部分栅极介电层62,因此具有凹陷轮廓。之后,形成栅电极64于栅极介电层62上。在一实施例中,栅电极64覆盖超过一个鳍60,所以完成的鳍式场效应晶体管66包括超过一个鳍60。在另一实施例中,各个鳍60可用来形成一鳍式场效应晶体管。之后形成鳍式场效应晶体管的其它组件,包括源极区和漏极区,和源极和漏极硅化物(未示出)。这些组件的形成工艺是此领域已知的技术,在此不重复说明。
以下进行模拟以了解浅沟槽隔离区240轮廓的效果。图9A、图9B和图9C是进行模拟的结构,分别包括凹陷浅沟槽隔离区240、平坦浅沟槽隔离区240和微笑形浅沟槽隔离区240。图9A、图9B和图9C的结构具有相同的鳍高度Hfin。模拟的结果显示图9A的寄生栅极电容最小,图9C的寄生栅极电容最大。由于图9A的鳍式场效应晶体管具有最小的寄生栅极电容,其相较于图9B、图9C的鳍式场效应晶体管有改善的速度。类似的,图9B的鳍式场效应晶体管相较于图9C的鳍式场效应晶体管有改善的速度。可能的解释是(请参照图9A)栅电极264分为相邻鳍260的部分264_1与通过部分264_1远离鳍260的部分264_2。栅极部分264_1对于控制其中的沟道有贡献。然而,栅极部分264_2不仅对于控制沟道没有贡献,且会产生寄生栅极电容,其中部分的寄生栅极电容以电容器270标示。因此,由于具有凹陷或平坦浅沟槽隔离区,栅极部分264_2的尺寸减少,更进一步来说,电容器270的电容绝缘层的有效厚度增加。因此,寄生栅极电容减少。
本发明的实施例具有许多优点。通过于鳍式场效应晶体管的栅电极下形成平坦浅沟槽隔离区或凹陷浅沟槽隔离区,可减少鳍式场效应晶体管的寄生栅极电容,且也可增加对应鳍式场效应晶体管的速度。
虽然本发明已公开优选实施例如上,然其并非用以限定本发明,任何本领域技术人员,在不脱离本发明的精神和范围内,当可做些许更动与润饰。另外,本发明不特别限定于特定说明书中描述的实施例的工艺、装置、制造方法、组成和步骤。本领域技术人员可根据本发明说明书的揭示,进一步发展出与本发明大体上具有相同功能或大体上可达成相同结果的工艺、装置、制造方法、组成和步骤。因此本发明的保护范围当视随附的权利要求所界定的保护范围为准。

Claims (10)

1.一种形成集成电路结构的方法,包括:
提供一半导体基底,包括一顶部表面;
形成一第一绝缘区和一第二绝缘区于该半导体基底中;及
凹陷化该第一绝缘区和该第二绝缘区,其中该第一绝缘区和该第二绝缘区剩余部分的顶部表面是平坦表面或凹陷表面,且其中位于该第一绝缘区和该第二绝缘区的移除部分之间,且邻接两者的部分半导体基底形成一鳍。
2.如权利要求1所述的形成集成电路结构的方法,其中该凹陷化的步骤包括SiCoNi工艺,其中该SiCoNi工艺包括使用HF和NH3作为工艺气体。
3.如权利要求1所述的形成集成电路结构的方法,还包括形成一鳍式场效应晶体管,包括:
形成一栅极介电层于该鳍的顶部表面和侧壁;及
形成一栅电极于该栅极介电层上,其中该栅电极包括直接位于该第一绝缘区和该第二绝缘区上方的部分。
4.如权利要求1所述的形成集成电路结构的方法,其中该第一绝缘区和该第二绝缘区剩余部分的顶部表面具有凹陷轮廓。
5.如权利要求1所述的形成集成电路结构的方法,其中该第一绝缘区和该第二绝缘区剩余部分的顶部表面大体上是平坦的。
6.一种形成集成电路结构的方法,包括:
提供一硅基底;
形成多个浅沟槽隔离区于该硅基底中;
使用SiCoNi工艺,移除所述多个浅沟槽隔离区的顶部部分,以形成一第一硅鳍和一第二硅鳍,其中该第一硅鳍和该第二硅鳍水平的位于所述多个浅沟槽隔离区的剩余下部部分之间和上方;及
形成一鳍式场效应晶体管,包括:
形成一栅极介电层于该第一硅鳍和该第二硅鳍的顶部表面和侧壁;
形成一栅电极于该栅极介电层上,其中该栅电极从直接该第一硅鳍上方延伸至该第二硅鳍上方。
7.如权利要求6所述的形成集成电路结构的方法,其中所述多个浅沟槽隔离区的下部部分的顶部表面是凹陷表面或平坦表面。
8.如权利要求6所述的形成集成电路结构的方法,其中该SiCoNi工艺包括使用HF和NH3作为工艺气体。
9.如权利要求6所述的形成集成电路结构的方法,其中所述多个浅沟槽隔离区之一的凹陷顶部表面在一点接合该第一硅鳍,且其中该接合点是该凹陷顶部表面的最低点。
10.如权利要求6所述的形成集成电路结构的方法,其中所述多个浅沟槽隔离区之一是位于该第一硅鳍和该第二硅鳍之间的中间浅沟槽隔离区,其中该中间浅沟槽隔离区的顶部表面的最高点邻近于该第一硅鳍和该第二硅鳍之间的中间线,且该中间浅沟槽隔离区的顶部表面的最低点邻近,但不是该中间浅沟槽隔离区和该第一硅鳍的接合点。
CN201010518038XA 2009-10-27 2010-10-20 形成集成电路结构的方法 Active CN102054741B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US25536509P 2009-10-27 2009-10-27
US61/255,365 2009-10-27
US12/843,693 2010-07-26
US12/843,693 US9953885B2 (en) 2009-10-27 2010-07-26 STI shape near fin bottom of Si fin in bulk FinFET

Publications (2)

Publication Number Publication Date
CN102054741A true CN102054741A (zh) 2011-05-11
CN102054741B CN102054741B (zh) 2013-11-06

Family

ID=43898800

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010518038XA Active CN102054741B (zh) 2009-10-27 2010-10-20 形成集成电路结构的方法

Country Status (4)

Country Link
US (1) US9953885B2 (zh)
JP (2) JP5632255B2 (zh)
CN (1) CN102054741B (zh)
TW (1) TWI436410B (zh)

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103219366A (zh) * 2012-01-20 2013-07-24 联华电子股份有限公司 鳍状场效晶体管结构及其制作方法
CN103295900A (zh) * 2012-03-02 2013-09-11 中芯国际集成电路制造(上海)有限公司 形成鳍部及鳍式场效应晶体管的方法
CN103871897A (zh) * 2014-02-21 2014-06-18 上海华力微电子有限公司 一种应用于finfet结构的化学机械研磨方法
CN103985711A (zh) * 2013-02-08 2014-08-13 台湾积体电路制造股份有限公司 具有减少的寄生电容量的FinFET及其制造方法
CN104051526A (zh) * 2013-03-13 2014-09-17 台湾积体电路制造股份有限公司 紧邻半导体鳍的沟渠及其形成方法
CN104051267A (zh) * 2013-03-13 2014-09-17 台湾积体电路制造股份有限公司 在sti沟槽中形成半导体材料的方法
WO2015054916A1 (zh) * 2013-10-14 2015-04-23 中国科学院微电子研究所 一种FinFET结构及其制造方法
CN104752186A (zh) * 2013-12-30 2015-07-01 台湾积体电路制造股份有限公司 半导体器件的半导体衬垫
CN104769722A (zh) * 2012-11-07 2015-07-08 国际商业机器公司 形成本地化的绝缘体上硅鳍式场效应晶体管的方法和结构
CN104810389A (zh) * 2014-01-23 2015-07-29 联华电子股份有限公司 半导体结构及其制作方法
CN105225955A (zh) * 2014-06-20 2016-01-06 中芯国际集成电路制造(上海)有限公司 鳍部和sti结构的制作方法、半导体器件及其制作方法
CN106298877A (zh) * 2015-06-25 2017-01-04 三星电子株式会社 半导体器件及其制造方法
CN106409748A (zh) * 2015-08-03 2017-02-15 联华电子股份有限公司 半导体元件及其制作方法
CN106505105A (zh) * 2015-09-04 2017-03-15 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN106952910A (zh) * 2015-12-30 2017-07-14 台湾积体电路制造股份有限公司 半导体结构及其制造方法
CN107958871A (zh) * 2016-10-17 2018-04-24 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN108122981A (zh) * 2016-11-29 2018-06-05 台湾积体电路制造股份有限公司 半导体装置的制造方法
CN108122985A (zh) * 2016-11-29 2018-06-05 台湾积体电路制造股份有限公司 鳍型场效晶体管及其制造方法
CN109478566A (zh) * 2016-06-17 2019-03-15 英特尔公司 具有与半导体鳍自对齐的栅电极的场效应晶体管
CN109585527A (zh) * 2017-09-28 2019-04-05 三星电子株式会社 集成电路器件
CN113642277A (zh) * 2021-08-10 2021-11-12 中国科学院上海微系统与信息技术研究所 栅极寄生电容建模方法
US11476338B2 (en) 2015-09-11 2022-10-18 Intel Corporation Aluminum indium phosphide subfin germanium channel transistors

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090127648A1 (en) * 2007-11-15 2009-05-21 Neng-Kuo Chen Hybrid Gap-fill Approach for STI Formation
JP2010199161A (ja) * 2009-02-23 2010-09-09 Renesas Electronics Corp 半導体集積回路装置及びその製造方法
US9893163B2 (en) * 2011-11-04 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3D capacitor and method of manufacturing same
KR101700213B1 (ko) * 2011-12-21 2017-01-26 인텔 코포레이션 금속 산화물 반도체 소자 구조용 핀의 형성 방법
US9117877B2 (en) * 2012-01-16 2015-08-25 Globalfoundries Inc. Methods of forming a dielectric cap layer on a metal gate structure
CN102646599B (zh) 2012-04-09 2014-11-26 北京大学 一种大规模集成电路中FinFET的制备方法
US8470714B1 (en) 2012-05-22 2013-06-25 United Microelectronics Corp. Method of forming fin structures in integrated circuits
US8883570B2 (en) 2012-07-03 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate FETs and methods for forming the same
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US9263585B2 (en) 2012-10-30 2016-02-16 Globalfoundries Inc. Methods of forming enhanced mobility channel regions on 3D semiconductor devices, and devices comprising same
US8987823B2 (en) 2012-11-07 2015-03-24 International Business Machines Corporation Method and structure for forming a localized SOI finFET
KR102013842B1 (ko) 2013-02-08 2019-08-26 삼성전자주식회사 반도체 소자의 제조 방법
KR102003276B1 (ko) 2013-02-14 2019-07-24 삼성전자 주식회사 반도체 소자 제조 방법
US8895446B2 (en) * 2013-02-18 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Fin deformation modulation
US8987791B2 (en) * 2013-02-27 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9362386B2 (en) 2013-02-27 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods for forming the same
US8975125B2 (en) 2013-03-14 2015-03-10 International Business Machines Corporation Formation of bulk SiGe fin with dielectric isolation by anodization
TWI587375B (zh) * 2013-03-27 2017-06-11 聯華電子股份有限公司 形成鰭狀結構的方法
KR102030329B1 (ko) 2013-05-30 2019-11-08 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US20140374807A1 (en) * 2013-06-19 2014-12-25 International Business Machines Corporation METHOD OF DEVICE ISOLATION IN CLADDING Si THROUGH IN SITU DOPING
US9287262B2 (en) * 2013-10-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Passivated and faceted for fin field effect transistor
US9214377B2 (en) * 2013-10-31 2015-12-15 Applied Materials, Inc. Methods for silicon recess structures in a substrate by utilizing a doping layer
US9159833B2 (en) * 2013-11-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9406547B2 (en) * 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
US20150206759A1 (en) * 2014-01-21 2015-07-23 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US9178067B1 (en) * 2014-04-25 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
EP2947693B1 (en) 2014-05-22 2022-07-13 IMEC vzw Method of Producing a III-V Fin Structure
US9520296B2 (en) 2014-06-12 2016-12-13 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device having a low divot of alignment between a substrate and an isolation thereof and method of forming the same
TWI615976B (zh) * 2014-07-07 2018-02-21 聯華電子股份有限公司 鰭式場效電晶體及其製造方法
CN104409353A (zh) * 2014-11-26 2015-03-11 上海华力微电子有限公司 嵌入式碳化硅的制备方法
US9659766B2 (en) 2014-12-19 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure with etched fin structure
US9379182B1 (en) 2015-02-03 2016-06-28 United Microelectronics Corp. Method for forming nanowire and semiconductor device formed with the nanowire
KR102269415B1 (ko) * 2015-03-16 2021-06-24 삼성전자주식회사 반도체 장치
KR102437295B1 (ko) 2015-11-09 2022-08-30 삼성전자주식회사 반도체 소자의 제조 방법
US9728397B1 (en) * 2016-05-10 2017-08-08 United Microelectronics Corp. Semiconductor device having the insulating layers cover a bottom portion of the fin shaped structure
CN107452792A (zh) * 2016-06-01 2017-12-08 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
JP6688698B2 (ja) * 2016-07-08 2020-04-28 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US10355110B2 (en) * 2016-08-02 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of forming same
US9882051B1 (en) * 2016-09-15 2018-01-30 Qualcomm Incorporated Fin field effect transistors (FETs) (FinFETs) employing dielectric material layers to apply stress to channel regions
US9773893B1 (en) 2016-09-26 2017-09-26 International Business Machines Corporation Forming a sacrificial liner for dual channel devices
US9917210B1 (en) 2016-10-20 2018-03-13 International Business Machines Corporation FinFET transistor gate and epitaxy formation
US9960275B1 (en) 2016-10-28 2018-05-01 Applied Materials, Inc. Method of fabricating air-gap spacer for N7/N5 finFET and beyond
US11270995B2 (en) * 2017-03-05 2022-03-08 Intel Corporation Isolation in integrated circuit devices
US10084094B1 (en) * 2017-03-17 2018-09-25 International Business Machines Corporation Wrapped source/drain contacts with enhanced area
US10497577B2 (en) * 2017-08-31 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US10211107B1 (en) 2017-09-10 2019-02-19 United Microelectronics Corp. Method of fabricating fins including removing dummy fins after fluorocarbon flush step and oxygen clean step
US10468501B2 (en) * 2017-09-29 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Gap-filling germanium through selective bottom-up growth
CN109786380B (zh) 2017-11-10 2020-11-10 联华电子股份有限公司 半导体存储装置的外延接触结构的制作方法
US10276449B1 (en) * 2017-11-24 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming fin field effect transistor (FinFET) device structure
US10832965B2 (en) * 2018-01-11 2020-11-10 Globalfoundries Inc. Fin reveal forming STI regions having convex shape between fins
US11121238B2 (en) * 2018-11-29 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN111799329A (zh) * 2019-04-08 2020-10-20 三星电子株式会社 半导体器件
US11043596B2 (en) * 2019-06-25 2021-06-22 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US10910276B1 (en) 2019-10-01 2021-02-02 Globalfoundries Inc. STI structure with liner along lower portion of longitudinal sides of active region, and related FET and method
KR20220014534A (ko) 2020-07-29 2022-02-07 삼성전자주식회사 반도체 장치
KR20220092104A (ko) 2020-12-24 2022-07-01 삼성전자주식회사 집적회로 소자

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6159801A (en) * 1999-04-26 2000-12-12 Taiwan Semiconductor Manufacturing Company Method to increase coupling ratio of source to floating gate in split-gate flash
US20050170593A1 (en) * 2004-02-02 2005-08-04 Hee-Soo Kang Method for forming a FinFET by a damascene process
CN101471281A (zh) * 2007-12-28 2009-07-01 海力士半导体有限公司 形成半导体存储器件隔离层的方法
US20090250769A1 (en) * 2007-04-27 2009-10-08 Chen-Hua Yu Semiconductor Device Having Multiple Fin Heights

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5763315A (en) 1997-01-28 1998-06-09 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
JP3415459B2 (ja) * 1998-12-07 2003-06-09 株式会社東芝 半導体装置及びその製造方法
US6352897B1 (en) * 1999-06-09 2002-03-05 United Microelectronics Corp. Method of improving edge recess problem of shallow trench isolation
KR100338767B1 (ko) * 1999-10-12 2002-05-30 윤종용 트렌치 소자분리 구조와 이를 갖는 반도체 소자 및 트렌치 소자분리 방법
JP2001135718A (ja) * 1999-11-08 2001-05-18 Nec Corp トレンチ分離構造の作製方法
JP2002033476A (ja) * 2000-07-13 2002-01-31 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2002118255A (ja) 2000-07-31 2002-04-19 Toshiba Corp 半導体装置およびその製造方法
KR100354439B1 (ko) * 2000-12-08 2002-09-28 삼성전자 주식회사 트렌치 소자 분리막 형성 방법
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US6551901B1 (en) * 2001-08-21 2003-04-22 Lsi Logic Corporation Method for preventing borderless contact to well leakage
US6541351B1 (en) 2001-11-20 2003-04-01 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes
TWI248159B (en) 2002-01-25 2006-01-21 Nanya Technology Corp Manufacturing method for shallow trench isolation with high aspect ratio
TW525265B (en) 2002-01-28 2003-03-21 Nanya Technology Corp Method for forming shallow trench isolation
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
KR20040050971A (ko) 2002-12-11 2004-06-18 삼성전자주식회사 반도체 소자를 제조하기 위한 갭 필 방법
JP2004207564A (ja) 2002-12-26 2004-07-22 Fujitsu Ltd 半導体装置の製造方法と半導体装置
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
KR100505419B1 (ko) * 2003-04-23 2005-08-04 주식회사 하이닉스반도체 반도체 소자의 소자분리막 제조방법
KR100521382B1 (ko) 2003-06-30 2005-10-12 삼성전자주식회사 핀 전계효과 트랜지스터 제조 방법
KR100513405B1 (ko) 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
JP2005236037A (ja) * 2004-02-19 2005-09-02 Toshiba Corp 半導体装置およびその製造方法
US7033945B2 (en) * 2004-06-01 2006-04-25 Applied Materials Gap filling with a composite layer
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7402856B2 (en) * 2005-12-09 2008-07-22 Intel Corporation Non-planar microelectronic device having isolation element to mitigate fringe effects and method to fabricate same
KR100763330B1 (ko) 2005-12-14 2007-10-04 삼성전자주식회사 활성 핀들을 정의하는 소자분리 방법, 이를 이용하는반도체소자의 제조방법 및 이에 의해 제조된 반도체소자
KR100739656B1 (ko) * 2006-06-08 2007-07-13 삼성전자주식회사 반도체 장치의 제조 방법
US7358145B2 (en) 2006-06-15 2008-04-15 Macronix International Co., Ltd. Method of fabricating shallow trench isolation structure
KR100816749B1 (ko) 2006-07-12 2008-03-27 삼성전자주식회사 소자분리막, 상기 소자분리막을 구비하는 비휘발성 메모리소자, 그리고 상기 소자분리막 및 비휘발성 메모리 소자형성 방법들
JP2008041901A (ja) 2006-08-04 2008-02-21 Toshiba Corp 半導体装置及びその製造方法
KR100838378B1 (ko) 2006-09-29 2008-06-13 주식회사 하이닉스반도체 핀트랜지스터의 제조 방법
US7939862B2 (en) 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US7846849B2 (en) * 2007-06-01 2010-12-07 Applied Materials, Inc. Frequency tripling using spacer mask having interposed regions
US7615840B2 (en) 2007-06-21 2009-11-10 Infineon Technologies Ag Device performance improvement using flowfill as material for isolation structures
JP2009032955A (ja) 2007-07-27 2009-02-12 Toshiba Corp 半導体装置、およびその製造方法
US20090127648A1 (en) 2007-11-15 2009-05-21 Neng-Kuo Chen Hybrid Gap-fill Approach for STI Formation
KR101003496B1 (ko) 2008-09-29 2010-12-30 주식회사 하이닉스반도체 소자분리 구조 및 리세스 게이트를 포함하는 반도체 소자 및 제조 방법
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8043933B2 (en) * 2008-11-24 2011-10-25 Applied Materials, Inc. Integration sequences with top surface profile modification
US8058179B1 (en) * 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US9159808B2 (en) * 2009-01-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etch-back process for semiconductor devices
US8319311B2 (en) 2009-03-16 2012-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid STI gap-filling approach

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6159801A (en) * 1999-04-26 2000-12-12 Taiwan Semiconductor Manufacturing Company Method to increase coupling ratio of source to floating gate in split-gate flash
US20050170593A1 (en) * 2004-02-02 2005-08-04 Hee-Soo Kang Method for forming a FinFET by a damascene process
US20090250769A1 (en) * 2007-04-27 2009-10-08 Chen-Hua Yu Semiconductor Device Having Multiple Fin Heights
CN101471281A (zh) * 2007-12-28 2009-07-01 海力士半导体有限公司 形成半导体存储器件隔离层的方法

Cited By (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103219366A (zh) * 2012-01-20 2013-07-24 联华电子股份有限公司 鳍状场效晶体管结构及其制作方法
CN103295900A (zh) * 2012-03-02 2013-09-11 中芯国际集成电路制造(上海)有限公司 形成鳍部及鳍式场效应晶体管的方法
CN103295900B (zh) * 2012-03-02 2016-08-10 中芯国际集成电路制造(上海)有限公司 形成鳍部及鳍式场效应晶体管的方法
CN104769722A (zh) * 2012-11-07 2015-07-08 国际商业机器公司 形成本地化的绝缘体上硅鳍式场效应晶体管的方法和结构
CN104769722B (zh) * 2012-11-07 2017-07-04 国际商业机器公司 形成本地化的绝缘体上硅鳍式场效应晶体管的方法和结构
CN103985711A (zh) * 2013-02-08 2014-08-13 台湾积体电路制造股份有限公司 具有减少的寄生电容量的FinFET及其制造方法
CN103985711B (zh) * 2013-02-08 2017-04-12 台湾积体电路制造股份有限公司 具有减少的寄生电容量的FinFET及其制造方法
US9564353B2 (en) 2013-02-08 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with reduced parasitic capacitance and methods of forming the same
CN104051267A (zh) * 2013-03-13 2014-09-17 台湾积体电路制造股份有限公司 在sti沟槽中形成半导体材料的方法
CN104051526A (zh) * 2013-03-13 2014-09-17 台湾积体电路制造股份有限公司 紧邻半导体鳍的沟渠及其形成方法
CN104051526B (zh) * 2013-03-13 2017-05-03 台湾积体电路制造股份有限公司 紧邻半导体鳍的沟渠及其形成方法
CN104051267B (zh) * 2013-03-13 2017-03-01 台湾积体电路制造股份有限公司 在sti沟槽中形成半导体材料的方法
WO2015054916A1 (zh) * 2013-10-14 2015-04-23 中国科学院微电子研究所 一种FinFET结构及其制造方法
CN104576383A (zh) * 2013-10-14 2015-04-29 中国科学院微电子研究所 一种FinFET结构及其制造方法
CN104576383B (zh) * 2013-10-14 2017-09-12 中国科学院微电子研究所 一种FinFET结构及其制造方法
US10727301B2 (en) 2013-12-30 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor liner of semiconductor device
CN104752186A (zh) * 2013-12-30 2015-07-01 台湾积体电路制造股份有限公司 半导体器件的半导体衬垫
US10269901B2 (en) 2013-12-30 2019-04-23 Taiwan Semiconductor Manufacturing Company Semiconductor liner of semiconductor device
CN104752186B (zh) * 2013-12-30 2018-05-15 台湾积体电路制造股份有限公司 半导体器件的半导体衬垫
CN104810389A (zh) * 2014-01-23 2015-07-29 联华电子股份有限公司 半导体结构及其制作方法
CN103871897A (zh) * 2014-02-21 2014-06-18 上海华力微电子有限公司 一种应用于finfet结构的化学机械研磨方法
CN105225955A (zh) * 2014-06-20 2016-01-06 中芯国际集成电路制造(上海)有限公司 鳍部和sti结构的制作方法、半导体器件及其制作方法
CN106298877A (zh) * 2015-06-25 2017-01-04 三星电子株式会社 半导体器件及其制造方法
CN106298877B (zh) * 2015-06-25 2021-04-23 三星电子株式会社 半导体器件及其制造方法
CN106409748A (zh) * 2015-08-03 2017-02-15 联华电子股份有限公司 半导体元件及其制作方法
CN106409748B (zh) * 2015-08-03 2020-11-17 联华电子股份有限公司 半导体元件及其制作方法
US10504787B2 (en) 2015-09-04 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET with sloped surface at interface between isolation structures
US10998235B2 (en) 2015-09-04 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET with sloped surface at interface between isolation structures and manufacturing method thereof
US10727135B2 (en) 2015-09-04 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET with sloped surface at interface between isolation structures and manufacturing method thereof
CN106505105A (zh) * 2015-09-04 2017-03-15 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN106505105B (zh) * 2015-09-04 2019-08-02 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11476338B2 (en) 2015-09-11 2022-10-18 Intel Corporation Aluminum indium phosphide subfin germanium channel transistors
CN106952910B (zh) * 2015-12-30 2020-12-18 台湾积体电路制造股份有限公司 半导体结构及其制造方法
US11145510B2 (en) 2015-12-30 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device over convex insulating structure
CN106952910A (zh) * 2015-12-30 2017-07-14 台湾积体电路制造股份有限公司 半导体结构及其制造方法
US10460942B2 (en) 2015-12-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor structure
US11276755B2 (en) 2016-06-17 2022-03-15 Intel Corporation Field effect transistors with gate electrode self-aligned to semiconductor fin
CN109478566A (zh) * 2016-06-17 2019-03-15 英特尔公司 具有与半导体鳍自对齐的栅电极的场效应晶体管
CN107958871A (zh) * 2016-10-17 2018-04-24 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN107958871B (zh) * 2016-10-17 2020-10-30 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN108122985A (zh) * 2016-11-29 2018-06-05 台湾积体电路制造股份有限公司 鳍型场效晶体管及其制造方法
CN108122981A (zh) * 2016-11-29 2018-06-05 台湾积体电路制造股份有限公司 半导体装置的制造方法
CN108122985B (zh) * 2016-11-29 2022-11-18 台湾积体电路制造股份有限公司 鳍型场效晶体管及其制造方法
CN108122981B (zh) * 2016-11-29 2023-01-06 台湾积体电路制造股份有限公司 半导体装置的制造方法
CN109585527A (zh) * 2017-09-28 2019-04-05 三星电子株式会社 集成电路器件
CN109585527B (zh) * 2017-09-28 2024-02-06 三星电子株式会社 集成电路器件
CN113642277A (zh) * 2021-08-10 2021-11-12 中国科学院上海微系统与信息技术研究所 栅极寄生电容建模方法

Also Published As

Publication number Publication date
JP2014199942A (ja) 2014-10-23
TW201118933A (en) 2011-06-01
JP5632255B2 (ja) 2014-11-26
JP2011097057A (ja) 2011-05-12
CN102054741B (zh) 2013-11-06
US20110097889A1 (en) 2011-04-28
JP5836437B2 (ja) 2015-12-24
TWI436410B (zh) 2014-05-01
US9953885B2 (en) 2018-04-24

Similar Documents

Publication Publication Date Title
CN102054741B (zh) 形成集成电路结构的方法
CN102074572B (zh) 集成电路结构
CN102044469B (zh) 集成电路结构及其形成方法
CN103985711B (zh) 具有减少的寄生电容量的FinFET及其制造方法
CN102034868B (zh) 半导体装置及场效应晶体管
CN103367442B (zh) 鳍式场效应晶体管的栅极堆叠件
US8470714B1 (en) Method of forming fin structures in integrated circuits
TWI509736B (zh) 半導體結構及其形成方法
US10854602B2 (en) FinFET device and method for fabricating the same
US20160163700A1 (en) Fin Deformation Modulation
US9006067B2 (en) Semiconductor device and method of fabricationg the same
CN101593718A (zh) 形成集成电路结构的方法
CN100580927C (zh) 半导体结构及其形成方法
CN103515282A (zh) 一种鳍式场效应晶体管及其形成方法
CN105355658A (zh) 鳍状场效晶体管元件及其制造方法
CN103165428B (zh) 制作半导体器件的方法
CN103367131B (zh) 鳍部、鳍部及鳍式场效应晶体管的形成方法
CN106972021A (zh) 一种半导体器件及其制作方法、电子装置
CN105355652A (zh) 金属栅极结构及其制造方法
CN104332410A (zh) 一种鳍式场效应晶体管的制造方法
CN106298913A (zh) 半导体元件及其制造方法
CN103578995B (zh) 形成FinFET的方法
CN103137467A (zh) 移除氧化层的半导体制作工艺
TWI527094B (zh) 形成積體電路中鰭狀結構的方法
US9419076B1 (en) Bipolar junction transistor

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant