TWI437655B - 基板處理裝置、基板處理裝置的溫度控制方法及基板處理裝置的加熱方法 - Google Patents

基板處理裝置、基板處理裝置的溫度控制方法及基板處理裝置的加熱方法 Download PDF

Info

Publication number
TWI437655B
TWI437655B TW100137618A TW100137618A TWI437655B TW I437655 B TWI437655 B TW I437655B TW 100137618 A TW100137618 A TW 100137618A TW 100137618 A TW100137618 A TW 100137618A TW I437655 B TWI437655 B TW I437655B
Authority
TW
Taiwan
Prior art keywords
temperature
gas
control
radiation thermometer
thermocouple
Prior art date
Application number
TW100137618A
Other languages
English (en)
Other versions
TW201230229A (en
Inventor
Shinobu Sugiura
Masaaki Ueno
Kazuo Tanaka
Masashi Sugishita
Hideto Yamaguchi
Kenji Shirako
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201230229A publication Critical patent/TW201230229A/zh
Application granted granted Critical
Publication of TWI437655B publication Critical patent/TWI437655B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1927Control of temperature characterised by the use of electric means using a plurality of sensors
    • G05D23/193Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces
    • G05D23/1931Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces to control the temperature of one space
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/20Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature
    • G05D23/22Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature the sensing element being a thermocouple
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Remote Sensing (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)

Description

基板處理裝置、基板處理裝置的溫度控制方法及基板處理裝置的加熱方法
本發明係關於一種進行擴散或CVD處理,並且進行所希望的熱處理之基板處理裝置。
立式熱擴散裝置或立式減壓CVD裝置,係例如為在處理基板上形成薄膜而將基板收容於熱處理爐內,以預定的加熱手段加熱熱處理爐內。而且,大多情況下係利用設置於熱處理爐的溫度感測器檢測熱處理爐內的溫度,按照其結果控制溫度。
例如,專利文獻1揭示一種關於即使產生伴隨迅速冷卻的干擾,也多半能利用穩定的反饋控制來控制溫度的半導體製造裝置。在此半導體製造裝置方面,升溫步驟及目標溫度的維持,係使用設置於加熱熱處理爐內的加熱器附近的熱電偶(加熱熱電偶)、及設置於熱處理爐內部的均熱管與反應管之間的熱電偶(串聯熱電偶)進行串聯控制環路的溫度控制,降低加熱器的溫度時,則切換為只用串聯熱電偶的直接控制環路來進行溫度控制。
【先前技術文獻】 【專利文獻】
【專利文獻1】特開2004-119804號公報
例如,以往對於檢測立式熱擴散裝置的熱處理爐內的溫度的溫度感測器,一般使用R型熱電偶。然而,若在立式熱擴散裝置,特別是處理溫度為高溫(1000℃以上)的裝置中使用R型熱電偶,則有熱電偶提前斷線的問題。此被認為是因熱電偶的+側素線與-側素線的熱膨脹率之差而於高溫時產生伸縮量之差造成熱電偶變形,加上因反覆的升降溫而反覆變形,素線劣化,導致斷線。再者,其他的要因則係,熱電偶結晶因在高溫的使用而肥大化,在晶粒界面的強度變弱,導致斷線。
相對於此,比R型熱電偶耐熱性佳的B型熱電偶則存在著熱電動勢小、低溫的測定困難之類的問題。
再者,所謂R型熱電偶及B型熱電偶,係指JIS規格C1602所規定的熱電偶。更具體而言,所謂R型熱電偶,係使用含銠13%的鉑銠合金作為+腳的構成材料、使用鉑作為-腳的構成材料的熱電偶。此外,所謂B型熱電偶,係使用含銠30%的鉑銠合金作為+腳的構成材料、使用含銠6%的鉑銠合金作為-腳的構成材料的熱電偶。
此外,例如使用輻射溫度計檢測熱處理爐內的溫度的情況下,由於輻射溫度計利用波長測定對象物的溫度,所以可測定的溫度範圍受到限制。於是,要實現廣大範圍的溫度測定,需要如低溫用輻射溫度計、高溫用輻射溫度計之類的複數種的輻射溫度計。然而,在依據溫度帶切換控制複數種的輻射溫度計的情況下,有切換時的溫度測定值及進行切換的溫度附近的溫度測定值不穩定的問題。
本發明的目的在於提供一種在使用溫度感測器控制熱處理之際能抑制其不良情形的基板處理裝置。
為了達成上述目的,本發明的基板處理裝置具有:加熱手段,其係加熱收容基板的處理室;第1溫度檢測手段,其係利用熱電偶檢測前述基板附近的溫度;第2溫度檢測手段,其係利用熱電偶檢測前述加熱手段附近的溫度;第1控制手段,其係根據由前述第1溫度檢測手段檢測出的溫度、及由前述第2溫度檢測手段檢測出的溫度,控制前述加熱手段;第2控制手段,其係根據由前述第2溫度檢測手段檢測出的溫度,控制前述加熱手段;及控制切換手段,其係按照由前述第1溫度檢測手段或前述第2溫度檢測手段檢測出的溫度,切換由前述第1控制手段所進行的前述加熱手段的控制與由前述第2控制手段所進行的前述加熱手段的控制;在第1溫度檢測手段所使用的熱電偶比在第2溫度檢測手段所使用的熱電偶更擁有較佳耐熱性的特性,用於第2溫度檢測手段的熱電偶比用於第1溫度檢測手段的熱電偶更擁有較佳溫度檢測性能的特性。
此外,本發明之基板處理裝置的溫度控制方法,係利用加熱手段加熱收容基板的處理室;利用熱電偶,藉由第1溫度檢測手段檢測前述基板附近的溫度;利用熱電偶,藉由第2溫度檢測手段檢測前述加熱手段附近的溫度;按照由前述第1溫度檢測手段或前述第2溫度檢測手段檢測出的溫度,切換第1控制及第2控制,前述第1控制係根據由前述第1溫度檢測手段檢測出的溫度、及由前述第2溫度檢測手段檢測出的溫度控制前述加熱手段,前述第2控制係根據由前述第2溫度檢測手段檢測出的溫度控制前述加熱手段;在第1溫度檢測手段所使用的熱電偶比在第2溫度檢測手段所使用的熱電偶更有較佳耐熱性的特性,用於第2溫度檢測手段的熱電偶比用於第1溫度檢測手段的熱電偶更有較佳溫度檢測性能的特性。
另外,本發明之基板處理裝置具有:加熱手段,其係加熱收容基板的處理室;第1溫度檢測手段,其係使用第1輻射溫度計檢測由前述加熱手段加熱的溫度;第2溫度檢測手段,其係使用第2輻射溫度計來檢測由前述加熱手段加熱的溫度,而前述第2輻射溫度計是將比由第1輻射溫度計測定的溫度範圍的上限更高的溫度作為所測定的溫度範圍的上限,且以比由第1輻射溫度計測定的溫度範圍的下限更高的溫度作為所測定的溫度範圍的下限;第1控制手段,其係根據由前述第1溫度檢測手段檢測出的溫度,控制前述加熱手段;第2控制手段,其係根據由前述第2溫度檢測手段檢測出的溫度,控制前述加熱手段;及控制切換手段,其係根據由前述第1溫度檢測手段或由前述第2溫度檢測手段檢測出的溫度與預定的臨界值,切換由前述第1控制手段所進行的前述加熱手段的控制與由前述第2控制手段所進行的前述加熱手段的控制。
另外,本發明之基板處理裝置的加熱方法,係利用加熱手段加熱收容基板的處理室;使用第1輻射溫度計,藉由第1溫度檢測手段檢測由前述加熱手段加熱的溫度;使用第2輻射溫度計藉由第2溫度檢測手段來檢測由前述加熱手段加熱的溫度,而該第2輻射溫度計是將比由第1輻射溫度計測定的溫度範圍的上限更高的溫度作為所測定的溫度範圍的上限,且以比由第1輻射溫度計測定的溫度範圍的下限更高的溫度為所測定的溫度範圍的下限;根據由前述第1溫度檢測手段或由前述第2溫度檢測手段檢測出的溫度與預定的臨界值來切換第1控制手段與第2控制手段,而該第1控制手段係根據由前述第1溫度檢測手段檢測出的溫度控制前述加熱手段,而該第2控制手段係根據由前述第2溫度檢測手段檢測出的溫度控制前述加熱手段。
藉由本發明,可提供一種在使用溫度感測器控制熱處理之際可抑制其不良情形的基板處理裝置。
以下,根據圖式,就本發明的第1實施形態進行說明。
圖1為在本發明第1實施形態中適合使用的基板處理裝置即立式熱擴散裝置的處理爐202的示意結構圖,以縱剖面圖顯示。
如圖1所示,處理爐202具有作為加熱機構的加熱器206。加熱器206為圓筒形狀,藉由支持於作為保持板的加熱器底座251上而被垂直地安裝著。
在加熱器206的內側,和加熱器206同心圓狀地配設有由例如碳化矽(SiC)等的耐熱性材料構成、上端閉塞、下端有開口的圓筒形狀的均熱管(外管)205。此外,在均熱管205的內側,和均熱管205同心圓狀地配設有由例如石英(SiO2 )等的耐熱性材料構成、上端閉塞、下端有開口的圓筒形狀的反應管(內管)204。在反應管204的筒中空部形成有處理室201,構成為可在將作為基板的晶圓200利用後述的舟皿217以水平姿勢沿著垂直方向多層排列的狀態下收容。
在反應管204的下端部設有氣體導入部230,從氣體導入部230到反應管204的頂棚部233,沿著反應管204的外壁配設有作為氣體導入管的細管234。從氣體導入部230導入的氣體在細管234內流通而到達頂棚部233,從設於頂棚部233上的複數個氣體導入口233a被導入處理室201內。此外,在與反應管204下端部的氣體導入部230不同的位置上設有從排氣口231a排出反應管204內的氣體的氣體排氣部231。
氣體供應管232連接於氣體導入部230。未圖示的處理氣體供應源、載送氣體供應源、惰性氣體供應源經由作為氣體流量控制器的MFC(質流控制器)241而連接於和與氣體供應管232的氣體導入部230的連接側相反側的上游側。再者,需要供應水蒸氣給處理室201內的情況,在比氣體供應管232的MFC241更下游側設置未圖示的水蒸氣產生裝置。氣體流量控制部235電性連接於MFC241,構成為依所希望的時間點進行控制,以便供應的氣體的流量成為所希望的量。
氣體排氣管229連接於氣體排氣部231。排氣裝置246經由作為壓力檢測器的壓力感測器245及壓力調整裝置242而連接於和與氣體排氣管229的氣體排氣部231的連接側相反側的下游側,構成為使處理室201內的壓力成為既定的壓力而可進行排氣。壓力控制部236電性連接於壓力調整裝置242及壓力感測器245,壓力控制部236構成為根據由壓力感測器245檢測出的壓力,利用壓力調整裝置242依所希望的時間點進行控制,以便處理室201內的壓力成為所希望的壓力。
在反應管204的下端部設有作為可氣密地閉塞反應管204的下端開口的保持體的底座257、及作為爐口蓋體的密封蓋219。密封蓋219係由例如不銹鋼等的金屬構成,形成為圓盤狀。底座257係由例如石英構成,形成為圓盤狀,安裝於密封蓋219之上。在底座257的上面設置作為與反應管204的下端抵接的密封構件的O型環220。在密封蓋219的和處理室201相反側設有使舟皿旋轉的旋轉機構254。旋轉機構254的旋轉軸255貫穿密封蓋219與底座257,連接於後述的隔熱筒218與舟皿217,構成為藉由使隔熱筒218及舟皿217旋轉而使晶圓200旋轉。密封蓋219構成為,藉由作為升降機構而垂直裝備於反應管204外部的舟皿升降機115而沿著垂直方向升降,藉此可對於處理室201搬入搬出舟皿217。驅動控制部237電性連接於旋轉機構254及舟皿升降機115,構成為依所希望的時間點進行控制,以便進行所希望的動作。
作為基板保持器的舟皿217係由例如石英或碳化矽等的耐熱性材料構成,構成為使複數片的晶圓200在以水平姿勢且互相之間中心一致的狀態下排列保持。作為由例如石英或碳化矽等的耐熱性材料構成的呈圓筒形狀的隔熱構件的隔熱筒218以支持舟皿217的方式設於舟皿217的下方,構成為來自加熱器206的熱難以傳到反應管204的下端側。
作為溫度檢測器,有兩種感測器被設於處理爐202上。即,在均熱管205與反應管204之間設置複數個內部溫度感測器263作為溫度檢測器,並在均熱管205與加熱器206之間設置作為溫度檢測器的複數個外部溫度感測器264。此內部溫度感測器263及外部溫度感測器264使用熱電偶檢測溫度,例如內部溫度感測器263使用B型熱電偶,外部溫度感測器264使用R型熱電偶檢測溫度。再者,關於內部溫度感測器263及外部溫度感測器264,後述詳細。溫度控制部238電性連接於加熱器206、內部溫度感測器263及外部溫度感測器264,構成為依所希望的定時進行控制,以便藉由根據由內部溫度感測器263及外部溫度感測器264檢測出的溫度資訊調整對加熱器206的通電情況,而處理室201內的溫度成為所希望的溫度分布。
氣體流量控制部235、壓力控制部236、驅動控制部237、溫度控制部238也構成操作部、輸入輸出部,電性連接於控制基板處理裝置整體的主控制部239。此等氣體流量控制部235、壓力控制部236、驅動控制部237、溫度控制部238、主控制部239構成為控制器240。
其次,就使用關於上述結構的處理爐202,對晶圓200施以氧化、擴散等的處理方法作為半導體裝置製程的一步驟進行說明。再者,在以下的說明中,構成基板處理裝置的各部的動作為控制器240所控制。
複數片的晶圓200被裝填(晶圓裝載)於舟皿217上,如圖1所示,保持有複數片晶圓200的舟皿217就被舟皿升降機115升起而搬入(舟皿裝載)到處理室201中。在此狀態下,密封蓋219成為經由底座257、O型環220而密封反應管204下端的狀態。
處理室201內藉由排氣裝置246排氣成所希望的壓力。此時,處理室201內的壓力以壓力感測器245測定,根據此測定的壓力,反饋控制壓力調節器242。此外,處理室201內藉由加熱器206加熱成所希望的溫度。此時,根據為溫度檢測器的內部溫度感測器263及外部溫度感測器264檢測出的溫度資訊反饋控制對加熱器206的通電情況,以便處理室201內成為所希望的溫度分布。再者,關於根據由溫度檢測器檢測出的溫度資訊的加熱器206的控制,後述詳細。接著,藉由以旋轉機構254旋轉隔熱筒218、舟皿217,而旋轉晶圓200。
其次,從處理氣體供應源及載送氣體供應源供應且以MFC241控制成所希望流量的氣體從氣體供應管232在氣體導入部230及細管234內流通,到達頂棚部233,從複數個氣體導入口233a被噴淋狀地導入處理室201內。再者,對於晶圓200進行使用水蒸氣的處理的情況,以MFC241控制成所希望流量的氣體被供應給水蒸氣產生裝置,含有以水蒸氣產生裝置產生的水蒸氣(H2 O)的氣體被導入處理室201內。導入的氣體流下處理室201內,並在排氣口231a流通而從氣體排氣部231被排氣。氣體通過處理室201內之際和晶圓200的表面接觸,對晶圓200進行氧化、擴散等的處理。
經過預先設定的處理時間,從惰性氣體供應源供應惰性氣體,將處理室201內置換為惰性氣體,並且使處理室201內的壓力恢復常壓。
其後,利用舟皿升降機115降下密封蓋219,打開反應管204的下端,並且處理完之晶圓200被以保持於舟皿217上的狀態從反應管204的下端搬出(舟皿卸載)到反應管204的外部。其後,處理完之晶圓200被從舟皿217取出(晶圓卸載)。
以上為對晶圓200施以氧化、擴散等處理的一連串的步驟。其次,就本實施形態的溫度檢測器、及使用溫度檢測器的加熱器206的控制進行詳述。
要檢測熱處理爐內的溫度的情況,一般以R型熱電偶為溫度感測器而進行溫度檢測,但在高溫環境下會提前產生R型熱電偶斷線的情形。對此課題,在本實施形態中使用B型熱電偶作為比R型熱電偶耐熱性佳的熱電偶。B型熱電偶之所以耐熱性佳,是因為在鉑中添加銠,一般機械強度就會變強,不易產生變形所造成的斷線。此外,一般認為銠越少晶粒會越粗大,因此銠多就比較可抑制晶粒的粗大化。因而,可期待抑制晶粒粗大化時的晶粒界面的強度降低。
然而,使用B型熱電偶將在如下之點成為問題。圖2為顯示B型熱電偶及R型熱電偶的溫度特性之圖。再者,此圖為以JIS規格C1602的基準熱電動勢表為基礎而在橫軸標繪出溫度(℃)、在縱軸標繪出熱電動勢(mV)之圖。由圖2得知,B型熱電偶比R型熱電偶在任一溫度區域熱電動勢都要小。例如,1200℃的熱電動勢在R型熱電偶為13.228mV,相對於此,在B型熱電偶為6.786mV,較小;而600℃的熱電動勢在R型熱電偶為5.583mV,相對於此,在B型熱電偶為1.792mV,較小。如此,B型熱電偶的熱電動勢較小,所以有特別是在低溫區域(250℃以下)容易產生測定誤差,並有測定精度惡化的可能性之類的問題。
再者,B型熱電偶的熱電動勢有時會取負值,也有無法指定溫度之類的問題點。圖3為放大圖2的圖表的0℃到100℃附近之圖。
B型熱電偶具有在40℃以下的溫度,電動勢成為負值,並在不同的溫度成為相同的熱電動勢的特性。因此,檢測出負值的熱電動勢時,無法指定溫度。
如此,要將B型熱電偶使用作為溫度檢測器是有問題的。於是,在本實施形態中,設置使用B型熱電偶的內部溫度感測器263與使用R型熱電偶的外部溫度感測器264作為溫度檢測器,在小於臨界值溫度T的環境中,只使用外部溫度感測器264控制加熱器206的溫度,而在超過臨界溫度T的環境中,使用內部溫度感測器263及外部溫度感測器264控制加熱器206的溫度。再者,內部溫度感測器263及外部溫度感測器264分別依據基於圖2所示的溫度特性的溫度-熱電動勢變換表,從所檢測出的熱電動勢求出溫度。
圖4為顯示本實施形態的溫度控制的流程圖之圖。茲按照流程圖說明加熱器206的溫度的控制方法。
在步驟100(S100),選擇加熱器206的溫度的控制方法。在本實施形態中,有後述的加熱器直接控制、爐串聯控制及ARC直接C控制的3個控制模式作為控制方法,在S100選擇任一種控制模式。
再者,在本實施形態中,在S100的處理方面,雖然選擇加熱器直接控制、爐串聯控制及ARC直接C控制的3個控制模式中的任一種模式,但可以再追加別的控制模式,或者不具有ARC直接C控制也可以。
在S100,作為控制模式,選擇加熱器直接控制時進入S102的處理,選擇ARC直接C控制時進入S104的處理,選擇爐串聯控制時進入S106的處理。
在步驟102(S102)中,根據外部溫度感測器264的檢測溫度進行加熱器直接控制並控制加熱器206的溫度直到控制模式被變更或加熱器206的溫度控制被結束為止。
在步驟104(S104)中,不藉由內部溫度感測器263及外部溫度感測器264的檢測溫度而進行ARC直接C控制並控制加熱器206的溫度直到控制模式被變更或加熱器206的溫度控制被結束為止。
在步驟106(S106)中,確認由內部溫度感測器263所檢測的溫度。此檢測溫度超過臨界值溫度T時,進入S108的處理,進行爐串聯控制。另一方面,檢測溫度小於臨界值溫度T時,進入S102的處理,進行加熱器直接控制。在加熱器直接控制方面,要控制加熱器206的溫度而不使用內部溫度感測器263的檢測溫度。因此,在檢測溫度小於臨界值溫度T時,可進行加熱器直接控制之穩定的反饋控制,而不會受到用於內部溫度感測器263的B型熱電偶在低溫區域的特性之影響。例如,若將臨界值溫度T設定為250℃,則可進行穩定的控制,而不會受到產生測定誤差及無法指定溫度此類的B型熱電偶的問題所造成的影響。
再者,在步驟106中實施控制模式的切換,以免受到使用B型熱電偶的內部溫度感測器263在低溫區域的影響。因此,較佳為確認內部溫度感測器263的檢測溫度並進行和臨界值溫度T的比較,但也可以確認由外部溫度感測器264檢測出的溫度而進行和臨界值溫度T的比較,並進行控制模式的切換。
此外,也可以設置判別內部溫度感測器263為B型熱電偶或R型熱電偶的步驟作為即將進行S106的處理之前的步驟。設有此種判別步驟時,如本實施形態,以B型熱電偶構成內部溫度感測器263時,要轉移到S106的處理,但以R型熱電偶構成內部溫度感測器263時,不轉移到S106的處理而要轉移到S108的處理,進行爐串聯控制。
在步驟108(S108),進行內部溫度感測器263及外部溫度感測器264的爐串聯控制並控制加熱器206的溫度直到內部溫度感測器263的檢測溫度小於臨界值溫度T或加熱器206的溫度控制被結束為止。
如以上說明,關於本實施形態的基板處理裝置由於按照溫度切換根據內部溫度感測器263及外部溫度感測器264的檢測溫度進行控制的爐串聯控制、及不用內部溫度感測器263的檢測溫度的加熱器直接控制,所以可進行穩定的加熱器206的溫度控制,而不會受到B型熱電偶所造成的低溫區域的影響。
此處,就在步驟100所選擇的控制模式進行說明。圖5為控制模式為加熱器直接控制的情況的溫度控制部238的結構圖。在加熱器直接控制方面,溫度調整部270a進行PID控制,以便使插入加熱器附近,例如均熱管205與加熱器206之間的複數區域的熱電偶(外部溫度感測器264)測量到的溫度與溫度設定值一致,並將輸出脈衝輸出到輸出控制部271。然後,輸出控制部271以所輸入的輸出脈衝為基礎而控制加熱器206的溫度。
圖6是控制模式為爐串聯控制的情況之溫度控制部238的結構圖。在爐串聯控制的溫度調整部270b按照以插入處理爐內,例如均熱管205與反應管204之間的複數區域的熱電偶(內部溫度感測器263)測量到的溫度、及以插入加熱器附近的複數區域的熱電偶(外部溫度感測器264)測量到的溫度,分別進行PID控制。此處,內部溫度感測器263所進行的PID控制與外部溫度感測器264所進行的PID控制串聯地構成控制環路,進行雙重的反饋控制。
所謂ARC直接C控制,係對於加熱器的各區域,只以C動作直接控制加熱器的開環控制。此處,所謂C動作,係輸出由時間函數的修正圖案所表示的一定值(Compensation:C)的動作。
其次,就本實施形態的變形例進行說明。在變形例方面,和上述的實施形態不同之點,在於設有T1與T2作為S106的處理的臨界值溫度。圖7為顯示臨界值溫度T1及T2與控制模式的關係之圖。此處,圖中的實線表示進行加熱器直接控制,點線表示進行爐串聯控制。即使S106的處理的內部溫度感測器263所進行的檢測溫度結果上升,若小於臨界值溫度T1,則也進入S102的處理,進行加熱器直接控制。檢測溫度再上升,達到臨界值溫度T1以上,就被切換成移至S108,控制模式被切換到爐串聯控制。其後,若檢測溫度為T2以上,則爐串聯控制繼續,若檢測溫度小於T2,則被切換成移至S102,控制模式被切換到加熱器直接控制。
若只按照臨界值溫度T進行控制模式的切換,則若檢測溫度在臨界值溫度T附近反覆上升下降,伴隨此控制模式也就會反覆切換加熱器直接控制與爐串聯控制,有控制成為不穩定的可能性。然而,在設有臨界值溫度T1及T2,於檢測溫度的上升時與下降時改變控制模式切換的溫度的情況,可防止在臨界值溫度附近的控制模式反覆切換,並可進行穩定的控制。
在以上說明過的實施形態及其變形例中,雖然將B型熱電偶用於內部溫度感測器263,將R型熱電偶用於外部溫度感測器264,但所使用的熱電偶不限於此等熱電偶。即,將兩種熱電偶(第1熱電偶及第2熱電偶)分別用於內部溫度感測器263與外部溫度感測器264,第1熱電偶比第2熱電偶耐熱性佳,第2熱電偶比第1熱電偶溫度檢測性能佳即可。
而且,對於第1熱電偶的溫度檢測性能不足的溫度區域,進行藉由只有第2熱電偶的檢測溫度的反饋控制,對於其以外的溫度區域,利用第1熱電偶的檢測溫度與第2熱電偶的檢測溫度進行反饋控制即可。
此外,在本實施形態中,雖然將B型熱電偶用於內部溫度感測器263,將R型熱電偶用於外部溫度感測器264,但相反地也可以將R型熱電偶用於內部溫度感測器263,將B型熱電偶用於外部溫度感測器264。此情況,要利用內部溫度感測器263進行加熱器直接控制。再者,如本實施形態,將B型熱電偶用於內部溫度感測器263,將R型熱電偶用於外部溫度感測器264較適合例如以下的情況。即,係在外部溫度感測器264的各區域的設置位置沿著水平方向設置熱電偶,並且在外部溫度感測器264所使用的熱電偶比在內部溫度感測器263所使用的熱電偶的長度更短的情況。此種情況,在外部溫度感測器264方面,因施加於熱電偶本身的負荷小且熱電偶成為高溫的部分短因此熱膨脹所產生的延伸量小。因而,外部溫度感測器264的使用態樣比內部溫度感測器263的使用態樣更難以產生斷線。因此,將B型熱電偶用於內部溫度感測器263,而將R型熱電偶用於外部溫度感測器264較佳。
如以上說明,本發明之第1實施形態及變形例方面,可謀求溫度感測器的長壽命化。因此,可減低基板處理裝置的停機時間(downtime),使運轉率提高。此外,由於進行基於適合各溫度範圍的溫度感測器的加熱溫度的控制,所以不會使溫度控制精度惡化,即使是在高溫區域的使用,也可以謀求溫度感測器的長壽命化。
其次,就本發明之第2實施形態,根據圖面進行說明。
圖8為顯示形成關於本發明第2實施形態的SiC(碳化矽)磊晶膜的半導體製造裝置300一例的立體圖。
作為基板處理裝置(成膜裝置)的半導體製造裝置300為批式直立熱處理裝置,具有配置主要部分的殼體302。關於半導體製造裝置300,作為收納以例如SiC等構成的基板之晶圓304(參閱後述的圖9)的基板收容器,使用環箍(hoop)(以下稱為盒(pod))306作為晶圓載具。在殼體302的正面側配置有盒台308,盒306被搬送到盒台308上。盒306中收納例如25片的晶圓304,以蓋上蓋子的狀態被放置在盒台308上。
在殼體302內的正面側且與盒台308對向的位置上配置有盒搬送裝置310。此外,在盒搬送裝置310的附近配置有盒收納架312、開盒器314及基板片數檢測器316。盒收納架312配置於開盒器314的上方,構成為將載置複數個盒306的狀態進行保持。基板片數檢測器316係鄰接於開盒器314而配置,箱搬送裝置310係在箱台308、盒收納架312及開盒器314之間搬送盒306。開盒器314係打開盒306的蓋子的器具,基板片數檢測器316係檢測被打開蓋子的盒306內的晶圓304的片數。
在殼體302內配置有基板移載機318、以及作為基板保持器的舟皿320。基板移載機318具有臂(捏鉗)322,係藉由未圖示的驅動機構而可升降且可旋轉的構造。臂322可取出例如5片的晶圓304,藉由移動臂322,在放置於開箱器314位置上的盒306及舟皿320間搬送晶圓304。
舟皿320以例如碳-石墨或SiC等的耐熱性材料構成,構成為使複數片的晶圓304以水平姿勢且互相使中心一致的狀態排列而沿著縱向堆積、保持。再者,在舟皿320的下部配置有舟皿隔熱部324作為例如以石英或SiC等的耐熱性材料構成的圓盤形狀的隔熱構件,構成為來自後述的被加熱體(被感應體)326的熱難以傳到處理爐328的下方側(參閱後述的圖9)。
在殼體302內的背面側上部配置有處理爐328。裝填有複數片晶圓304的舟皿320被搬入處理爐328內,進行熱處理。
其次,就形成SiC磊晶膜的半導體製造裝置300的處理爐328進行說明。
圖9(a)為顯示關於本發明第2實施形態的處理爐328一例的側面剖面圖,圖9(b)為支撐於舟皿320上的晶圓304的側面剖面圖。
在處理爐328上設有具有第1氣體供應口330的第1氣體供應噴嘴332、具有第2氣體供應口334的第2氣體供應噴嘴336、及第1氣體排氣口338。此外,圖示有供應惰性氣體的第3氣體供應口340、第2氣體排氣口342。
處理爐328具備由石英或SiC等的耐熱性材料構成,並且形成為上端閉塞、下端開口的圓筒形狀的反應管344。歧管346與反應管344同心圓狀地配設於反應管344的下方。歧管346係由例如不銹鋼等構成,形成為上端及下端開口的圓筒形狀。歧管346被設置成支撐反應管344。再者,在歧管346與反應管344之間設有作為密封構件的O型環(未圖示)。藉由將歧管346支撐於未圖示的保持體上,反應管344成為被垂直安裝的狀態。藉由反應管344與歧管346形成反應容器。
處理爐328具備形成為上端閉塞、下端開口的圓筒形狀的被加熱體(被感應體)326及作為磁場產生部的感應線圈348。在反應管344的內側形成有反應室350,構成為可收納保持作為以SiC等構成的基板的晶圓304的舟皿320。被加熱體326被由設於反應管344外側的感應線圈348所產生的磁場加熱,藉由被加熱體326的發熱,可加熱反應室350內。
此外,如圖9(b)所示,晶圓304被保持於圓環狀的下部晶圓保持器352b上,在以圓板狀的上部晶圓保持器352a覆蓋上面的狀態下被保持於舟皿320上即可。藉此,可保護晶圓304以防止從晶圓上部落下的粒子,並可抑制相對於成膜面(晶圓304的下面)之背面側的成膜。此外,可使成膜面離開晶圓保持器352a、352b的部分、舟皿柱子,並可縮小舟皿柱子的影響。舟皿320構成為保持有晶圓304,該晶圓304係以水平姿勢且互相之間中心一致的狀態下沿著縱向排列的方式被保持於晶圓保持器352a、352b上。
在被加熱體326的附近設有溫度感測器作為檢測反應室350內溫度的溫度檢測體。作為溫度感測器,則有例如在被加熱體326的附近沿著縱向分割為3個區域而配置有輻射溫度計354、356、358、360。輻射溫度計354被配置於縱向的3個區域中之上部的區域,輻射溫度計356、358被配置於縱向的3個區域中之中部的區域,輻射溫度計360被配置於縱向的3個區域中之下部的區域。
配置於上部區域的輻射溫度計354及配置於下部區域的輻射溫度計360為監測用,按照目的配置有低溫用輻射溫度計或高溫用輻射溫度計的任一種輻射溫度計。配置於中部區域的輻射溫度計356及358之中,輻射溫度計356為高溫用輻射溫度計,輻射溫度計358為低溫用輻射溫度計。輻射溫度計356及358係以測定相同地方的溫度為目的而被配置,切換高溫用輻射溫度計之輻射溫度計356與低溫用輻射溫度計之輻射溫度計358來進行溫度控制。
此處,假設以高溫用輻射溫度計可計測之溫度範圍的上限溫度及下限溫度分別為高溫用上限值Max_High、高溫用下限值Min_High,以低溫用輻射溫度計可計測的溫度範圍的上限溫度及下限溫度分別為低溫用上限值Max_Low、低溫用下限值Min_Low,則高溫用輻射溫度計與低溫用輻射溫度計會符合如下的關係。即,高溫用上限值Max_High為比低溫用上限值Max_Low更高的值,並且高溫用下限值Min_High為比低溫用下限值Min_Low更高的值。
圖10為顯示關於本發明第2實施形態的半導體製造裝置300的控制結構一例的方塊圖。感應線圈348及輻射溫度計362、356、358、360分別與圖10所示的溫度控制部362電性連接。溫度控制部362構成為依預定的定時控制,以便藉由根據輻射溫度計356或輻射溫度計358檢測出的溫度資訊調節對感應線圈348的通電情況,而使反應室350內的溫度成為所希望的溫度分布。例如對於被以高頻電源驅動的圓柱型的感應加熱之加熱器即感應線圈348,溫度控制部362比較由輻射溫度計356或輻射溫度計358檢測出的溫度資訊與設定溫度來驅動高頻電源,以便輸出適當的熱量。
此外,溫度控制部362按照後述的切換方式切換基於由輻射溫度計356檢測出的溫度資訊的控制、及由輻射溫度計358檢測出的溫度資訊的控制來進行控制。
再者,在感應線圈348的附近沿著縱向分割為3個區域而配置有熱電偶364、366、368。熱電偶364被配置於縱向的3個區域中之上部的區域,熱電偶366被配置於縱向的3個區域中之中部的區域,熱電偶368被配置於縱向的3個區域中之下部的區域。熱電偶364、366、368係用作為過高熱保護而使用,分別電性連接於溫度控制部362。
再者,最好在反應室350內於第1及第2氣體供應噴嘴332、336與第1氣體排氣口338之間且被加熱體326與晶圓304之間,以掩埋被加熱體326與晶圓304之間的空間之方式將沿著垂直方向延伸且剖面為圓弧狀的構造物370設於反應室350內。圖11為顯示關於本發明第2實施形態的處理爐328一例的平面剖面圖。例如,如圖11所示,藉由在對向的位置分別設置構造物370,可防止從第1及第2氣體供應噴嘴332、336供應的氣體沿著被加熱體326的內壁繞晶圓304而行。就構造物370而言,較佳為以隔熱材料或碳氈等構成,即可耐熱及抑制粒子的產生。
在反應管344與被加熱體326之間設置以例如難以被感應的碳氈等所構成的隔熱材料372,藉由設置隔熱材料372,可抑制被加熱體326的熱傳到反應管344或反應管344的外側。
此外,於感應線圈348的外側則以包圍反應室350的方式設置有例如為水冷構造的外側隔熱壁374,用以抑制反應室350內的熱傳到外側。再者,於外側隔熱壁374的外側設有磁封376,防止由感應線圈348產生的磁場漏到外側。
如圖9所示,為供應至少含有Si(矽)原子的氣體、及含有Cl(氯)原子的氣體給晶圓304而將設有至少1個第1氣體供應口330的第1氣體供應噴嘴332設置於被加熱體326與晶圓304之間。此外,為供應至少含有C(碳)原子的氣體及還原氣體給晶圓304而將設有至少1個第2氣體供應口334的第2氣體供應噴嘴336設置於和被加熱體326與晶圓304之間的第1氣體供應噴嘴332不同之處。此外,第1氣體排氣口338也同樣地配置於被加熱體326與晶圓304之間。另外,第3氣體供應口340及第2氣體排氣口342配置於反應管344與隔熱材料372之間。
此外,雖然分別各1支第1氣體供應噴嘴332及第2氣體供應噴嘴336也可以,但最好構成為如圖11所示,第2氣體供應噴嘴336設有3支,而第1氣體供應噴嘴332以夾入第2氣體供應噴嘴336之間的方式設置。藉由如此交替配置,可促進含有Si原子的氣體與含有C原子的氣體的混合。此外,藉由使第1氣體供應噴嘴及第2氣體供應噴嘴為奇數支,而能以中央的第2氣體供應噴嘴336為中心而使成膜氣體左右對稱地供應,並可提高晶圓304內的均勻性。
第1氣體供應口330及第1氣體供應噴嘴332以例如碳-石墨所構成,設於反應室350內。此外,第1氣體供應噴嘴332以貫穿歧管346的方式安裝於歧管346。此處,在形成SiC磊晶膜之際,第1氣體供應口330將例如甲矽烷(以下為SiH4 )氣體作為至少含有Si(矽)原子的氣體、例如氯化氫(以下為HCl)氣體作為含有Cl(氯)原子的氣體、及以惰性氣體(例如Ar(氬))作為載送氣體經由第1氣體供應噴嘴332而供應至反應室350內。
第1氣體供應噴嘴332經由第1氣體線路378而連接於氣體供應單元380。圖12為顯示關於本發明第2實施形態的半導體製造裝置300的氣體供應單元380一例的模式圖。如圖12所示,第1氣體線路378係對於SiH4 氣體、HCl氣體、惰性氣體,經由作為流量控制器(流量控制手段)的質流控制器(以下為MFC)382c、382d、382f、及閥384c、384d、384f而連接於例如SiH4 氣體供應源386c、HCl氣體供應源386d、惰性氣體供應源386f。
藉由上述結構,可在反應室350內控制SiH4 氣體、HCl氣體、惰性氣體各氣體的供應流量、濃度、分壓、供應時間點。閥384c、384d、384f、MFC382c、382d、382f電性連接於氣體流量控制部388,依既定的定時被控制,以便分別供應的氣體的流量成為預定流量(參閱圖10)。再者,藉由SiH4 氣體、HCl氣體、惰性氣體各氣體的氣體供應源386c、386d、386f、閥384c、384d、384f、MFC382c、382d、382f、第1氣體線路378、第1氣體供應噴嘴332及至少1個設於第1氣體供應噴嘴332上的第1氣體供應口330構成第1氣體供應系統作為氣體供應系統。
第2氣體供應口334以例如碳-石墨所構成,設於反應室350內。此外,第2氣體供應噴嘴336以貫穿歧管346的方式安裝於歧管346。此處,在形成SiC磊晶膜之際,第2氣體供應口334將例如丙烷(以下為C3 H8 )氣體作為至少含有C(碳)原子的氣體、及例如氫(H原子單體、或H2 分子。以下為H2 )作為還原氣體經由第2氣體供應噴嘴336而供應至反應室350內。
第2氣體供應噴嘴336經由第2氣體線路390而連接於氣體供應單元380。此外,如圖12所示,第2氣體線路390與例如氣體配管(未圖示)分別連接,氣體配管(未圖示)分別對於作為含有C(碳)原子的氣體例如C3 H8 氣體,經由作為流量控制手段的MFC382a及閥384a而連接於C3 H8 氣體供應源386a,對於作為還原氣體之例如H2 氣體,經由作為流量控制手段的MFC382b及閥384b而連接於H2 氣體供應源386b。
藉由上述結構,可在反應室350內控制例如C3 H8 氣體、H2 氣體的供應流量、濃度、分壓。閥384a、384b、MFC382a、382b電性連接於氣體流量控制部388,依既定的時間點被控制,以便供應的氣體流量成為既定的流量(參閱圖10)。再者,藉由C3 H8 氣體、H2 氣體的氣體供應源386a、386b、閥384a、384b、MFC382a、382b、第2氣體線路390、第2氣體供應噴嘴336、第2氣體供應口334,構成第2氣體供應系統作為氣體供應系統。
此外,在第1氣體供應噴嘴332及第2氣體供應噴嘴336上,於基板的排列區域可以設置1個第1氣體供應口330及第2氣體供應口334,也可以按晶圓304的既定片數分別設置。
如圖9所示,第1氣體排氣口338設於比舟皿320更下部,連接於第1氣體排氣口338的氣體排氣管392以貫穿的方式設於歧管346。真空泵等之真空排氣裝置396經由作為未圖示的壓力檢測器的壓力感測器及作為壓力調整器的APC(Auto Pressure Controller;自動壓力控制器)閥394而連接於氣體排氣管392的下游側。壓力控制部398電性連接於壓力感測器及APC閥394,壓力控制部398構成為依既定的時間點進行控制,以便根據由壓力感測器檢測出的壓力調整APC閥394的開度,使處理爐328內的壓力成為既定的壓力(參閱圖10)。
如上述,從第1氣體供應口330供應至少含有Si(矽)原子的氣體與含有Cl(氯)原子的氣體,從第2氣體供應口334供應至少含有C(碳)原子的氣體與還原氣體,所供應的氣體對於以Si或SiC構成的晶圓304平行地流動,並從第1氣體排氣口338被排氣,所以晶圓304全體被有效且均勻地暴露於氣體中。
此外,如圖11所示,第3氣體供應口340配置於反應管344與隔熱材料372之間,安裝成貫穿歧管346。再者,第2氣體排氣口342配置成在反應管344與隔熱材料372之間且與第3氣體供應口340形成對向,第2氣體排氣口342連接於氣體排氣管392。第3氣體供應口340形成於貫穿歧管346的第3氣體線路400上,經由閥384e、MFC382e而與氣體供應源386e連接。從氣體供應源386e供應例如稀有氣體的Ar氣體作為惰性氣體,可防止有助於SiC磊晶膜成長的氣體、例如含有Si(矽)原子的氣體或含有C(碳)原子的氣體或含有Cl(氯)原子的氣體或者此等氣體的混合氣體進入反應管344與隔熱材料372之間,並可防止無用的生成物附著於反應管344的內壁或隔熱材料372的外壁。
此外,供應至反應管344與隔熱材料372之間的惰性氣體經由比第2氣體排氣口342更在氣體排氣管392下游側的APC閥394而從真空排氣裝置396被排氣。
其次,就處理爐328及其周邊的結構進行說明。
圖13為關於本發明第2實施形態的處理爐328及周邊構造的概略剖面圖。在處理爐328的下方設有密封蓋402作為用以氣密地閉塞處理爐328下端開口的爐口蓋體。密封蓋402為例如不銹鋼等的金屬製,形成為圓盤狀。在密封蓋402的上面設有作為與處理爐328的下端抵接的密封材料之O型環(未圖示)。於密封蓋402上設置有旋轉機構404,旋轉機構404的旋轉軸406貫穿密封蓋402而連接於舟皿320,構成為藉由使舟皿320旋轉而使晶圓304旋轉。
此外,密封蓋402構成為作為設於處理爐328外側的升降機構而藉由後述的升降馬達408而沿著垂直方向升降,藉此可對處理爐328搬入搬出舟皿320。驅動控制部410電性連接於旋轉機構404及升降馬達408,構成為依既定的時間點控制,以便進行既定的動作(參閱圖10)。
在作為預備室的負載鎖定室412的外面設有下基板414。在下基板414上設有與升降台416滑動自如地嵌合的導軸418及與升降台416旋合的滾珠螺桿420。此外,在立設於下基板414上的導軸418及滾珠螺桿420的上端設有上基板422。滾珠螺桿420藉由設於上基板422上的升降馬達408而旋轉,升降台416藉由使滾珠螺桿420旋轉而升降。
在升降台416上垂設中空的升降軸424,升降台416與升降軸424的連結部構成為氣密狀態,升降軸424可和升降台416共同升降。升降軸424寬鬆貫穿負載鎖定室412的頂板426,升降軸424貫穿的頂板426的貫穿孔形成有充分的間隙,以免升降軸424和頂板426接觸。
此外,在負載鎖定室412與升降台416之間,以覆蓋升降軸424周圍的方式設有伸縮管428作為具有伸縮性的中空伸縮體,藉由伸縮管428可氣密地保持負載鎖定室412。再者,伸縮管428具有可對應於升降台416升降量的充分的伸縮量,伸縮管428的內徑比升降軸424的外徑大許多,構成為於伸縮之際伸縮管428和升降軸424不會接觸。
升降基板430水平地固定於升降軸424的下端,驅動部蓋432經由O型環等的密封構件而氣密地裝於升降基板430的下面。以升降基板430與驅動部蓋432構成驅動部收納箱434,藉由此結構,將驅動部收納箱434內部和負載鎖定室412的氣體隔離。
此外,在驅動部收納箱434的內部設有舟皿320的旋轉機構404,旋轉機構404的周邊由冷卻機構436所冷卻。
電力電纜438從升降軸424的上端通過中空部而被引導連接於旋轉機構404。此外,在冷卻機構436及密封蓋402上形成有冷卻水流路440。再者,冷卻水配管442從升降軸424的上端通過中空部而被引導連接於冷卻水流路440。
藉由驅動升降馬達408,使滾珠螺桿420旋轉,經由升降台416及升降軸424而使驅動部收納箱434升降。
藉由驅動部收納箱434的上升,氣密地設於升降基板430上的密封蓋402即閉塞處理爐328開口部的爐口444,成為可處理晶圓的狀態。此外,藉由驅動部收納箱434的下降,和密封蓋402一起降下舟皿320,成為可將晶圓304搬出到外部的狀態。
其次,就構成形成SiC磊晶膜的半導體製造裝置300的各部控制結構進行說明。
在圖10中,溫度控制部362、氣體流量控制部388、壓力控制部398、驅動控制部410構成操作部及輸入輸出部,電性連接於控制半導體製造裝置300全體的主控制部446。此外,作為控制器448則由溫度控制部362、氣體流量控制部388、壓力控制部398、驅動控制部410所構成。
其次,就構成上述第1氣體供應系統及第2氣體供應系統的理由進行說明。
在形成SiC磊晶膜的半導體製造裝置中,需要將以至少含有Si(矽)原子的氣體、及含有C(碳)原子的氣體所構成的原料氣體供應至反應室350,形成SiC磊晶膜。此外,如本實施形態,在將複數片的晶圓304以水平姿勢使其多層排列而保持的情況,為了使晶圓間的均勻性提高,在反應室350內設有氣體供應噴嘴,以便可從各個晶圓附近的氣體供應口供應成膜氣體。因此,氣體供應噴嘴內也成為和反應室相同的條件。此時,若以相同的氣體供應噴嘴供應含有Si原子的氣體與含有C原子的氣體,則會因原料氣體彼此反應而消耗原料氣體,不但在反應室350的下游側原料氣體會不足,而且在氣體供應噴嘴內反應、堆積的SiC膜等的堆積物會閉塞氣體供應噴嘴,使原料氣體的供應成為不穩定,並且會發生使粒子產生等的問題。
於是,在本實施形態中,經由第1氣體供應噴嘴332而供應含有Si原子的氣體,並經由第2氣體供應噴嘴336而供應含有C原子的氣體。如此,藉由從不同的氣體供應噴嘴供應含有Si原子的氣體與含有C原子的氣體,則在氣體供應噴嘴內可防止SiC膜堆積。並且,想要調整含有Si原子的氣體及含有C原子的氣體的濃度或流速時,分別供應適當的載送氣體即可。
再者,為了更有效地使用含有Si原子的氣體,有時會使用氫氣之類的還原氣體。此情況,最好是經由供應含有C原子氣體的第2氣體供應噴嘴336來供應還原氣體。如此和含有C原子的氣體一起供應還原氣體,藉由在反應室350內與含有Si原子的氣體混合,由於還原氣體成為較少的狀態,所以與成膜時相較,較能抑制含有Si原子的氣體的分解,並可抑制在第1氣體供應噴嘴內之Si膜的堆積。此情況,可使用還原氣體作為含有C原子氣體的載送氣體。再者,就含有Si原子氣體的載體而言,藉由使用氬(Ar)之類的惰性氣體(特別是稀有氣體),可抑制Si膜的堆積。
再者,最好供應HCl之類的含有氯原子的氣體給第1氣體供應噴嘴332。如此一來,即使含有Si原子的氣體因熱而分解,變成可能堆積於第1氣體供應噴嘴內的狀態,也可以利用氯形成為蝕刻模式,可進一步抑制Si膜堆積於第1氣體供應噴嘴內。
再者,在圖9所示之例中,雖然以供應SiH4 氣體及HCl氣體給1氣體供應噴嘴332,並供應C3 H8 氣體及H2 氣體給第2氣體供應噴嘴336的結構進行了說明,但如上述,圖9至圖12所示之例被認為是最佳的組合,不受此限。
此外,在圖9至圖12所示之例中,雖然例示了HCl氣體作為於形成SiC磊晶膜之際流出的含有Cl(氯)原子的氣體,但也可以使用氯氣。
此外,在上述雖然於形成SiC磊晶膜之際供應含有Si(矽)原子的氣體與含有Cl(氯)原子的氣體,但也可以供應含有Si原子與Cl原子的氣體,例如四氯矽烷(以下為SiCl4 )氣體、三氯矽烷(以下SiHCl3 )氣體、二氯矽烷(以下SiH2 Cl2 )氣體。此外,不用說此等含有Si原子與Cl原子的氣體也是含有Si原子的氣體,或者也可以說是含有Si原子的氣體與含有Cl原子的氣體的混合氣體。特別是SiCl4 因被熱分解的溫度比較高,而從抑制噴嘴內的Si消耗的觀點來看最佳。
此外,在上述雖然例示了C3 H8 氣體作為含有C(碳)原子的氣體,但也可以使用乙烯(以下為C2 H4 )氣體、乙炔(以下為C2 H2 )氣體。
此外,雖然例示了H2 氣體作為還原氣體,但不限於此,也可以使用其他的含有H(氫)原子的氣體。再者,就載送氣體而言,可以使用Ar(氬)氣體、He(氦)氣體、Ne(氖)氣體、Kr(氪)氣體、Xe(氙)氣體等的稀有氣體之中至少1個,也可以使用組合上述氣體的混合氣體。
在上述係藉由經由第1氣體供應噴嘴332而供應含有Si原子的氣體,並經由第2氣體供應噴嘴336而供應含有C原子的氣體來抑制氣體供應噴嘴內的SiC膜的堆積(以下將分離供應含有Si原子的氣體與含有C原子的氣體的方式稱為「分離方式」)。然而,此方法雖然可抑制氣體供應噴嘴內的SiC膜的堆積,但含有Si原子的氣體與含有C原子的氣體的混合需要在從氣體供應口330、334到達到晶圓304之間充分地進行。
因此,若從晶圓內的均勻化的觀點來看,最好預先混合含有Si原子的氣體與含有C原子的氣體再供應給氣體供應噴嘴332(以下將從同一氣體供應噴嘴供應含有Si原子的氣體與含有C原子的氣體的方式稱為「預混方式」)。然而,若從同一氣體供應噴嘴供應含有Si原子的氣體與含有C原子的氣體,則有SiC膜堆積於氣體供應噴嘴內之虞。另一方面,含有Si原子的氣體若加大蝕刻氣體之氯與還原氣體之氫的比(Cl/H),則藉由氯的蝕刻效果會變大,可抑制含有Si原子的氣體的反應。因此,藉由供應含有Si原子的氣體、含有C原子的氣體、及含有氯的氣體給一方的氣體供應噴嘴,並從另一方的氣體供應噴嘴供應用於還原反應的還原氣體(例如氫氣),使氣體供應噴嘴內的Cl/H變大,而可抑制SiC膜的堆積。
其次,就使用上述半導體製造裝置300在以SiC等構成的晶圓304等的基板上形成例如SiC膜的基板的製造方法作為半導體裝置的製程的一步驟進行說明。
再者,在以下的說明中構成半導體製造裝置300的各部的動作為控制器448所控制。
首先,在盒台308上放置收納有複數片晶圓304的盒306,利用盒搬送裝置310將盒306從盒台308上搬送到盒收納架312上存放。其次,利用盒搬送裝置310將存放於盒收納架312上的盒306搬送到開盒器314上放置,利用開盒器314打開盒306的蓋子,利用基板片數檢測器316檢測收納於盒306中的晶圓304的片數。
其次,利用基板移載機318從在開盒器314位置的盒306中取出晶圓304,移載到舟皿320。
複數片的晶圓304被裝填於舟皿320上,保持有晶圓304的舟皿320就藉由升降馬達408所進行的升降台416及升降軸424的升降動作而被搬入反應室350內(舟皿裝載)。在此狀態下,密封蓋402成為經由O型環(未圖示)而密封歧管346下端的狀態。
搬入舟皿320後,藉由真空排氣裝置396進行真空排氣,以便反應室350內成為既定的壓力(真空度)。此時,藉由壓力感測器(未圖示)測定反應室350內的壓力,根據所測定出的壓力,反饋控制連通於第1氣體排氣口338及第2氣體排氣口342的APC閥394。此外,加熱被加熱體326,以使晶圓304及反應室350內成為既定的溫度。此時,為了使反應室350內成為既定的溫度分布,而根據由後述的切換方式所選擇的高溫用輻射溫度計356或低溫用輻射溫度計358所檢測出的溫度資訊,反饋控制對感應線圈348的通電情況。接著,藉由利用旋轉機構404旋轉舟皿320而沿著圓周方向旋轉晶圓304。
接著,分別從氣體供應源386c、386d供應有助於SiC磊晶成長反應的含有Si(矽)原子的氣體及含有Cl(氯)原子的氣體,自第1氣體供應口330噴出到反應室350內。此外,調整對應的MFC382a、382b的開度,以便含有C(碳)原子的氣體及為還原氣體的H2 氣體成為既定的流量後,打開閥384a、384b,使各氣體流通於第2氣體線路390及流通於第2氣體供應噴嘴336而自第2氣體供應口334被導入反應室350內。
從第1氣體供應口330及第2氣體供應口334供應的氣體通過反應室350內的被加熱體326的內側,自第1氣體排氣口338通過氣體排氣管392而被排氣。從第1氣體供應口330及第2氣體供應口334供應的氣體在通過反應室350內之際,和以SiC等構成的晶圓304接觸,在晶圓304表面上進行SiC磊晶膜成長。
此外,調整對應的MFC382e的開度,以便作為惰性氣體的稀有氣體之Ar氣體藉由氣體供應源386e成為既定的流量後,打開閥384e,流通於第3氣體線路400,自第3氣體供應口340被供應至反應室350內。從第3氣體供應口340供應的作為惰性氣體的稀有氣體之Ar氣體通過反應室350內的隔熱材料372與反應管344之間,自第2氣體排氣口342被排氣。
其次,經過預先設定的時間,即停止上述氣體的供應,從未圖示的惰性氣體供應源供應惰性氣體,以惰性氣體置換反應室350內的被加熱體326內側的空間,並且使反應室350內的壓力恢復常壓。
其後,利用升降馬達408降下密封蓋402,歧管346的下端被開口,並且處理完的晶圓304以保持於舟皿320上的狀態從歧管346的下端被搬出(舟皿卸載)到反應管344的外部,使舟皿320在既定位置待命直到保持於舟皿320上的晶圓304變冷為止。使其待命的舟皿320的晶圓304被冷卻到既定溫度,即利用基板移載機318從舟皿320中取出晶圓304,搬送到放置於開盒器314的空盒306中收納。其後,利用盒搬送裝置310將收納有晶圓304的盒306搬送到盒收納架312、或盒台308上。如此一來,半導體製造裝置300的一連串的動作即完成。
其次,就溫度控制的輻射溫度計的切換進行說明。
例如,可考慮以下述方式進行切換:決定臨界值S作為基於低溫用輻射溫度計的測定值(檢測溫度)的溫度控制與基於高溫用輻射溫度計的測定值(檢測溫度)的溫度控制之切換判斷的基準,低溫用輻射溫度計或高溫用輻射溫度計的測定值低於臨界值S的情況下,則進行基於低溫用輻射溫度計的測定值的溫度控制,高於臨界值S的情況下,則進行基於高溫用輻射溫度計的測定值的溫度控制。
此處,以低溫用輻射溫度計的可計測範圍為50℃~550℃,以高溫用輻射溫度計的可計測範圍為450℃~950℃作為一例。此情況,要切換低溫用輻射溫度計的控制與高溫用輻射溫度計的控制,就要使用一個臨界值(此處,例如低溫用輻射溫度計與高溫用輻射溫度計的可計測範圍重疊的500℃),以所計測的溫度低於臨界值時由低溫用輻射溫度計來控制,超過臨界值時由高溫用輻射溫度計來控制之方式進行切換。
然而,利用上述方法切換控制的情況,切換時的溫度測定值及進行切換的溫度附近的溫度測定值會不穩定。
以下,就溫度控制部362的輻射溫度計的切換方式進行說明。
溫度控制部362係根據從低溫用輻射溫度計358的溫度控制切換到高溫用輻射溫度計356的溫度控制之判斷基準的臨界值P、及從高溫用輻射溫度計356的溫度控制切換到低溫用輻射溫度計358的溫度控制的臨界值M,而進行切換控制。此處,臨界值P大於臨界值M(即,處於P>M的關係)。
此外,假設以高溫用輻射溫度計356的可計測溫度範圍的上限值為Max_High,以高溫用輻射溫度計356的可計測溫度範圍的下限值為Min_High,以低溫用輻射溫度計358的可計測溫度範圍的上限值為Max_Low,以低溫用輻射溫度計358的可計測溫度範圍的下限值為Min_Low,則臨界值P及臨界值M滿足下式:
Max_High>Max_Low>P>M>Min_High>Min_Low
圖14為顯示溫度控制部362的溫度控制的切換規則之表。此外,圖15為顯示高溫用輻射溫度計的控制與低溫輻射溫度計的控制之切換的圖表。在圖15中,橫軸表示進行高溫用輻射溫度計的溫度控制或進行低溫用輻射溫度計的溫度控制,縱軸表示由輻射溫度計測定的測定溫度。再者,以粗線顯示的測定溫度表示由低溫用輻射溫度計358測定出的測定溫度,以虛線顯示的測定溫度表示由高溫用輻射溫度計356測定出的測定溫度。
如圖14及圖15所示,溫度控制部362藉由用於現在的溫度控制之輻射溫度計的測定溫度與臨界值的比較進行控制的切換。溫度控制部362在從低溫用輻射溫度計358的控制將控制對象切換到高溫用輻射溫度計356的情況下,若低溫用輻射溫度計358的測定值超過臨界值P,則切換到高溫用輻射溫度計356的控制。此處,溫度控制部362在從低溫用輻射溫度計358的控制將控制對象切換到高溫用輻射溫度計356的情況下,在切換判斷上不考慮高溫用輻射溫度計的測定值。
相反地,溫度控制部362在從高溫用輻射溫度計356的溫度控制切換到低溫用輻射溫度計358的溫度控制的情況下,若高溫用輻射溫度計356的測定值小於臨界值M,則切換到低溫用輻射溫度計358的控制。同樣地,溫度控制部362在從高溫用輻射溫度計356的溫度控制切換到低溫用輻射溫度計358的溫度控制的情況下,在切換判斷上不考慮低溫用輻射溫度計的測定值。
在由上述溫度控制部362切換控制方面,可改善複數種輻射溫度計的切換時及在切換溫度附近之令人擔心的溫度測定值的不穩定,而可使溫度控制性提高。
其次,就第2實施形態的變形例進行說明。在上述第2實施形態中,雖然藉由用於現在的溫度控制之輻射溫度計的測定值與臨界值的比較來進行控制的切換,但在例如高溫用輻射溫度計356的測定值與低溫用輻射溫度計358的測定值有差異的情況下、或者高溫用輻射溫度計356或低溫用輻射溫度計358的任一者因故障等而無法輸出正常的測定值的情況下,會有溫度控制變成不穩定的可能性。
於是,在變形例中,從低溫用輻射溫度計358的溫度控制切換到高溫用輻射溫度計356的溫度控制的情況,就低溫用輻射溫度計358的測定值及高溫用輻射溫度計356的測定值各值進行和臨界值的比較。
在變形例中,於即使低溫用輻射溫度計358的測定值超過臨界值P,高溫用輻射溫度計356的測定值小於臨界值M的情況下,也不切換到高溫用輻射溫度計356的控制此點,和上述第2實施形態不同。
在變形例也是,溫度控制部362根據從低溫用輻射溫度計358的溫度控制切換到高溫用輻射溫度計356的溫度控制之判斷基準的臨界值P、以及從高溫用輻射溫度計356的溫度控制切換到低溫用輻射溫度計358的溫度控制的臨界值M,來切換控制。此外,關於臨界值P、臨界值M、高溫用輻射溫度計356的可計測上限值Max_High、高溫用輻射溫度計356的可計測下限值Min_High、低溫用輻射溫度計358的可計測上限值Max_Low、低溫用輻射溫度計358的可計測下限值Min_Low之關係,也同樣地以上述的關係式表示。
圖16為顯示變形例中的溫度控制部362的溫度控制的切換規則之表。
如圖16所示,在變形例中的溫度控制部362方面,從高溫用輻射溫度計356的控制將控制對象切換到低溫用輻射溫度計358的情況下,若高溫用輻射溫度計356的測定值小於臨界值M,則切換到低溫用輻射溫度計358的控制。
此外,在變形例中的溫度控制部362方面,從低溫用輻射溫度計358的溫度控制切換到高溫用輻射溫度計356的溫度控制的情況,只在低溫用輻射溫度計358的測定值超過臨界值P且高溫用輻射溫度計356的測定值超過臨界值M的情況下,切換到高溫用輻射溫度計356的控制。即,在高溫用輻射溫度計356的測定值小於臨界值M的情況下,即使低溫用輻射溫度計358的測定值超過臨界值P也不切換到高溫用輻射溫度計356的控制。是因為由於高溫用輻射溫度計356的測定值已經小於臨界值M,所以在下一次的切換判斷會再切換到低溫用輻射溫度計358的溫度控制,因連續進行切換而使溫度測定值變成不穩定。
此外,在作為低溫用輻射溫度計358的測定值超過臨界值P且高溫用輻射溫度計356的測定值小於臨界值M的情況下,有設想高溫用輻射溫度計356或低溫用輻射溫度計358的任一者錯誤的可能性,考慮安全性,而在此種情況進行溫度測定值高的低溫用輻射溫度計358的溫度控制。
在變形例中的控制之切換方面,除了可改善複數種輻射溫度計的切換時及在切換溫度附近所令人擔心的溫度測定值的不穩定之外,也能實現比作為第2實施形態而顯示的控制的切換更安全的溫度控制。
在以上的第2實施形態及其變形例的說明中,雖然顯示了溫度控制部362的溫度控制係使用配置於中部區域的輻射溫度計356及358而進行之例,但也可以在上部或下部區域設置高溫用輻射溫度計及低溫用輻射溫度計,同樣地進行控制的切換。
此外,不限於形成SiC磊晶膜的半導體製造裝置,也可適用於立式基板處理裝置全部。
202...處理爐
204...反應管
205...均熱管
206...加熱器
238...溫度控制部
263...溫度感測器
264...外部溫度感測器
354...溫度控制部
356、358...輻射溫度計
圖1為關於本發明第1實施形態的基板處理裝置即立式熱擴散裝置的處理爐的剖面圖。
圖2為顯示關於本發明第1實施形態的B型熱電偶及R型熱電偶的溫度特性之圖。
圖3為顯示關於本發明第1實施形態的B型熱電偶及R型熱電偶的溫度特性之圖的放大圖。
圖4為顯示關於本發明第1實施形態的溫度控制的流程圖。
圖5為關於本發明第1實施形態的控制模式為加熱器直接控制的情況的溫度控制部238的結構圖。
圖6為關於本發明第1實施形態的控制模式為爐串聯控制的情況的溫度控制部238的結構圖。
圖7為顯示關於本發明第1實施形態的變形例的臨界溫度T1及T2與控制模式的關係之圖。
圖8為顯示形成關於本發明第2實施形態的SiC(碳化矽)磊晶膜的半導體製造裝置300之一例的立體圖。
圖9(a)為顯示關於本發明第2實施形態的處理爐328一例的側面剖面圖,(b)為支撐於舟皿320上的晶圓304的側面剖面圖。
圖10為顯示關於本發明第2實施形態的半導體製造裝置300的控制結構一例的方塊圖。
圖11為顯示關於本發明第2實施形態的處理爐328一例的平面剖面圖。
圖12為顯示關於本發明第2實施形態的半導體製造裝置300的氣體供應單元380一例的模式圖。
圖13為關於本發明第2實施形態的處理爐328及周邊構造的示意剖面圖。
圖14為顯示溫度控制部362之溫度控制的切換規則之表。
圖15為顯示高溫用輻射溫度計的控制與低溫用輻射溫度計的控制之切換的圖表。
圖16為顯示本發明第2實施形態的變形例中的溫度控制部362之溫度控制的切換規則之表。
115...舟皿升降機
200...晶圓
201...處理室
202...處理爐
204...均熱管(外管)
206...舟皿
218...隔熱筒
219...密封蓋
220...O型環
229...氣體排氣管
230...氣體導入部
231...氣體排氣部
231a...排氣口
232...氣體供應管
233...頂棚部
233a...氣體導入口
234...細管
235...氣體流量控制部
236...壓力控制部
237...驅動控制部
238...溫度控制部
239...主控制部
240...控制器
241...MFC(質流控制器)
242...壓力調整裝置
245...壓力感測器
246...排氣裝置
251...加熱器底座
255...旋轉軸
254...旋轉機構
257...底座
263...內部溫度感測器
264...外部溫度感測器

Claims (3)

  1. 一種基板處理裝置,其具有:加熱手段,其係加熱收容基板的處理室;第1溫度檢測手段,其係使用第1熱電偶檢測該基板附近的溫度;第2溫度檢測手段,其係使用第2熱電偶檢測該加熱手段附近的溫度;控制手段,其係根據由該第1溫度檢測手段檢測出的溫度、及由該第2溫度檢測手段檢測出的溫度,控制該加熱手段;及控制切換手段,其係根據由該第1溫度檢測手段檢測出的溫度、及由該第2溫度檢測手段檢測出的溫度,控制該控制手段,以使該控制手段切換第1控制模式與第2控制模式;該第1熱電偶的耐熱性比該第2熱電偶的耐熱性更大,該第2熱電偶的溫度檢測性能比該第1熱電偶的溫度檢測性能更高。
  2. 一種基板處理裝置的溫度控制方法,其具有:利用加熱手段加熱收容基板的處理室之階段;藉由使用第1熱電偶的第1溫度檢測手段檢測該基板附近的溫度之階段;藉由使用第2熱電偶的第2溫度檢測手段檢測該加熱手段附近的溫度之階段;及按照由該第1溫度檢測手段或該第2溫度檢測手段檢測出的溫度,切換第1控制模式及第2控制模式之階段,該第1控制模式係根據由該第1溫度檢測手段檢測出的溫度、及由該第2溫度檢測手段檢測出的溫度控制該加熱手段,該第2控制模式係根據由該第2溫度檢測手段檢測出的溫度控制該加熱手段;而該第1熱電偶的耐熱性比該第2熱電偶的耐熱性更大,該第2熱電偶的溫度檢測性能比該第1熱電偶的溫度檢測性能更高。
  3. 一種基板處理裝置,其具有:加熱手段,其係加熱收容基板的處理室;第1溫度檢測手段,其係使用第1輻射溫度計檢測由該加熱手段加熱的溫度;第2溫度檢測手段,其係使用第2輻射溫度計來檢測由該加熱手段加熱的溫度,而該第2輻射溫度計是將比由第1輻射溫度計測定的溫度範圍的上限更高的溫度作為所測定的溫度範圍的上限,且以比由第1輻射溫度計測定的溫度範圍的下限更高的溫度作為所測定的溫度範圍的下限;控制手段,其係根據由該第1溫度檢測手段檢測出的溫度、及由該第2溫度檢測手段檢測出的溫度,控制該加熱手段;及控制切換手段,其係根據由該第1溫度檢測手段檢測出的溫度、及由該第2溫度檢測手段檢測出的溫度來控制該控制手段,以使該控制機構切換第1控制模式與第2控制模式;或是根據由該第1溫度檢測手段或該第2溫度檢測手段檢測出的溫度與預定的臨界值來控制該控制手段,以使該控制手段切換第1控制模式與第2控制模式。
TW100137618A 2010-10-18 2011-10-18 基板處理裝置、基板處理裝置的溫度控制方法及基板處理裝置的加熱方法 TWI437655B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010233575 2010-10-18
JP2011106088A JP5734081B2 (ja) 2010-10-18 2011-05-11 基板処理装置、基板処理装置の温度制御方法、及び基板処理装置の加熱方法

Publications (2)

Publication Number Publication Date
TW201230229A TW201230229A (en) 2012-07-16
TWI437655B true TWI437655B (zh) 2014-05-11

Family

ID=45934381

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100137618A TWI437655B (zh) 2010-10-18 2011-10-18 基板處理裝置、基板處理裝置的溫度控制方法及基板處理裝置的加熱方法

Country Status (5)

Country Link
US (1) US9418881B2 (zh)
JP (1) JP5734081B2 (zh)
KR (1) KR101267288B1 (zh)
CN (1) CN102456596B (zh)
TW (1) TWI437655B (zh)

Families Citing this family (209)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US9068263B2 (en) * 2009-02-27 2015-06-30 Sandvik Thermal Process, Inc. Apparatus for manufacture of solar cells
JP2012172871A (ja) * 2011-02-18 2012-09-10 Tokyo Electron Ltd 熱処理装置および熱処理装置の温度測定方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103545232B (zh) * 2012-07-09 2017-10-17 北京七星华创电子股份有限公司 用于半导体热处理设备的温控系统及方法、应用该系统的设备
KR101387518B1 (ko) * 2012-08-28 2014-05-07 주식회사 유진테크 기판처리장치
WO2014038453A1 (ja) * 2012-09-05 2014-03-13 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
CN103712470A (zh) * 2012-10-08 2014-04-09 丹阳市江南工业炉有限公司 热处理炉的热电偶装置
CN103871927A (zh) * 2012-12-10 2014-06-18 上海华虹宏力半导体制造有限公司 垂直扩散氧化炉石英工艺管的结构
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103243393A (zh) * 2013-05-06 2013-08-14 上海煦康电子科技有限公司 一种气体预热装置、扩散炉及进气预热的方法
CN103677009B (zh) * 2013-12-16 2016-06-01 北京七星华创电子股份有限公司 半导体热处理设备的温控方法
CN104750140B (zh) * 2013-12-31 2017-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔加热控制方法及装置
TWI569346B (zh) * 2014-01-16 2017-02-01 尤金科技有限公司 基板處理裝置及加熱器之溫度調整方法
JP6087323B2 (ja) * 2014-07-31 2017-03-01 東京エレクトロン株式会社 熱処理装置、熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI642137B (zh) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
TWI611043B (zh) 2015-08-04 2018-01-11 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及記錄媒體
JP6629012B2 (ja) * 2015-08-31 2020-01-15 豊田鉄工株式会社 加熱炉用のワーク搬送装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6868471B2 (ja) * 2017-05-31 2021-05-12 ファスフォードテクノロジ株式会社 半導体製造装置および半導体装置の製造方法
JP6948842B2 (ja) * 2017-06-02 2021-10-13 昭和電工株式会社 アニール装置及び半導体ウェハの製造方法
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
WO2019140200A1 (en) 2018-01-15 2019-07-18 Applied Materials, Inc. Advanced temperature monitoring system and methods for semiconductor manufacture productivity
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
CN111834247B (zh) * 2019-04-23 2023-09-08 北京北方华创微电子装备有限公司 冷却装置和半导体处理设备
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) * 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) * 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11545375B2 (en) * 2019-06-17 2023-01-03 Applied Materials, Inc. Hybrid control system for workpiece heating
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
JP7418287B2 (ja) * 2020-05-29 2024-01-19 東京エレクトロン株式会社 基板処理装置及び基板処理方法
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
US12013291B2 (en) * 2020-10-14 2024-06-18 Applied Materials, Inc. Advanced temperature monitoring system with expandable modular layout design
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230215746A1 (en) * 2021-12-30 2023-07-06 Semes Co., Ltd. Temperature controller

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4913790A (en) * 1988-03-25 1990-04-03 Tokyo Electron Limited Treating method
JP3107219B2 (ja) * 1990-11-06 2000-11-06 東京エレクトロン株式会社 熱処理装置
JP3254320B2 (ja) * 1993-11-16 2002-02-04 日本碍子株式会社 炉の温度測定方法
JPH097965A (ja) * 1995-06-22 1997-01-10 Kokusai Electric Co Ltd 半導体製造装置の温度制御装置
JP3380668B2 (ja) * 1996-01-23 2003-02-24 東京エレクトロン株式会社 温度調整方法、温度調整装置及び熱処理装置
US5895596A (en) * 1997-01-27 1999-04-20 Semitool Thermal Model based temperature controller for semiconductor thermal processors
US5831249A (en) * 1997-01-29 1998-11-03 Advanced Micro Devices, Inc. Secondary measurement of rapid thermal annealer temperature
US6063234A (en) * 1997-09-10 2000-05-16 Lam Research Corporation Temperature sensing system for use in a radio frequency environment
JP3246891B2 (ja) * 1998-02-03 2002-01-15 東京エレクトロン株式会社 熱処理装置
KR20010071235A (ko) * 1998-05-11 2001-07-28 세미툴 인코포레이티드 열반응기용 온도 제어 시스템
JP4551515B2 (ja) * 1998-10-07 2010-09-29 株式会社日立国際電気 半導体製造装置およびその温度制御方法
JP2000286207A (ja) * 1999-03-30 2000-10-13 Tokyo Electron Ltd 熱処理装置及び熱処理方法
JP4493192B2 (ja) * 2000-09-13 2010-06-30 東京エレクトロン株式会社 バッチ式熱処理装置及びその制御方法
JP3834216B2 (ja) * 2000-09-29 2006-10-18 株式会社日立国際電気 温度制御方法
WO2002071459A1 (fr) * 2001-03-05 2002-09-12 Tokyo Electron Limited Procede et systeme de traitement thermique
JP4509433B2 (ja) * 2001-07-12 2010-07-21 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
WO2003012567A1 (en) * 2001-07-30 2003-02-13 Tokyo Electron Limited Plasma chamber wall segment temperature control
JP2003318121A (ja) * 2002-04-26 2003-11-07 Trecenti Technologies Inc 半導体装置の製造方法
KR100479988B1 (ko) * 2002-07-24 2005-03-30 미래산업 주식회사 반도체 소자 테스트 핸들러의 발열 보상방법
JP4286514B2 (ja) * 2002-09-27 2009-07-01 株式会社日立国際電気 半導体製造装置及び温度制御方法、半導体製造方法
US7346273B2 (en) * 2003-07-28 2008-03-18 Hitachi Kokusai Electric Inc Substrate processing equipment
US7625603B2 (en) * 2003-11-14 2009-12-01 Robert Bosch Gmbh Crack and residue free conformal deposited silicon oxide with predictable and uniform etching characteristics
US7112763B2 (en) * 2004-10-26 2006-09-26 Applied Materials, Inc. Method and apparatus for low temperature pyrometry useful for thermally processing silicon wafers
US7577493B2 (en) * 2004-12-27 2009-08-18 Hitachi Kokusai Electric Inc. Temperature regulating method, thermal processing system and semiconductor device manufacturing method
JP4783029B2 (ja) 2005-01-31 2011-09-28 株式会社日立国際電気 熱処理装置及び基板の製造方法
JP2006284155A (ja) * 2005-04-05 2006-10-19 Matsushita Electric Ind Co Ltd マイクロ波焼成炉
JP4692143B2 (ja) * 2005-08-12 2011-06-01 住友電気工業株式会社 半導体装置の製造方法および製造装置
US8367975B2 (en) * 2006-03-09 2013-02-05 Hitachi Kokusai Electric Inc. Temperature adjustment method
US7727780B2 (en) * 2007-01-26 2010-06-01 Hitachi Kokusai Electric Inc. Substrate processing method and semiconductor manufacturing apparatus
US20090095422A1 (en) * 2007-09-06 2009-04-16 Hitachi Kokusai Electric Inc. Semiconductor manufacturing apparatus and substrate processing method
JP5101243B2 (ja) * 2007-10-29 2012-12-19 東京エレクトロン株式会社 基板処理装置,基板処理装置の制御方法,およびプログラム
JP5274213B2 (ja) * 2008-11-14 2013-08-28 株式会社日立国際電気 基板処理装置および半導体装置の製造方法、温度制御方法

Also Published As

Publication number Publication date
JP2012109520A (ja) 2012-06-07
CN102456596B (zh) 2016-01-20
KR20120040090A (ko) 2012-04-26
KR101267288B1 (ko) 2013-05-27
CN102456596A (zh) 2012-05-16
US20120094010A1 (en) 2012-04-19
TW201230229A (en) 2012-07-16
JP5734081B2 (ja) 2015-06-10
US9418881B2 (en) 2016-08-16

Similar Documents

Publication Publication Date Title
TWI437655B (zh) 基板處理裝置、基板處理裝置的溫度控制方法及基板處理裝置的加熱方法
JP5564311B2 (ja) 半導体装置の製造方法、基板処理装置及び基板の製造方法
JP5730496B2 (ja) 熱処理装置、半導体デバイスの製造方法および基板処理方法
US9028614B2 (en) Substrate processing apparatus
US20100154711A1 (en) Substrate processing apparatus
US20210313205A1 (en) Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Heater
US20100151682A1 (en) Method of manufacturing semiconductor device and substrate processing apparatus
KR102076643B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
JP2012178492A (ja) 基板処理装置およびガスノズルならびに基板若しくは半導体デバイスの製造方法
JP5632190B2 (ja) 半導体装置の製造方法、基板の製造方法及び基板処理装置
JP2012193985A (ja) 基板処理装置、及び、基板の製造方法
KR20210117950A (ko) 기화 장치, 기판 처리 장치, 클리닝 방법 및 반도체 장치의 제조 방법
JP2013197507A (ja) 基板処理装置および基板処理方法ならびに半導体装置の製造方法
JP5783859B2 (ja) 基板処理装置及び基板処理装置の温度制御方法
US11866822B2 (en) Vaporizer, substrate processing apparatus, and method of manufacturing semiconductor device
JP2012178443A (ja) 基板処理装置
JP2013201292A (ja) 基板処理装置
JP2012054408A (ja) 基板処理装置及び被処理基板の製造方法
JP2012195355A (ja) 基板処理装置及び基板の製造方法
JP2011199214A (ja) 熱処理装置、半導体装置の製造方法、及び、基板の製造方法
JP2013197249A (ja) 基板処理装置、半導体装置の製造方法及び流量制御方法
WO2014046242A1 (ja) 温度測定器および基板処理装置ならびに温度制御方法および半導体装置の製造方法
JP2011204945A (ja) 基板処理装置および半導体装置の製造方法
JP2014179550A (ja) 基板処理装置
JP2012134332A (ja) 基板処理方法および基板処理装置