KR101267288B1 - 기판 처리 장치, 기판 처리 장치의 온도 제어 방법 및 기판 처리 장치의 가열 방법 - Google Patents

기판 처리 장치, 기판 처리 장치의 온도 제어 방법 및 기판 처리 장치의 가열 방법 Download PDF

Info

Publication number
KR101267288B1
KR101267288B1 KR1020110070196A KR20110070196A KR101267288B1 KR 101267288 B1 KR101267288 B1 KR 101267288B1 KR 1020110070196 A KR1020110070196 A KR 1020110070196A KR 20110070196 A KR20110070196 A KR 20110070196A KR 101267288 B1 KR101267288 B1 KR 101267288B1
Authority
KR
South Korea
Prior art keywords
temperature
control
gas
thermocouple
radiation thermometer
Prior art date
Application number
KR1020110070196A
Other languages
English (en)
Other versions
KR20120040090A (ko
Inventor
시노부 스기우라
마사아키 우에노
카즈오 다나카
마사시 스기시타
히데토 야마구치
켄지 시라코
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20120040090A publication Critical patent/KR20120040090A/ko
Application granted granted Critical
Publication of KR101267288B1 publication Critical patent/KR101267288B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1927Control of temperature characterised by the use of electric means using a plurality of sensors
    • G05D23/193Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces
    • G05D23/1931Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces to control the temperature of one space
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/20Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature
    • G05D23/22Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature the sensing element being a thermocouple
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated

Abstract

본 발명의 목적은, 온도 센서를 이용하여 열처리를 제어할 때의 불량을 억제하는 기판 처리 장치를 제공하는 것에 있다. 기판을 수용하는 처리실의 외주에 배치되고 상기 처리실을 가열하는 가열 수단; 상기 가열 수단의 내방(內方)에 배치되고, 열전대를 이용하여 온도를 검출하는 제1 온도 검출 수단; 상기 가열 수단의 내방으로서 상기 제1 온도 검출 수단보다도 상기 가열 수단에 가까운 위치에 배치되고, 열전대를 이용하여 온도를 검출하는 제2 온도 검출 수단; 상기 제1 온도 검출 수단에 의해 검출된 온도 및 상기 제2 온도 검출 수단에 의해 검출된 온도에 기초하여 상기 가열 수단을 제어하는 제어 수단; 및 상기 제어 수단이 제1 제어 모드 및 제2 제어 모드 사이에서 절체하도록 상기 제1 온도 검출 수단에 의해 검출된 온도 및 상기 제2 온도 검출 수단에 의해 검출된 온도에 기초하여 상기 제어 수단을 제어하는 제어 절체 수단;을 포함하고, 상기 제1 열전대의 내열성은 상기 제2 열전대의 그것보다 크며, 상기 제2 열전대의 온도 검출 성능은 상기 제1 열전대의 그것보다 높다.

Description

기판 처리 장치, 기판 처리 장치의 온도 제어 방법 및 기판 처리 장치의 가열 방법{SUBSTRATE PROCESSING APPARATUS, TEMPERATURE CONTROLLING METHOD OF SUBSTRATE PROCESSING APPARATUS, AND HEATING METHOD OF SUBSTRATE PROCESSING APPARATUS}
본 발명은, 확산이나 CVD처리를 수행하여, 원하는 열처리를 수행하는 기판 처리 장치에 관한 것이다.
종형(縱型) 열확산 장치나 종형 감압 CVD장치는, 예를 들면 처리 기판에 박막을 형성하기 위하여 열처리로(熱處理爐) 내에 기판을 수용하고, 소정의 가열 수단으로 열처리로 내를 가열한다. 그리고, 대부분의 경우, 열처리로에 설치한 온도 센서에 의해 열처리로 내의 온도를 검지하고, 그 결과에 따라 온도를 제어하도록 되어 있다.
예를 들면, 특허문헌 1은, 급속 냉각에 수반하는 외란(外亂)이 생겨도 항상 안정된 피드백 제어에 의해 온도를 제어하는 반도체 제조 장치에 대해서 개시하고 있다. 이 반도체 제조 장치에서는, 승온(昇溫) 공정 및 목표 온도의 유지 시에는, 열처리로 내를 가열하는 히터 근방에 설치된 열전대(히터 열전대)와, 열처리로 내부의 균열관(均熱管)과 반응관의 사이에 설치된 열전대(캐스케이드 열전대)를 이용하여 캐스케이드 제어 루프에 의한 온도 제어를 수행하고, 히터 온도의 하강 시에는, 캐스케이드 열전대만을 이용한 직접 제어 루프로 절체(切替)하여 온도 제어를 수행한다.
1. 일본 특허 공개 2004-119804호 공보
예를 들면, 종래부터 종형 열확산 장치의 열처리로 내의 온도를 검지하는 온도 센서에는 일반적으로 R형 열전대가 이용되고 있다. 그러나, 종형 열확산 장치, 특히 처리 온도가 고온(1000℃ 이상)의 장치에 있어서 R형 열전대를 이용하면, 조기(早期)에 열전대가 단선(斷線)하는 문제가 있다. 이는, 열전대의 +측 소선(素線)과 -측 소선의 열팽창율의 차이로부터 고온 시에 신장(伸長)량의 차이가 발생하는 것에 의해 열전대가 변형되고, 승온 및 강온의 반복으로 변형이 반복되어, 소선이 열화(劣化)하여 단선에 이른다고 생각된다. 또한, 별도의 요인으로서, 고온에서의 사용에 의해 열전대 결정(結晶)이 비대화(肥大化)되고, 결정입(結晶粒) 계면에서의 강도가 약해져 단선에 이른다.
이에 대하여, R형 열전대에 비하여 내열성이 뛰어난 B형 열전대는, 열기전력(熱起電力)이 작고, 저온의 측정이 곤란한 문제를 안고 있다.
또한, R형 열전대 및 B형 열전대란 JIS규격 C1602에 규정되어 있는 열전대를 말한다. 보다 구체적으로는, R형 열전대란, +각(脚)의 구성 재료로서 로듐 13%를 포함하는 백금 로듐 합금을 사용하고, -각의 구성 재료로서 백금을 사용한 열전대이다. 또한, B형 열전대란, +각의 구성 재료로서 로듐 30%를 포함하는 백금 로듐 합금을 사용하고, -각의 구성 재료로서 로듐 6%를 포함하는 백금 로듐 합금을 사용한 열전대이다.
또한, 예를 들면, 방사 온도계를 이용하여 열처리로 내의 온도를 검지하는 경우, 방사 온도계는 파장에 의해 대상물의 온도를 측정하므로, 측정 가능 온도 범위가 한정되어 버린다. 그로부터, 광범위한 온도 측정을 실현하기 위해서는, 저온용 방사 온도계, 고온용 방사 온도계와 같이 복수 종의 방사 온도계가 필요해진다. 그러나, 복수 종의 방사 온도계를 온도대에 따라서 절체하여 제어하는 경우, 절체 시의 온도 측정값 및 절체가 수행되는 온도 부근의 온도 측정값이 불안정해지는 문제가 있다.
본 발명의 목적은, 온도 센서를 이용하여 열처리를 제어할 때의 불량을 억제하는 기판 처리 장치를 제공하는 것에 있다.
상기 목적을 달성하기 위해서, 본 발명에 따른 기판 처리 장치는, 기판을 수용하는 처리실의 외주에 배치되고 상기 처리실을 가열하는 가열 수단; 상기 가열 수단의 내방(內方)에 배치되고, 열전대를 이용하여 온도를 검출하는 제1 온도 검출 수단; 상기 가열 수단의 내방으로서 상기 제1 온도 검출 수단보다도 상기 가열 수단에 가까운 위치에 배치되고, 열전대를 이용하여 온도를 검출하는 제2 온도 검출 수단; 상기 제1 온도 검출 수단에 의해 검출된 온도 및 상기 제2 온도 검출 수단에 의해 검출된 온도에 기초하여 상기 가열 수단을 제어하는 제어 수단; 및 상기 제어 수단이 제1 제어 모드 및 제2 제어 모드 사이에서 절체하도록 상기 제1 온도 검출 수단에 의해 검출된 온도 및 상기 제2 온도 검출 수단에 의해 검출된 온도에 기초하여 상기 제어 수단을 제어하는 제어 절체 수단;을 포함하고, 상기 제1 열전대의 내열성은 상기 제2 열전대의 그것보다 크며, 상기 제2 열전대의 온도 검출 성능은 상기 제1 열전대의 그것보다 높다.
또한, 본 발명에 따른 기판 처리 장치의 온도 제어 방법은, 기판을 수용하는 처리실을 상기 처리실의 외주에 배치되는 가열 수단에 의해 가열하는 단계; 상기 가열 수단의 내방에 배치되고 열전대를 이용하여 온도를 검출하는 제1 온도 검출 수단을 이용하여 온도를 검출하는 단계; 상기 가열 수단의 내방으로서 상기 제1 온도 검출 수단보다도 상기 가열 수단에 가까운 위치에 배치되고 열전대를 이용하여 온도를 검출하는 제2 온도 검출 수단을 이용하여 온도를 검출하는 단계; 및 상기 제1 온도 검출 수단에 의해 검출된 온도 및 상기 제2 온도 검출 수단에 의해 검출된 온도에 기초하여 상기 가열 수단을 제어하는 제1 제어 모드와, 상기 제2 온도 검출 수단에 검출된 온도에 기초하여 상기 가열 수단을 제어하는 제2 제어 모드를, 상기 제1 온도 검출 수단 또는 상기 제2 온도 검출 수단에 의해 검출된 온도를 따라서 절체하는 단계를 포함하되, 상기 제1 열전대의 내열성은 상기 제2 열전대의 그것보다 크며, 상기 제2 열전대의 온도 검출 성능은 상기 제1 열전대의 그것보다 높다.
또한, 본 발명에 따른 기판 처리 장치는, 기판을 수용하는 처리실을 가열하는 가열 수단; 제1 방사 온도계를 이용하여 상기 가열 수단에 의해 가열된 온도를 검출하는 제1 온도 검출 수단; 제1 방사 온도계에 의해 측정되는 온도의 범위의 상한보다도 높은 온도를 측정되는 온도의 범위의 상한으로 하고, 제1 방사 온도계에 의해 측정되는 온도의 범위의 하한보다도 높은 온도를 측정되는 온도의 범위의 하한으로 하는 제2 방사 온도계를 이용하여, 상기 가열 수단에 의해 가열된 온도를 검출하는 제2 온도 검출 수단; 상기 제1 온도 검출 수단에 의해 검출된 온도 및 상기 제2 온도 검출 수단에 의해 검출된 온도에 기초하여 상기 가열 수단을 제어하는 제어 수단; 및 상기 제어 수단이 제1 제어 모드 및 제2 제어 모드 사이에서 절체하도록 상기 제1 온도 검출 수단에 의해 검출된 온도 및 상기 제2 온도 검출 수단에 의해 검출된 온도에 기초하여 상기 제어 수단을 제어하거나, 상기 제어 수단이 제1 제어 모드 및 제2 제어 모드 사이에서 절체하도록 상기 제1 온도 검출 수단 또는 상기 제2 온도 검출 수단에 의해 검출된 온도와 미리 정해진 역치에 기초하여, 상기 제어 수단을 제어하는 제어 절체 수단;을 포함한다.
또한, 본 발명에 따른 기판 처리 장치의 가열 방법은, 기판을 수용하는 처리실을 가열 수단에 의해 가열하는 단계; 제1 방사 온도계를 이용하여 상기 가열 수단에 의해 가열된 온도를 제1 온도 검출 수단에 의해 검출하는 단계; 제1 방사 온도계에 의해 측정되는 온도의 범위의 상한보다도 높은 온도를 측정되는 온도의 범위의 상한으로 하고, 제1 방사 온도계에 의해 측정되는 온도의 범위의 하한보다도 높은 온도를 측정되는 온도의 범위의 하한으로 하는 제2 방사 온도계를 이용하여, 상기 가열 수단에 의해 가열된 온도를 제2 온도 검출 수단에 의해 검출하는 단계; 및 상기 제1 온도 검출 수단에 의해 검출된 온도에 기초하여 상기 가열 수단을 제어하는 제1 제어 수단 및 상기 제2 온도 검출 수단에 의해 검출된 온도에 기초하여 상기 가열 수단을 제어하는 제2 제어 수단을, 상기 제1 온도 검출 수단 또는 상기 제2 온도 검출 수단에 의해 검출된 온도와 미리 정해진 역치에 기초하여 절체하는 단계를 포함한다.
본 발명에 따르면, 온도 센서를 이용하여 열처리를 제어할 때의 불량을 억제하는 기판 처리 장치를 제공할 수 있다.
도 1은 본 발명의 제1 실시 형태에 따른 기판 처리 장치인 종형 열확산 장치의 처리로(處理爐)의 단면도이다.
도 2는 본 발명의 제1 실시 형태에 따른 B형 열전대 및 R형 열전대의 온도 특성을 도시하는 도면이다.
도 3은 본 발명의 제1 실시 형태에 따른 B형 열전대 및 R형 열전대의 온도 특성을 도시하는 도면의 확대도이다.
도 4는 본 발명의 제1 실시 형태에 따른 온도 제어의 플로우 챠트를 도시하는 도면이다.
도 5는 본 발명의 제1 실시 형태에 따른 제어 모드가 히터 다이렉트 제어인 경우의 온도 제어부(238)의 구성도이다.
도 6은 본 발명의 제1 실시 형태에 따른 제어 모드가 퍼니스 캐스케이드 제어인 경우의 온도 제어부(238)의 구성도이다.
도 7은 본 발명의 제1 실시 형태의 변형예에 따른 역치 온도(T1) 및 역치 온도(T2)와 제어 모드의 관계를 도시하는 도면이다.
도 8은 본 발명의 제2 실시 형태에 따른 SiC(탄화규소) 에피텍셜 막을 성막 하는 반도체 제조 장치(300)의 일 예를 도시하는 사시도이다.
도 9의 (a)는 본 발명의 제2 실시 형태에 따른 처리로(328)의 일 예를 도시하는 측면 단면도이며, 도 9의 (b)는 보트(320)에 지지된 웨이퍼(304)의 측면 단면도이다.
도 10은 본 발명의 제2 실시 형태에 따른 반도체 제조 장치(300)의 제어 구성의 일 예를 도시하는 블록도이다.
도 11은 본 발명의 제2 실시 형태에 따른 처리로(328)의 일 예를 도시하는 평면 단면도이다.
도 12는 본 발명의 제2 실시 형태에 따른 반도체 제조 장치(300)의 가스 공급 유닛(380)의 일 예를 도시하는 모식도이다.
도 13은 본 발명의 제2 실시 형태에 따른 처리로(328) 및 주변 구조의 개략 단면도이다.
도 14는 온도 제어부(362)에 의한 온도 제어의 절체 규칙을 도시하는 표이다.
도 15는 고온용 방사 온도계에 의한 제어와 저온 방사 온도계에 의한 제어의 절체를 도시하는 그래프이다.
도 16은 본 발명의 제2 실시 형태의 변형예에 있어서의 온도 제어부(362)에 의한 온도 제어의 절체 규칙을 도시하는 표이다.
이하, 본 발명의 제1 실시 형태에 대해서 도면에 기초하여 설명한다.
도 1은 본 발명의 제1 실시 형태에서 바람직하게 이용되는 기판 처리 장치인 종형 열확산 장치의 처리로(202)의 개략 구성도이며, 종단면도로서 도시되어 있다.
도 1에 도시하는 바와 같이, 처리로(202)는 가열 기구로서의 히터(206)를 포함한다. 히터(206)는 원통 형상이며, 보지판(保持板)으로서의 히터 베이스(251)로 지지되는 것에 의해 수직으로 설치되어 있다.
히터(206)의 내측에는, 예를 들면, 탄화규소(SiC) 등의 내열성 재료로 이루어지고, 상단이 폐색(閉塞)하고 하단이 개구한 원통 형상인 균열관(외관, 205)이, 히터(206)와 동심원상(同心圓狀)으로 배설(配設)되어 있다. 또한, 균열관(205)의 내측에는, 예를 들면 석영(SiO2) 등의 내열성 재료로 이루어지고, 상단이 폐색하고 하단이 개구한 원통 형상인 반응관(내관, 204)이, 균열관(205)과 동심원상으로 배설되어 있다. 반응관(204)의 통중공부(筒中空部)에는 처리실(201)이 형성되어 있고, 기판으로서의 웨이퍼(200)를 후술하는 보트(217)에 의해 수평 자세에서 수직 방향으로 다단으로 정렬한 상태로 수용 가능하게 구성되어 있다.
반응관(204)의 하단부에는 가스 도입부(230)가 설치되어 있고, 가스 도입부(230)로부터 반응관(204)의 천정부(天井部, 233)에 이르기까지 반응관(204)의 외벽에 첨가하여 가스 도입관으로서의 세관(細管, 234)이 배설되어 있다. 가스 도입부(230)로부터 도입된 가스는, 세관(234) 내를 유통하여 천정부(233)에 이르고, 천정부(233)에 설치된 복수의 가스 도입구(233a)로부터 처리실(201) 내에 도입된다. 또한, 반응관(204)의 하단부의 가스 도입부(230)와 다른 위치에는, 반응관(204) 내의 분위기를 배기구(231a)로부터 배기하는 가스 배기부(231)가 설치되어 있다.
가스 도입부(230)에는, 가스 공급관(232)이 접속되어 있다. 가스 공급관(232)의 가스 도입부(230)와의 접속측과 반대측인 상류측에는, 가스 유량 제어기로서의 매스 플로우 컨트롤러(MFC, 241)를 개재하여 도시하지 않은 처리 가스 공급원, 캐리어 가스 공급원, 불활성 가스 공급원이 접속되어 있다. 또한, 처리실(201) 내에 수증기를 공급할 필요가 있는 경우는, 가스 공급관(232)의 MFC(241)보다도 하류측에, 도시하지 않은 수증기 발생 장치가 설치된다. MFC(241)에는, 가스 유량 제어부(235)가 전기적으로 접속되어 있고, 공급하는 가스의 유량이 원하는 양이 되도록 원하는 타이밍으로 제어하도록 구성되어 있다.
가스 배기부(231)에는, 가스 배기관(229)이 접속되어 있다. 가스 배기관(229)의 가스 배기부(231)과의 접속측과는 반대측인 하류측에는 압력 검출기로서의 압력 센서(245) 및 압력 조정 장치(242)를 개재하여 배기 장치(246)가 접속되어 있고, 처리실(201) 내의 압력이 소정의 압력이 되도록 배기할 수 있도록 구성되어 있다. 압력 조정 장치(242) 및 압력 센서(245)에는, 압력 제어부(236)가 전기적으로 접속되어 있고, 압력 제어부(236)는 압력 센서(245)에 의해 검출된 압력에 기초하여 압력 조정 장치(242)에 의해 처리실(201) 내의 압력이 원하는 압력이 되도록 원하는 타이밍으로 제어하도록 구성되어 있다.
반응관(204)의 하단부에는, 반응관(204)의 하단 개구를 기밀하게 폐색 가능한 보지체로서의 베이스(257)와, 노구 개체(蓋體)로서 씰 캡(219)이 설치되어 있다. 씰 캡(219)은 예를 들면 스텐레스 등의 금속으로 이루어지고, 원반 형상으로 형성되어 있다. 베이스(257)는 예를 들면 석영으로 이루어지고, 원반 형상으로 형성되어, 씰 캡(219) 상에 설치되어 있다. 베이스(257)의 상면에는 반응관(204)의 하단과 당접(當接)하는 씰 부재로서의 O링(220)이 설치된다. 씰 캡(219)의 처리실(201)과 반대측에는, 보트를 회전시키는 회전 기구(254)가 설치되어 있다. 회전 기구(254)의 회전축(255)은 씰 캡(219)과 베이스(257)를 관통하여, 후술하는 단열통(218)과 보트(217)에 접속되어 있고, 단열통(218) 및 보트(217)를 회전시키는 것으로 웨이퍼(200)를 회전시키도록 구성되어 있다. 씰 캡(219)은 반응관(204)의 외부에 수직하게 설비된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강하도록 구성되어 있고, 이에 의해 보트(217)를 처리실(201)에 대하여 반입 반출하는 것이 가능해지고 있다. 회전 기구(254) 및 보트 엘리베이터(115)에는, 구동 제어부(237)가 전기적으로 접속되어 있고, 원하는 동작을 하도록 원하는 타이밍으로 제어하도록 구성되어 있다.
기판 보지구로서의 보트(217)는, 예를 들면 석영이나 탄화규소 등의 내열성 재료로 이루어지고, 복수 매의 웨이퍼(200)를 수평 자세에서 서로 중심을 맞춘 상태로 정렬시켜서 보지하도록 구성되어 있다. 보트(217)의 하방으로는, 예를 들면 석영이나 탄화규소 등의 내열성 재료로 이루어지는 원통 형상을 한 단열 부재로서의 단열통(218)이 보트(217)를 지지하도록 설치되어 있고, 히터(206)로부터의 열이 반응관(204)의 하단측에 전해지기 어렵게 구성되어 있다.
처리로(202)에는 온도 검출기로서 2종류의 센서가 설치되어 있다. 즉, 균열관(205)과 반응관(204)의 사이에는 온도 검출기로서 복수의 내부 온도 센서(263)가 설치되고, 균열관(205)과 히터(206)의 사이에는 온도 검출기로서의 복수의 외부온도 센서(264)가 설치되어 있다. 이 내부 온도 센서(263) 및 외부 온도 센서(264)는 열전대를 이용하여 온도를 검출하고 있고, 예를 들면, 내부 온도 센서(263)는 B형 열전대를 이용하고, 외부 온도 센서(264)는 R형 열전대를 이용하여 온도를 검출하고 있다. 또한, 내부 온도 센서(263) 및 외부 온도 센서(264)에 대해서는 상세를 후술한다. 히터(206), 내부 온도 센서(263) 및 외부 온도 센서(264)에는, 전기적으로 온도 제어부(238)가 접속되어 있고, 내부 온도 센서(263) 및 외부 온도 센서(264)에 의해 검출된 온도 정보에 기초하여 히터(206)로의 통전 상태를 조정하는 것에 의해 처리실(201) 내의 온도가 원하는 온도 분포가 되도록 원하는 타이밍으로 제어하도록 구성되어 있다.
가스 유량 제어부(235), 압력 제어부(236), 구동 제어부(237), 온도 제어부(238)는, 조작부, 입출력부를 구성하고, 기판 처리 장치 전체를 제어하는 주 제어부(239)에 전기적으로 접속되어 있다. 이들 가스 유량 제어부(235), 압력 제어부(236), 구동 제어부(237), 온도 제어부(238), 주 제어부(239)는 컨트롤러(240)로서 구성되어 있다.
다음으로, 상기 구성에 따른 처리로(202)를 이용하여, 반도체 디바이스의 제조 공정의 일 공정으로서, 웨이퍼(200)에 산화, 확산 등의 처리를 실시하는 방법에 대해서 설명한다. 또한, 이하의 설명에 있어서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(240)에 의해 제어된다.
복수 매의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 차징)되면, 도 1에 도시하는 바와 같이, 복수 매의 웨이퍼(200)를 보지한 보트(217)는, 보트 엘리베이터(115)에 의해 들어 올려져 처리실(201)로 반입(보트 로딩)된다. 이 상태에서, 씰 캡(219)은 베이스(257), O링(220)을 개재하여 반응관(204) 하단을 씰링한 상태가 된다.
처리실(201) 내가 원하는 압력이 되도록 배기 장치(246)에 의해 배기된다. 이 때, 처리실(201) 내의 압력은, 압력 센서(245)에서 측정되고, 이 측정된 압력에 기초하여 압력 조절기(242)가 피드백 제어된다. 또한, 처리실(201) 내가 원하는 온도가 되도록 히터(206)에 의해 가열된다. 이 때, 처리실(201) 내가 원하는 온도 분포가 되도록 온도 검출기인 내부 온도 센서(263) 및 외부 온도 센서(264)가 검출한 온도 정보에 기초하여 히터(206)로의 통전 상태가 피드백 제어된다. 또한, 온도 검출기에 의해 검출된 온도 정보에 기초하는 히터(206)의 제어에 대해서는, 상세를 후술한다. 계속해서, 회전 기구(254)에 의해, 단열통(218), 보트(217)가 회전되는 것으로 웨이퍼(200)가 회전된다.
다음으로, 처리 가스 공급원 및 캐리어 가스 공급원으로부터 공급되어, MFC(241)에서 원하는 유량이 되도록 제어된 가스는, 가스 공급관(232)으로부터 가스 도입부(230) 및 세관(234)을 유통(流通)하여 천정부(233)에 이르고, 복수의 가스 도입구(233a)로부터 처리실(201) 내에 샤워 형상으로 도입된다. 또한, 웨이퍼(200)에 대하여 수증기를 이용한 처리를 수행하는 경우는, MFC(241)에서 원하는 유량이 되도록 제어된 가스는 수증기 발생 장치에 공급되고, 수증기 발생 장치에서 생성된 수증기(H2O)를 포함하는 가스가 처리실(201)에 도입된다. 도입된 가스는 처리실(201) 내를 유하(流下)하고, 배기구(231a)를 유통하여 가스 배기부(231)로부터 배기된다. 가스는 처리실(201) 내를 통과할 때에 웨이퍼(200)의 표면과 접촉하여, 웨이퍼(200)에 대하여 산화, 확산 등의 처리가 수행된다.
미리 설정된 처리 시간이 경과하면, 불활성 가스 공급원으로부터 불활성 가스가 공급되어, 처리실(201) 내가 불활성 가스로 치환되는 것과 함께, 처리실(201) 내의 압력이 상압으로 복귀된다.
그 후, 보트 엘리베이터(115)에 의해 씰 캡(219)이 하강되어서, 반응관(204)의 하단이 개구되는 것과 함께, 처리 완료된 웨이퍼(200)가 보트(217)에 보지된 상태에서 반응관(204)의 하단으로부터 반응관(204)의 외부로 반출(보트 언로딩)된다. 그 후, 처리 완료된 웨이퍼(200)는 보트(217)로부터 취출(取出)된다(웨이퍼 디스차징).
이상이 웨이퍼(200)에 산화, 확산 등의 처리를 실시하기 위한 일련의 공정이 된다. 다음으로 본 실시 형태에 있어서의, 온도 검출기 및 온도 검출기를 이용한 히터(206)의 제어에 대해서 상술한다.
열처리로 내의 온도를 검지하는 경우, 일반적으로 R형 열전대를 온도 센서로서 온도 검출을 수행하지만, 고온 환경 하에서는 R형 열전대의 단선이 조기에 발생한다. 이 과제에 대하여, R형 열전대에 비하여 내열성이 뛰어난 열전대로서 본 실시 형태에서는 B형 열전대를 이용하고 있다. B형 열전대가 내열성이 뛰어난 것은, 백금에 로듐을 첨가하면 일반적으로 기계적 강도가 강해져, 변형에 의한 단선이 생기기 어려워지기 때문이다. 또한, 로듐이 적을수록 결정립(結晶粒)이 조대(粗大)해지고, 이에 의해 로듐이 많으면 결정립의 조대화가 비교적 억제된다고 생각된다. 따라서, 결정립이 조대화한 경우의 결정립 계면의 강도 저하를 억제하는 것을 기대할 수 있다.
그러나, B형 열전대를 이용하는 것은, 다음과 같은 점에서 문제가 된다. 도 2는 B형 열전대 및 R형 열전대의 온도 특성을 나타낸 도면이다. 또한, 이 도면은 JIS규격 C1602의 기준 열기전력표에 기초하여 횡축에 온도(℃), 종축에 열기전력(mV)을 플롯한 것이다. 도 2로부터, B형 열전대는 R형 열전대에 비해 어느 온도영역에 있어서도 열기전력이 작은 것을 알 수 있다. 예를 들면, 1200℃에 있어서의 열기전력은 R형 열전대에서는 13.228mV인 것에 비해 B형 열전대에서는 6.786mV로 작고, 600℃에 있어서의 열기전력은 R형 열전대에서는 5.583mV인 것에 비해 B형 열전대에서는 1.792mV로 작다. 이와 같이 B형 열전대는 열기전력이 작기 때문에, 특히 저온 영역(250℃ 이하)에 있어서 측정 오차가 생기기 쉽고, 측정 정밀도가 악화될 가능성이 있는 문제가 있다.
또한, B형 열전대는 열기전력이 부(負)의 값을 취할 수 있어서 온도를 특별히 지정할 수 없는 문제점도 있다. 도 3은, 도 2에 있어서의 그래프의 0℃로부터100℃ 부근을 확대한 도면이다. B형 열전대는 40℃이하의 온도에서는 열기전력이 부의 값이 되고, 다른 온도에서 동일한 열기전력이 되는 특성을 가진다. 이 때문에, 부의 값의 열기전력을 검출한 경우, 온도를 특정할 수 없다.
이와 같이, B형 열전대를 온도 검출기로서 이용하는 데에는 문제가 있다.
그로부터, 본 실시 형태에서는, 온도 검출기로서 B형 열전대를 이용한 내부 온도 센서(263)와 R형 열전대를 이용한 외부 온도 센서(264)를 설치하고, 역치 온도(T) 미만의 환경에서는 외부 온도 센서(264)만을 이용하여 히터(206)의 온도를 제어하고, 역치 온도(T) 이상의 환경에서는 내부 온도 센서(263) 및 외부 온도 센서(264)를 이용하여 히터(206)의 온도를 제어한다. 또한, 내부 온도 센서(263) 및 외부 온도 센서(264)는, 각각 도 2에서 도시하는 온도 특성에 기초하는 온도-열기전력 변환 테이블에 의해, 검지된 열기전력으로부터 온도를 구하고 있다.
도 4는, 본 실시 형태에 있어서의 온도 제어의 플로우 챠트를 도시하고 있는 도면이다. 플로우 챠트를 따라, 히터(206)의 온도의 제어 방법을 설명한다.
스텝 100(S100)에서는, 히터(206)의 온도의 제어 방법이 선택된다. 본 실시 형태에서는, 제어 방법으로서 후술하는 히터 다이렉트 제어, 퍼니스 캐스케이드 제어 및 ARC다이렉트 C제어의 3개의 제어 모드가 있고, S100에 있어서 어느 하나의 제어 모드가 선택된다.
또한, 본 실시 형태에서는, S100의 처리에 있어서, 히터 다이렉트 제어, 퍼니스 캐스케이드 제어 및 ARC다이렉트 C제어의 3개의 제어 모드 중 어느 하나가가 선택되지만, 별도의 제어 모드가 더 추가되어 있어도 좋고, 또는 ARC다이렉트 C제어를 구비하지 않아도 좋다.
S100에 있어서, 제어 모드로서, 히터 다이렉트 제어가 선택된 경우는 S102의 처리로 진행하고, ARC다이렉트 C제어가 선택된 경우는 S104의 처리로 진행하고, 퍼니스 캐스케이드 제어가 선택된 경우에는 S106의 처리로 진행한다.
스텝 102(S102)에서는, 제어 모드가 절체될 때까지 또는 히터(206)의 온도 제어가 종료될 때까지, 외부 온도 센서(264)의 검출 온도에 기초하여 히터 다이렉트 제어를 수행하여, 히터(206)의 온도를 제어한다.
스텝 104(S104)에서는, 제어 모드가 절체될 때까지 또는 히터(206)의 온도 제어가 종료될 때까지, 내부 온도 센서(263) 및 외부 온도 센서(264)의 검출 온도에는 따르지 않고 ARC다이렉트 C제어를 수행하여, 히터(206)의 온도를 제어한다.
스텝 106(S106)에서는, 내부 온도 센서(263)에 의한 검출 온도를 확인한다. 이 검출 온도가 역치 온도(T) 이상인 경우는 S108의 처리로 진행하고, 퍼니스 캐스케이드 제어를 한다. 또한, 검출 온도가 역치 온도(T) 미만인 경우는, S102의 처리로 진행하고, 히터 다이렉트 제어를 한다. 히터 다이렉트 제어에서는, 내부 온도 센서(263)의 검출 온도는 이용하지 않고 히터(206)의 온도를 제어하는 것이 된다. 이 때문에, 검출 온도가 역치 온도(T) 미만인 경우에는, 내부 온도 센서(263)에 이용되고 있는 B형 열전대의 저온 영역에 있어서의 특성의 영향을 받지 않고, 히터 다이렉트 제어에 의한 안정된 피드백 제어가 가능해진다. 예를 들면, 역치 온도(T)를 250℃로 설정하면, 측정 오차의 발생 및 온도가 특정되지 않는 B형 열전대의 문제에 의한 영향을 받지 않고 안정된 제어를 할 수 있다.
또한, 스텝(106)에서는 B형 열전대가 이용되어 있는 내부 온도 센서(263)에서 저온 영역에서의 영향을 받지 않도록 제어 모드의 절체를 실시하고 있다. 따라서, 내부 온도 센서(263)에 의한 검출 온도를 확인하여 역치 온도(T)와의 비교를 수행하는 것이 바람직하지만, 외부 온도 센서(264)에 의해 검출된 온도를 확인하여 역치 온도(T)와의 비교를 수행하여 제어 모드의 절체를 수행하여도 좋다.
또한, S106의 처리의 직전의 스텝으로서, 내부 온도 센서(263)가 B형 열전대인지 R형 열전대인지를 판별하는 스텝을 설치하여도 좋다. 이러한 판별 스텝을 설치한 경우, 본 실시 형태와 같이 내부 온도 센서(263)가 B형 열전대에 의해 구성되어 있을 때는 S106의 처리로 이행하지만, 내부 온도 센서(263)가 R형 열전대에 의해 구성되어 있을 때는 S106의 처리로 이행하지 않고 S108의 처리로 이행하여 퍼니스 캐스케이드 제어를 수행하게 된다.
스텝 108(S108)에서는, 내부 온도 센서(263)에 의한 검출 온도가 역치 온도(T) 미만이 되거나, 또는 히터(206)의 온도 제어가 종료될 때까지, 내부 온도 센서(263) 및 외부 온도 센서(264)에 의한 퍼니스 캐스케이드 제어를 수행하여, 히터(206)의 온도를 제어한다.
이상 설명한 바와 같이, 본 실시 형태에 따른 기판 처리 장치는, 내부 온도 센서(263) 및 외부 온도 센서(264)의 검출 온도에 기초하여 제어하는 퍼니스 캐스케이드 제어와, 내부 온도 센서(263)의 검출 온도를 이용하지 않는 히터 다이렉트 제어를 온도에 의해 절체하고 있으므로, B형 열전대에 의한 저온 영역의 영향을 받지 않고 안정된 히터(206)의 온도 제어가 가능해진다.
여기서, 스텝(100)에 있어서 선택되는 제어 모드에 대해서 설명한다. 도 5는, 제어 모드가 히터 다이렉트 제어인 경우의 온도 제어부(238)의 구성도이다. 히터 다이렉트 제어에서는, 온도 조정부(270a)가, 히터 근방, 예를 들면 균열관(205)과 히터(206)와의 사이의 복수 존에 삽입된 열전대[외부 온도 센서(264)]에서 계측한 온도와 온도 설정값이 일치하도록 PID제어를 수행하고, 출력 펄스를 출력 제어부(271)에 출력한다. 그리고, 출력 제어부(271)는 입력된 출력 펄스를 기초하여 히터(206)의 온도를 제어한다.
도 6은, 제어 모드가 퍼니스 캐스케이드 제어인 경우의 온도 제어부(238)의 구성도이다. 퍼니스 캐스케이드 제어에 있어서의 온도 조정부(270b)는, 처리로 내, 예를 들면 균열관(205)과 반응관(204)과의 사이의 복수 존에 삽입된 열전대[내부 온도 센서(263)]에 의해 계측한 온도와, 히터 근방의 복수 존에 삽입된 열전대[외부 온도 센서(264)]에 의해 계측한 온도로, 각각 PID제어를 한다. 여기서, 내부 온도 센서(263)에 의한 PID제어와, 외부 온도 센서(264)에 의한 PID제어는 직렬적으로 제어 루프를 구성하고, 2중의 피드백 제어를 수행하고 있다.
ARC다이렉트 C제어란, 히터의 각 존에 대하여, C동작만으로 직접 히터를 제어하는 오픈 루프 제어이다. 여기서, C동작이란, 시간의 함수인 보정 패턴에 의해 나타내어지는 일정한 값(compensation: C)을 출력하는 동작이다.
다음으로, 본 실시 형태의 변형예에 대해서 설명한다. 변형예에서는, S106의 처리에 있어서의 역치 온도로서 T1과 T2를 설치하고 있는 점에서 상술의 실시 형태와 다르다. 도 7은, 역치 온도(T1, T2)와 제어 모드와의 관계를 나타내는 도면이다. 여기서, 도면 중의 실선은 히터 다이렉트 제어를 수행하는 것을 나타내고, 점선은 퍼니스 캐스케이드 제어를 수행하는 것을 나타내고 있다. S106의 처리에 있어서 내부 온도 센서(263)에 의한 검출 온도 결과가 상승하여도, 역치 온도(T1) 미만이면 S102의 처리로 진행하여 히터 다이렉트 제어를 수행한다. 검출 온도가 더욱 상승하여, 역치 온도(T1) 이상이 되면 S108로의 천이로 절체되고, 제어 모드가 퍼니스 캐스케이드 제어로 절체된다. 그 후, 검출 온도가 T2이상이면 퍼니스 캐스케이드 제어가 계속되고, 검출 온도가 T2미만이 되면 S102로의 천이로 절체되고, 제어 모드가 히터 다이렉트 제어로 절체된다.
역치 온도(T)만에 의해 제어 모드의 절체를 하면, 역치 온도(T) 부근에서 검출 온도가 상승 및 하강을 반복하면, 거기에 따른 제어 모드도 히터 다이렉트 제어와 퍼니스 캐스케이드 제어와의 절체가 반복되어 버려, 제어가 불안정하게 될 가능성이 있다. 그러나, 역치 온도(T1, T2)를 설치하여, 검출 온도의 상승시와 하강시에서 제어 모드가 절체되는 온도를 바꾼 경우에는, 역치 온도 부근에서의 제어 모드의 절체의 반복을 방지할 수 있고, 안정된 제어를 할 수 있다.
이상 설명한 실시 형태 및 그 변형예에서는, 내부 온도 센서(263)에 B형 열전대를 이용하고, 외부 온도 센서(264)에 R형 열전대를 이용하고 있지만, 이용하는 열전대는 이에 제한하지 않는다. 즉, 2종류의 열전대(제1 열전대 및 제2 열전대)를 내부 온도 센서(263)와 외부 온도 센서(264)에 각각 이용하고, 제1 열전대는 제2 열전대에 비하여 내열성이 뛰어나고, 제2 열전대는 제1 열전대에 비하여 온도 검출 성능이 좋으면 좋다. 그리고, 제1 열전대의 온도 검출 성능이 불충분한 온도영역에 대하여는, 제2 열전대의 검출 온도에만 의한 피드백 제어를 수행하고, 그 이외의 온도 영역에 대해서는 제1 열전대의 검출 온도와 제2 열전대의 검출 온도를 이용하서 피드백 제어를 하면 좋다.
또한, 본 실시 형태에서는, 내부 온도 센서(263)에 B형 열전대를 이용하고, 외부 온도 센서(264)에 R형 열전대를 이용했지만, 반대로, 내부 온도 센서(263)에 R형 열전대를 이용하고, 외부 온도 센서(264)에 B형 열전대를 이용해도 좋다. 이 경우, 내부 온도 센서(263)에 의해 히터 다이렉트 제어를 수행하는 것이 된다. 또한, 본 실시 형태와 같이 내부 온도 센서(263)에 B형 열전대를 이용하고, 외부 온도 센서(264)에 R형 열전대를 이용하는 것은, 예를 들면 다음과 같은 경우에 바람직하다. 즉, 외부 온도 센서(264)의 각 존의 설치 위치에 있어서 수평 방향으로 열전대가 설치되고, 외부 온도 센서(264)에 사용되는 열전대가 내부 온도 센서(263)에 사용되는 열전대의 길이보다도 짧은 경우다. 이와 같은 경우, 외부 온도 센서(264)에서는, 열전대 자체에 걸리는 하중이 작고, 열전대가 고온이 되는 부분이 짧은 것에 의해 열팽창에 의한 신장량이 작다. 따라서, 외부 온도 센서(264)의 사용 형태는, 내부 온도 센서(263)의 사용 형태와 비교하여 단선이 생기기 어렵다. 이 때문에, 내부 온도 센서(263)에 B형 열전대를 이용하고, 외부 온도 센서(264)에 R형 열전대를 이용하는 것이 바람직하다.
이상 설명한 바와 같이, 본 발명에 따른 제1 실시 형태 및 변형예에서는, 온도 센서의 장수명화(長壽命化)를 도모할 수 있다. 따라서, 기판 처리 장치의 다운 타임을 저감하고, 가동률을 향상시킬 수 있다. 또한, 온도 범위 마다 적합한 온도 센서에 기초한 가열 온도의 제어를 수행하므로 온도 제어 정밀도를 악화시키지 않고, 고온 영역에서의 사용에 있어서도 온도 센서의 장수명화를 도모할 수 있다.
다음으로, 본 발명의 제2 실시 형태에 대해서 도면에 기초하여 설명한다.
도 8은, 본 발명의 제2 실시 형태에 따른 SiC(탄화규소) 에피텍셜 막을 성막 하는 반도체 제조 장치(300)의 일 예를 도시하는 사시도이다.
기판 처리 장치(성막 장치)로서의 반도체 제조 장치(300)는, 뱃치식 종형 열처리 장치이며, 주요부가 배치되는 광체(302)를 포함한다. 반도체 제조 장치(300)에는, 예를 들면 SiC 등으로 구성된 기판으로서의 웨이퍼(304, 후술하는 도 9참조)를 수납하는 기판 수용기로서, 후프(front-opening unified pod, FOUP)(306, 이하, 포드라고 칭한다)가 웨이퍼 캐리어로서 사용된다. 광체(302)의 정면측에는 포드 스테이지(308)가 배치되어 있고, 포드 스테이지(308)에 포드(306)가 반송된다. 포드(306)에는, 예를 들면 25매의 웨이퍼(304)가 수납되고, 덮개가 닫혀진 상태로 포드 스테이지(308)에 세팅된다.
광체(302) 내의 정면측으로서, 포드 스테이지(308)에 대향하는 위치에는, 포드 반송 장치(310)가 배치되어 있다. 또한, 포드 반송 장치(310)의 근방에는 포드 수납 선반(312), 포드 오프너(314) 및 기판 매수 검지기(316)가 배치되어 있다.
포드 수납 선반(312)은, 포드 오프너(314)의 상방에 배치되고, 포드(306)를 복수 개 재치한 상태로 보지하도록 구성되어 있다. 기판 매수 검지기(316)는 포드 오프너(314)에 인접하여 배치되고, 포드 반송 장치(310)는 포드 스테이지(308)와 포드 수납 선반(312)과 포드 오프너(314)의 사이에 포드(306)를 반송한다. 포드 오프너(314)가 포드(306)의 덮개를 열고, 기판 매수 검지기(316)는 덮개가 열린 포드(306) 내의 웨이퍼(304)의 매수를 검지하도록 되어 있다.
광체(302) 내에는, 기판 이재기(318), 기판 보지구로서의 보트(320)가 배치되어 있다. 기판 이재기(318)는, 암(322, 트위저)을 포함하고, 도시하지 않은 구동 수단에 의해 승강 및 회전 가능한 구조로 되어 있다. 암(322)은, 예를 들면 5매의 웨이퍼(304)를 취출할 수 있고, 암(322)을 움직이는 것에 의해, 포드 오프너(314)의 위치에 놓여진 포드(306) 및 보트(320) 사이에서 웨이퍼(304)를 반송한다.
보트(320)는, 예를 들면 카본 그라파이트나 SiC 등의 내열성 재료로 구성되어 있고, 복수 매의 웨이퍼(304)를 수평 자세로, 또한 서로 중심을 맞춘 상태로 정렬시켜서 종방향으로 적층하고 보지하도록 구성되어 있다. 또한, 보트(320)의 하부에는, 예를 들면 석영이나 SiC 등의 내열성 재료로 구성된 원반 형상의 단열 부재로서 보트 단열부(324)가 배치되어 있어서, 후술하는 피가열체(326, 피유도체)로부터의 열이 처리로(328)의 하방측에 전해지기 어렵게 구성되어 있다(후술하는 도 9참조).
광체(302) 내의 배면측 상부에는, 처리로(328)가 배치되어 있다. 처리로(328) 내에 복수 매의 웨이퍼(304)를 장전한 보트(320)가 반입되어, 열처리가 수행된다.
다음으로, SiC에피텍셜 막을 성막하는 반도체 제조 장치(300)의 처리로(328)에 대해서 설명한다.
도 9의 (a)는, 본 발명의 제2 실시 형태에 따른 처리로(328)의 일 예를 도시하는 측면 단면도이며, 도 9의 (b)는, 보트(320)에 지지된 웨이퍼(304)의 측면 단면도이다.
처리로(328)에는, 제1 가스 공급구(330)을 포함하는 제1 가스 공급 노즐(332), 제2 가스 공급구(334)를 포함하는 제2 가스 공급 노즐(336) 및 제1 가스 배기구(338)가 설치된다. 또한, 불활성 가스를 공급하는 제3 가스 공급구(340), 제2 가스 배기구(342)가 도시되어 있다.
처리로(328)는, 석영 또는 SiC 등의 내열성 재료로 이루어지고, 상단이 폐색하고 하단이 개구한 원통 형상으로 형성된 반응관(344)을 구비하고 있다. 반응관(344)의 하방으로는, 반응관(344)과 동심원상으로 매니폴드(346)가 배설되어 있다. 매니폴드(346)는, 예를 들면 스텐레스 등으로 이루어지고, 상단 및 하단이 개구한 원통 형상으로 형성되어 있다. 매니폴드(346)는, 반응관(344)을 지지하도록 설치되어 있다. 한편, 매니폴드(346)와 반응관(344)의 사이에는, 씰 부재로서의 O링(도시되지 않음)이 설치되어 있다. 매니폴드(346)가 도시하지 않은 보지체에 지지되는 것에 의해, 반응관(344)은 수직으로 설치된 상태로 되어 있다. 반응관(344)과 매니폴드(346)에 의해, 반응 용기가 형성되어 있다.
처리로(328)는, 상단이 폐색하고 하단이 개구한 원통 형상으로 형성된 피가열체(326, 피유도체) 및 자장 발생부로서의 유도 코일(348)을 구비하고 있다. 반응관(344)의 내측에는, 반응실(350)이 형성되어 있고, SiC 등으로 구성된 기판으로서의 웨이퍼(304)를 보지한 보트(320)를 수납 가능하도록 구성되어 있다. 피가열체(326)는, 반응관(344)의 외측에 설치된 유도 코일(348)에 의해 발생되는 자장에 의해 가열되도록 되어 있고, 피가열체(326)가 발열하는 것에 의해, 반응실(350) 내가 가열되도록 되어 있다.
또한, 도 9의 (b)에 도시하는 바와 같이, 웨이퍼(304)는, 원환 형상[圓環狀]의 하부 웨이퍼 홀더(352b)에 보지되고, 상면을 원판 형상의 상부 웨이퍼 홀더(352a)로 덮여진 상태로 보트(320)에 보지되면 좋다. 이에 의해, 웨이퍼 상부로부터 낙하하고 있는 파티클로부터 웨이퍼(304)를 지킬 수 있는 것과 함께, 성막면[웨이퍼(304)의 하면(下面)]에 대하여 이면(裏面)측의 성막을 억제할 수 있다. 또한, 웨이퍼 홀더(352a, 352b) 만큼, 보트 기둥으로부터 성막면을 분리할 수 있어, 보트 기둥의 영향을 작게 할 수 있다. 보트(320)는, 수평 자세로, 서로 중심을 맞춘 상태로 종방향으로 정렬하도록 웨이퍼 홀더(352a, 352b)에 보지된 웨이퍼(304)를 보지하도록 구성되어 있다.
피가열체(326)의 근방에는, 반응실(350) 내의 온도를 검출하는 온도 검출체로서 온도 센서가 설치되어 있다. 온도 센서로서, 예를 들면, 피가열체(326)의 근방에 종방향으로 3개의 존으로 분할하여 방사 온도계(354, 356, 358, 360)가 배치되어 있다. 방사 온도계(354)는, 종방향의 3개의 존 중에서 상부의 존에 배치되어 있고, 방사 온도계(356, 358)는, 종방향의 3개의 존 중에서 중부의 존에 배치되어 있고, 방사 온도계(360)는, 종방향의 3개의 존 중에서 하부의 존에 배치되어 있다.
상부의 존에 배치된 방사 온도계(354) 및 하부의 존에 배치된 방사 온도계(360)는 모니터용이며, 목적에 따라 저온용 방사 온도계 또는 고온용 방사 온도계의 어느 하나가 배치되어 있다. 중부의 존에 배치된 방사 온도계(356, 358) 중에서, 방사 온도계(356)는 고온용 방사 온도계이며, 방사 온도계(358)는 저온용 방사 온도계이다. 방사 온도계(356, 358)는, 동일 장소의 온도를 측정할 목적으로 배치되어 있고, 고온용 방사 온도계인 방사 온도계(356)와 저온용 방사 온도계인 방사 온도계(358)를 절체하여 온도 제어를 한다.
여기서, 고온용 방사 온도계의 계측 가능한 온도 범위의 상한 온도 및 하한 온도를 각각 고온용 상한값(Max_High), 고온용 하한값(Min_High)으로 하고 저온용 방사 온도계의 계측 가능한 온도 범위의 상한 온도 및 하한 온도를 각각 저온용 상한값(Max_Low), 저온용 하한값(Min_Low)으로 하면, 고온용 방사 온도계와 저온용 방사 온도계는, 다음과 같은 관계에 있다. 즉, 고온용 상한값(Max_High)은, 저온용 상한값(Max_Low)보다도 높은 값이며, 고온용 하한값(Min_High)은, 저온용 하한값(Min_Low)보다도 높은 값이다.
도 10은, 본 발명의 제2 실시 형태에 따른 반도체 제조 장치(300)의 제어 구성의 일 예를 도시하는 블록도이다. 유도 코일(348) 및 방사 온도계(354, 356, 358, 360)는, 각각 도 10에 도시하는 온도 제어부(362)와 전기적으로 접속되어 있다. 온도 제어부(362)는, 방사 온도계(356) 또는 방사 온도계(358)에 의해 검출된 온도 정보에 기초하여, 유도 코일(348)로의 통전 상태를 조절하는 것으로, 반응실(350) 내의 온도가 원하는 온도 분포가 되도록 소정의 타이밍으로 제어되도록 구성되어 있다. 예를 들면, 고주파 전원으로 구동되는 원주(圓柱)형의 유도 가열 히터인 유도 코일(348)에 대하여, 온도 제어부(362)는, 방사 온도계(356) 또는 방사 온도계(358)에 의해 검출된 온도 정보와 설정 온도를 비교하여 적절한 열량을 출력시키기 위해 고주파 전원을 구동한다.
또한, 온도 제어부(362)는, 방사 온도계(356)에 의해 검출된 온도 정보에 기초하는 제어와, 방사 온도계(358)에 의해 검출된 온도 정보에 기초하는 제어를 후술하는 절체 방식에 의해 절체하여 제어를 수행한다.
또한, 유도 코일(348)의 근방에, 종방향으로 3개의 존으로 분할하여 열전대(364, 366, 368)가 배치되어 있다. 열전대(364)는, 종방향의 3개의 존 중에서 상부의 존에 배치되어 있고, 열전대(366)는, 종방향의 3개의 존 중에서 중부의 존에 배치되어 있고, 열전대(368)는, 종방향의 3개의 존 중에서 하부의 존에 배치되어 있다. 열전대(364, 366, 368)는, 과온(過溫) 보호를 위해서 이용되고, 각각 온도 제어부(362)에 전기적으로 접속되어 있다.
또한, 바람직하게는, 반응실(350) 내에 있어서 제1 및 제2 가스 공급 노즐(332, 336)과 제1 가스 배기구(338)의 사이로서, 피가열체(326)와 웨이퍼(304)의 사이에는, 피가열체(326)와 웨이퍼(304)의 사이의 공간을 매립하도록, 연직(鉛直) 방향으로 연재(延在)하고 단면이 원호(圓弧) 형상인 구조물(370)을 반응실(350) 내에 설치하는 것이 좋다. 도 11은, 본 발명의 제2 실시 형태에 따른 처리로(328)의 일 예를 도시하는 평면 단면도이다. 예를 들면, 도 11에 도시하는 바와 같이, 대향하는 위치에 각각 구조물(370)을 설치하는 것으로, 제1 및 제2 가스 공급 노즐(332, 336)로부터 공급되는 가스가, 피가열체(326)의 내벽을 따라 웨이퍼(304)를 우회하는 것을 방지할 수 있다. 구조물(370)로서는, 바람직하게는 단열재 또는 카본 펠트 등으로 구성하면, 내열 및 파티클의 발생을 억제할 수 있다.
반응관(344)과 피가열체(326)의 사이에는, 예를 들면 유전(誘電)되기 어려운 카본 펠트 등으로 구성된 단열재(372)가 설치되고, 단열재(372)를 설치하는 것에 의해, 피가열체(326)의 열이 반응관(344) 또는 반응관(344)의 외측에 전달하는 것을 억제할 수 있다.
또한, 유도 코일(348)의 외측에는, 반응실(350) 내의 열이 외측에 전달하는 것을 억제하기 위한, 예를 들면 수냉 구조인 외측 단열벽(374)이 반응실(350)을 둘러싸도록 설치되어 있다. 또한, 외측 단열벽(374)의 외측에는, 유도 코일(348)에 의해 발생된 자장이 외측으로 새는 것을 방지하는 자기(磁氣) 씰(376)이 설치되어 있다.
도 9에 도시하는 바와 같이, 피가열체(326)와 웨이퍼(304)의 사이에는, 적어도 Si(실리콘)원자 함유 가스와, Cl(염소)원자 함유 가스를 웨이퍼(304)에 공급하기 위해서 적어도 1개의 제1 가스 공급구(330)가 설치된 제1 가스 공급 노즐(332)이 설치된다. 또한, 피가열체(326)와 웨이퍼(304)의 사이의 제1 가스 공급 노즐(332)과는 다른 개소(箇所)에는, 적어도 C(탄소)원자 함유 가스와 환원 가스를 웨이퍼(304)에 공급하기 위해서, 적어도 1개의 제2 가스 공급구(334)가 설치된 제2 가스 공급 노즐(336)이 설치된다. 또한, 제1 가스 배기구(338)도 마찬가지로 피가열체(326)와 웨이퍼(304)의 사이에 배치된다. 또한, 반응관(344)과 단열재(372)의 사이에, 제3 가스 공급구(340) 및 제2 가스 배기구(342)가 배치되어 있다.
또한, 제1 가스 공급 노즐(332) 및 제2 가스 공급 노즐(336)은, 각기 1개씩이라도 무방하나, 도 11에 도시하는 바와 같이, 제2 가스 공급 노즐(336)은 3개 설치되고, 제2 가스 공급 노즐(336)이 사이에 있도록 제1 가스 공급 노즐(332)이 설치되도록 구성하면 좋다. 이와 같이 교호적(交互的)으로 배치하는 것에 의해, Si원자 함유 가스와 C원자 함유 가스의 혼합을 촉진할 수 있다. 또한, 제1 가스 공급 노즐 및 제2 가스 공급 노즐을 홀수 개로 하는 것에 의해, 중앙의 제2 가스 공급 노즐(336)을 중심으로 성막 가스 공급을 좌우 대칭으로 할 수 있어서, 웨이퍼(304) 내의 균일성을 높일 수 있다.
제1 가스 공급구(330) 및 제1 가스 공급 노즐(332)은, 예를 들면 카본 그라파이트로 구성되어, 반응실(350) 내에 설치된다. 또한, 제1 가스 공급 노즐(332)은, 매니폴드(346)를 관통하도록 매니폴드(346)에 설치되어 있다. 여기서, SiC에피텍셜 막을 형성할 때에, 제1 가스 공급구(330)는, 적어도 Si(실리콘)원자 함유 가스로서 예를 들면 모노실란(이하, SiH4라고 한다) 가스와, Cl(염소) 원자 함유 가스로서 예를 들면 염화수소(이하, HCl라고 한다) 가스와, 캐리어 가스로서 불활성 가스[예를 들면, Ar(아르곤)]를, 제1 가스 공급 노즐(332)을 개재하여, 반응실(350) 내에 공급하도록 되어 있다.
제1 가스 공급 노즐(332)은, 제1 가스 라인(378)을 개재하여 가스 공급 유닛(380)에 접속된다. 도 12는, 본 발명의 제2 실시 형태에 따른 반도체 제조 장치(300)의 가스 공급 유닛(380)의 일 예를 도시하는 모식도이다. 도 12에 도시하는 바와 같이, 제1 가스 라인(378)은, SiH4 가스, HCl 가스, 불활성 가스에 대하여 유량 제어기(유량 제어 수단)로서의 매스 플로우 컨트롤러(382c, 382d, 382f, 이하, MFC라고 한다) 및 밸브(384c, 384d, 384f)를 개재하여, 예를 들면 SiH4가스 공급원(386c), HCl가스 공급원(386d), 불활성 가스 공급원(386f)에 접속되어 있다.
상기 구성에 의해, 반응실(350) 내에서의 SiH4가스, HCl가스, 불활성 가스의 각각의 공급 유량, 농도, 분압, 공급 타이밍을 제어할 수 있다. 밸브(384c, 384d, 384f), MFC(382c, 382d, 382f)는, 가스 유량 제어부(388)에 전기적으로 접속되어 있고, 각각 공급하는 가스의 유량이 소정 유량이 되도록, 소정의 타이밍으로 제어되도록 되어 있다(도 10 참조). 또한, SiH4가스, HCl가스, 불활성 가스의 각각의 가스 공급원(386c, 386d, 386f), 밸브(384c, 384d, 384f), MFC(382c, 382d, 382f), 제1 가스 라인(378), 제1 가스 공급 노즐(332) 및 제1 가스 공급 노즐(332)에 적어도 1개 설치되는 제1 가스 공급구(330)에 의해, 가스 공급계로서 제1 가스 공급계가 구성된다.
제2 가스 공급구(334)는, 예를 들면 카본 그라파이트로 구성되고, 반응실(350) 내에 설치된다. 또한, 제2 가스 공급 노즐(336)은, 매니폴드(346)를 관통하도록, 매니폴드(346)에 설치되어 있다. 여기서, SiC에피텍셜 막을 형성할 때에, 제2 가스 공급구(334)는, 적어도 C(탄소)원자 함유 가스로서 예를 들면 프로판(이하, C3H8라고 한다) 가스와, 환원 가스로서 예를 들면 수소(H원자 단체(單體) 또는 H2분자. 이하, H2라고 한다)를 제2 가스 공급 노즐(336)을 개재하여 반응실(350) 내에 공급하도록 되어 있다.
제2 가스 공급 노즐(336)은, 제2 가스 라인(390)을 개재하여 가스 공급 유닛(380)에 접속되어 있다. 또한, 도 12에 도시하는 바와 같이 제2 가스 라인(390)은, 예를 들면 가스 배관(도시하지 않음)과 각각 접속되고, 가스 배관(도시되지 않음)은 각각, C(탄소)원자 함유 가스로서 예를 들면 C3H8가스에 대하여 유량 제어 수단으로서의 MFC(382a) 및 밸브(384a)를 개재하여 C3H8가스 공급원(386a)에 접속되고, 환원 가스로서 예를 들면 H2가스에 대하여 유량 제어 수단으로서의 MFC(382b) 및 밸브(384b)를 개재하여 H2가스 공급원(386b)에 접속되어 있다.
상기 구성에 의해, 예를 들면 반응실(350) 내에서의 C3H8가스, H2가스의 공급 유량, 농도, 분압을 제어할 수 있다. 밸브(384a, 384b), MFC(382a, 382b)는 가스 유량 제어부(388)에 전기적으로 접속되어 있고, 공급하는 가스 유량이 소정의 유량이 되도록, 소정의 타이밍으로 제어되도록 되어 있다(도 10 참조). 또한, C3H8가스, H2가스 가스 공급원(386a, 386b), 밸브(384a, 384b), MFC(382a, 382b), 제2 가스 라인(390), 제2 가스 공급 노즐(336), 제2 가스 공급구(334)에 의해, 가스 공급계로서 제2 가스 공급계가 구성된다.
또한, 제1 가스 공급 노즐(332) 및 제2 가스 공급 노즐(336)에 있어서, 기판의 배열 영역에 제1 가스 공급구(330) 및 제2 가스 공급구(334)가 1개 설치되어 있어도 좋고, 웨이퍼(304)의 소정 매수마다 설치되어 있어도 좋다.
도 9의 (a)에 도시하는 바와 같이, 제1 가스 배기구(338)가, 보트(320)보다 하부에 설치되고, 매니폴드(346)에는, 제1 가스 배기구(338)에 접속된 가스 배기관(392)이 관통하도록 설치되어 있다. 가스 배기관(392)의 하류측에는, 도시하지 않은 압력 검출기로서의 압력 센서 및 압력 조정기로서의 APC(Auto Pressure Controller)밸브(394)를 개재하여 진공 펌프 등의 진공 배기 장치(396)가 접속되어 있다. 압력 센서 및 APC밸브(394)에는, 압력 제어부(398)가 전기적으로 접속되어 있고, 압력 제어부(398)는 압력 센서에 의해 검출된 압력에 기초하여 APC밸브(394)의 개도(開度)를 조정하여, 처리로(328) 내의 압력이 소정의 압력이 되도록 소정의 타이밍으로 제어하도록 구성되어 있다(도 10 참조).
상기한 바와 같이, 제1 가스 공급구(330)로부터 적어도 Si(실리콘)원자 함유 가스와 Cl(염소)원자 함유 가스를 공급하고, 제2 가스 공급구(334)로부터 적어도 C(탄소)원자 함유 가스와 환원 가스를 공급하고, 공급된 가스는 Si 또는 SiC로 구성된 웨이퍼(304)에 대하여 평행하게 흐르고, 제1 가스 배기구(338)로부터 배기되므로, 웨이퍼(304) 전체가 효율적으로 균일하게 가스에 노출된다.
또한, 도 11에 도시하는 바와 같이, 제3 가스 공급구(340)는 반응관(344)과 단열재(372)의 사이에 배치되고, 매니폴드(346)를 관통하도록 설치되어 있다. 또한, 제2 가스 배기구(342)가, 반응관(344)과 단열재(372)의 사이로서, 제3 가스 공급구(340)에 대하여 대향하도록 배치되고, 제2 가스 배기구(342)는 가스 배기관(392)에 접속되어 있다. 제3 가스 공급구(340)는 매니폴드(346)를 관통하는 제3 가스 라인(400)에 형성되고, 밸브(384e), MFC(382e)를 개재하여 가스 공급원(386e)과 접속되어 있다. 가스 공급원(386e)으로부터는 불활성 가스로서 예를 들면 희가스인 Ar가스가 공급되고, SiC에피텍셜 막 성장에 기여하는 가스로서 예를 들면 Si(실리콘)원자 함유 가스 또는 C(탄소)원자 함유 가스 또는 Cl(염소)원자 함유 가스 또는 그들의 혼합 가스가, 반응관(344)과 단열재(372)의 사이에 진입하는 것을 방지하고, 반응관(344)의 내벽 또는 단열재(372)의 외벽에 불필요한 생성물이 부착되는 것을 방지할 수 있다.
또한, 반응관(344)과 단열재(372)의 사이에 공급된 불활성 가스는, 제2 가스 배기구(342)보다 가스 배기관(392)의 하류측에 있는 APC밸브(394)를 개재하여 진공 배기 장치(396)로부터 배기된다.
다음으로, 처리로(328) 및 그 주변의 구성에 대해서 설명한다.
도 13은, 본 발명의 제2 실시 형태에 따른 처리로(328) 및 주변 구조의 개략 단면도이다. 처리로(328)의 하방으로는, 처리로(328)의 하단 개구를 기밀하게 폐색하기 위한 노구 개체로서 씰 캡(402)이 설치되어 있다. 씰 캡(402)은, 예를 들면 스텐레스 등의 금속제이며, 원반 형상으로 형성되어 있다. 씰 캡(402)의 상면에는, 처리로(328)의 하단과 당접하는 씰 재료로서의 O링(도시되지 않음)이 설치되어 있다. 씰 캡(402)에는 회전 기구(404)가 설치되고, 회전 기구(404)의 회전축(406)은 씰 캡(402)을 관통하여 보트(320)에 접속되어 있고, 보트(320)를 회전시키는 것으로 웨이퍼(304)를 회전시키도록 구성되어 있다.
또한, 씰 캡(402)은 처리로(328)의 외측에 설치된 승강 기구로서 후술하는 승강 모터(408)에 의해 수직 방향에 승강되도록 구성되어 있고, 이에 의해 보트(320)를 처리로(328)에 대하여 반입 반출하는 것이 가능하게 되어 있다. 회전 기구 (404) 및 승강 모터(408)에는, 구동 제어부(410)가 전기적으로 접속되어 있고, 소정의 동작을 수행하도록 소정의 타이밍으로 제어하도록 구성되어 있다(도 10참조).
예비실로서의 로드 록 실(412)의 외면에 하기판(下基板, 414)이 설치되어 있다. 하기판(414)에는, 승강대(416)와 접동(摺動) 가능하게 감합(嵌合)하는 가이드 샤프트(418) 및 승강대(416)와 나합(螺合)되는 볼 나사(420)가 설치되어 있다. 또한, 하기판(414)에 입설된 가이드 샤프트(418) 및 볼 나사(420)의 상단에는 상기판(上基板, 422)이 설치되어 있다. 볼 나사(420)는, 상기판(422)에 설치된 승강 모터(408)에 의해 회전되어, 볼 나사(420)가 회전되는 것으로 승강대(416)가 상승하도록 되어 있다.
승강대(416)에는 중공(中空)의 승강 샤프트(424)가 수직 설치되고, 승강대(416)와 승강 샤프트(424)의 연결부는 기밀하게 되어 있고, 승강 샤프트(424)는 승강대(416)와 함께 승강하도록 되어 있다. 승강 샤프트(424)는 로드 록 실(412)의 천판(426)을 유관(遊貫)하고, 승강 샤프트(424)가 관통하는 천판(426)의 관통공은, 승강 샤프트(424)가 천판(426)과 접촉하지 않도록 충분한 극간이 형성되어 있다.
또한, 로드 록 실(412)과 승강대(416)의 사이에는, 승강 샤프트(424)의 주위를 덮도록 신축성을 포함하는 중공신축체(中空伸縮體)로서 벨로스(428)가 설치되고, 벨로스(428)에 의해 로드 록 실(412)이 기밀하게 유지되도록 되어 있다. 또한, 벨로스(428)는 승강대(416)의 승강량에 대응할 수 있는 충분한 신축량을 가지고, 벨로스(428)의 내경은 승강 샤프트(424)의 외경에 비하여 충분히 커서, 신축 시에 벨로스(428)와 승강 샤프트(424)가 접촉하지 않도록 구성되어 있다.
승강 샤프트(424)의 하단에는, 승강 기판(430)이 수평으로 고착되고, 승강 기판(430)의 하면(下面)에는 O링 등의 씰 부재를 개재하여 구동부 커버(432)가 기밀하게 설치된다. 승강 기판(430)과 구동부 커버(432)로 구동부 수납 케이스(434)가 구성되고, 이 구성에 의해 구동부 수납 케이스(434) 내부는 로드 록 실(412) 내의 분위기와 격리된다.
또한, 구동부 수납 케이스(434)의 내부에는 보트(320)의 회전 기구(404)가 설치되고, 회전 기구(404)의 주변은 냉각 기구(436)에 의해 냉각되도록 되어 있다.
전력 케이블(438)은, 승강 샤프트(424)의 상단으로부터 중공부를 통하여, 회전 기구(404)로 인도되어서 접속되어 있다. 또한, 냉각 기구(436) 및 씰 캡(402)에는 냉각수 유로(440)가 형성되어 있다. 또한, 냉각수 배관(442)이 승강 샤프트(424)의 상단으로부터 중공부를 통해 냉각수 유로(440)에 인도되어서 접속되어 있다.
승강 모터(408)가 구동되어 볼 나사(420)가 회전하는 것으로, 승강대(416) 및 승강 샤프트(424)를 개재하여 구동부 수납 케이스(434)를 승강시킨다.
구동부 수납 케이스(434)가 상승하는 것에 의해, 승강 기판(430)에 기밀하게 설치되어 있는 씰 캡(402)이 처리로(328)의 개구부인 노구(444)를 폐색하여, 웨이퍼 처리가 가능한 상태가 된다. 또한, 구동부 수납 케이스(434)가 하강하는 것에 의해, 씰 캡(402)과 함께 보트(320)가 강하되어, 웨이퍼(304)를 외부로 반출할 수 있는 상태가 된다.
다음으로, SiC에피텍셜 막을 성막하는 반도체 제조 장치(300)를 구성하는 각 부의 제어 구성에 대해서 설명한다.
도 10에 있어서, 온도 제어부(362), 가스 유량 제어부(388), 압력 제어부(398), 구동 제어부(410)는, 조작부 및 입출력부를 구성하고, 반도체 제조 장치(300) 전체를 제어하는 주 제어부(446)에 전기적으로 접속되어 있다. 또한, 온도 제어부(362), 가스 유량 제어부(388), 압력 제어부(398), 구동 제어부(410)는, 컨트롤러(448)로서 구성되어 있다.
다음으로, 상술한 제1 가스 공급계 및 제2 가스 공급계를 구성하는 이유에 대해서 설명한다.
SiC에피텍셜 막을 성막하는 반도체 제조 장치에서는, 적어도 Si(실리콘)원자 함유 가스와, C(탄소)원자 함유 가스로 구성되는 원료 가스를 반응실(350)에 공급하여, SiC에피텍셜 막을 성막할 필요가 있다. 또한, 본 실시 형태와 같이, 복 수 매의 웨이퍼(304)가 수평 자세에서 다단으로 정렬시켜서 보지되는 경우에 있어서, 웨이퍼 간의 균일성을 향상시키기 위해서, 성막 가스를 각각의 웨이퍼 근방의 가스 공급구로부터 공급할 수 있도록, 반응실(350) 내에 가스 공급 노즐을 설치하고 있다. 따라서, 가스 공급 노즐 내도 반응실과 동일한 조건으로 되어 있다. 이 때, Si원자 함유 가스와 C원자 함유 가스를 동일한 가스 공급 노즐로부터 공급하면, 원료 가스끼리가 반응하는 것으로 원료 가스가 소비되어, 반응실(350) 하류측에서 원료 가스가 부족해 질 뿐만 아니라, 가스 공급 노즐 내에서 반응하여 퇴적한 SiC막 등의 퇴적물이 가스 공급 노즐을 폐색하여, 원료 가스의 공급이 불안정해지는 것과 함께, 파티클을 발생시키는 등의 문제를 발생시킨다.
그로부터, 본 실시 형태에서는, 제1 가스 공급 노즐(332)을 개재하여 Si원자 함유 가스를 공급하고, 제2 가스 공급 노즐(336)을 개재하여 C원자 함유 가스를 공급하고 있다. 이와 같이, Si원자 함유 가스와 C원자 함유 가스를 다른 가스 공급 노즐로부터 공급하는 것에 의해, 가스 공급 노즐 내에서는, SiC막이 퇴적하지 않도록 할 수 있다. 또한, Si원자 함유 가스 및 C원자 함유 가스의 농도나 유속을 조정하고 싶은 경우에는, 각각 적절한 캐리어 가스를 공급하면 좋다.
또한, Si원자 함유 가스를, 보다 효율적으로 사용하기 위해서 수소 가스와 같은 환원 가스를 이용하는 경우가 있다. 이 경우, 환원 가스는, C원자 함유 가스를 공급하는 제2 가스 공급 노즐(336)을 개재하여 공급하는 것이 바람직하다. 이와 같이 환원 가스를 C원자 함유 가스와 함께 공급하고, 반응실(350) 내에서 Si원자 함유 가스와 혼합하는 것에 의해, 환원 가스가 적은 상태가 되기 때문에 Si원자 함유 가스의 분해를 성막 시에 비하여 억제할 수 있고, 제1 가스 공급 노즐 내에 있어서의 Si막의 퇴적을 억제하는 것이 가능해진다. 이 경우, 환원 가스를 C원자 함유 가스의 캐리어 가스로서 이용하는 것이 가능해진다. 또한, Si원자 함유 가스의 캐리어로서는, 아르곤(Ar)과 같은 불활성 가스(특히, 희가스)를 이용하는 것에 의해, Si막의 퇴적을 억제하는 것이 가능해진다.
또한, 제1 가스 공급 노즐(332)에는, HCl과 같은 염소 원자 함유 가스를 공급하는 것이 바람직하다. 이와 같이 하면, Si원자 함유 가스가 열에 의해 분해되고, 제1 가스 공급 노즐 내에 퇴적가능한 상태가 되었다고 하여도, 염소에 의해 에칭 모드로 하는 것이 가능해지고, 제1 가스 공급 노즐 내로의 Si막의 퇴적을 보다 억제하는 것이 가능하게 된다.
또한, 도 9에 도시하는 예에서는, 제1 가스 공급 노즐(332)에 SiH4가스 및 HCl가스를 공급하고, 제2 가스 공급 노즐(336)에 C3H8가스 및 H2가스를 공급하는 구성으로 설명했지만, 상술한 바와 같이, 도 9로부터 도 12에 도시하는 예는, 가장 좋다고 생각되는 편성이며, 거기에 한정되는 것은 아니다.
또한, 도 9로부터 도 12에 도시하는 예에서는, SiC에피텍셜 막을 형성할 때에 흐르게 하는 Cl(염소)원자 함유 가스로서 HCl가스를 예시했으나, 염소 가스를 이용해도 좋다.
또한, 상술에서는 SiC에피텍셜 막을 형성할 때에, Si(실리콘)원자 함유 가스와 Cl(염소)원자 함유 가스를 공급했으나, Si원자와 Cl원자를 포함하는 가스, 예를 들면 테트라클로로실란(이하, SiCl4라고 한다) 가스, 트리클로로실란(이하, SiHCl3라고 한다)가스, 디클로로실란(이하, SiH2Cl2) 가스를 공급해도 좋다. 또한, 말할 필요도 없지만, 이들의 Si원자 및 Cl원자를 포함하는 가스는, Si원자 함유 가스이기도 하며, 또는 Si원자 함유 가스 및 Cl원자 함유 가스의 혼합 가스라고도 할 수 있다. 특히, SiCl4은, 열분해되는 온도가 비교적 높기 때문에, 노즐 내의 Si소비 억제의 관점에서 바람직하다.
또한, 상술에서는 C(탄소)원자 함유 가스로서 C3H8가스를 예시했으나, 에틸렌(이하, C2H4라고 한다) 가스, 아세틸렌(이하, C2H2라고 한다) 가스를 이용해도 좋다.
또한, 환원 가스로서 H2가스를 예시했으나, 이에 한정되지 않고 다른 H(수소)원자 함유 가스를 이용해도 좋다. 또한, 캐리어 가스로서는, Ar(아르곤)가스, He(헬륨)가스, Ne(네온)가스, Kr(크립톤)가스, Xe(크세논)가스 등의 희가스 중에서 적어도 1개를 이용해도 좋고, 상기의 가스를 조합시킨 혼합 가스를 이용해도 좋다.
상술에서는, 제1 가스 공급 노즐(332)을 개재하여 Si원자 함유 가스를 공급하고, 제2 가스 공급 노즐(336)을 개재하여 C원자 함유 가스를 공급하는 것으로 가스 공급 노즐 내의 SiC막의 퇴적을 억제하도록 하고 있다(이하, Si원자 함유 가스와 C원자 함유 가스를 분리하여 공급하는 방식을, 「세퍼레이트 방식」이라고 부른다). 그러나, 이 방법은, 가스 공급 노즐 내에서의 SiC막의 퇴적을 억제할 수 있지만, Si원자 함유 가스와 C원자 함유 가스의 혼합이 가스 공급구(330, 334)로부터 웨이퍼(304)에 도달할 때까지의 사이에 충분히 수행할 필요가 있다.
따라서, 웨이퍼 내의 균일화의 관점에서 보면, Si원자 함유 가스와 C원자 함유 가스를 미리 혼합하여, 가스 공급 노즐(332)에 공급하는 것이 바람직하다(이하, Si원자 함유 가스와 C원자 함유 가스를 동일한 가스 공급 노즐로부터 공급하는 방식을 「프리 믹스 방식」이라고 부른다). 그러나, Si원자 함유 가스 및 C원자 함유 가스를 동일한 가스 공급 노즐로부터 공급하면 가스 공급 노즐 내에 SiC막이 퇴적해버릴 우려가 있다. 한 편으로, Si원자 함유 가스는, 에칭 가스인 염소와 환원 가스인 수소와의 비(Cl/H)를 크게 하면 염소에 의한 에칭 효과가 커지고, Si원자 함유 가스의 반응을 억제하는 것이 가능하다. 따라서, 일방(一方)의 가스 공급 노즐에 Si원자 함유 가스, C원자 함유 가스 및 염소 함유 가스를 공급하고, 환원 반응에 이용되는 환원 가스(예를 들면, 수소 가스)를 타방(他方)의 가스 공급 노즐로부터 공급하는 것으로, 가스 공급 노즐 내의 Cl/H가 커지고, SiC막의 퇴적을 억제하는 것이 가능하다.
다음으로, 상술한 반도체 제조 장치(300)를 이용하여, 반도체 디바이스의 제조 공정의 일 공정으로서, SiC등으로 구성되는 웨이퍼(304) 등의 기판 상에, 예를 들면 SiC막을 형성하는 기판의 제조 방법에 대해서 설명한다.
또한, 이하의 설명에 있어서 반도체 제조 장치(300)를 구성하는 각 부의 동작은 컨트롤러(448)에 의해 제어된다.
우선, 포드 스테이지(308)에 복수 매의 웨이퍼(304)를 수납한 포드(306)가 세팅되면, 포드 반송 장치(310)에 의해 포드(306)를 포드 스테이지(308)로부터 포드 수납 선반(312)으로 반송하여, 저장(stock)한다. 다음으로, 포드 반송 장치(310)에 의해, 포드 수납 선반(312)에 저장된 포드(306)를 포드 오프너(314)에 반송하여 세팅하고, 포드 오프너(314)에 의해 포드(306)의 덮개를 열고, 기판 매수 검지기(316)에 의해 포드(306)에 수납되어 있는 웨이퍼(304)의 매수를 검지한다.
다음으로, 기판 이재기(318)에 의해, 포드 오프너(314)의 위치에 있는 포드(306)로부터 웨이퍼(304)를 취출하여, 보트(320)에 이재한다.
복수 매의 웨이퍼(304)가 보트(320)에 장전되면, 웨이퍼(304)를 보지한 보트(320)는, 승강 모터(408)에 의한 승강대(416) 및 승강 샤프트(424)의 승강 동작에 의해 반응실(350) 내에 반입(보트 로딩)된다. 이 상태에서는, 씰 캡(402)은 O링(도시되지 않음)을 개재하여 매니폴드(346)의 하단을 씰링한 상태가 된다.
보트(320) 반입 후, 반응실(350) 내가 소정의 압력(진공도)이 되도록, 진공 배기 장치(396)에 의해 진공 배기된다. 이 때, 반응실(350) 내의 압력은, 압력 센서(도시되지 않음)에 의해 측정되고, 측정된 압력에 기초하여 제1 가스 배기구 (338) 및 제2 가스 배기구(342)에 연통하는 APC밸브(394)가 피드백 제어된다. 또한, 웨이퍼(304) 및 반응실(350) 내가 소정의 온도가 되도록 피가열체(326)가 가열된다. 이 때, 반응실(350) 내가 소정의 온도 분포가 되도록, 후술하는 절체 방식에 의해 선택된 고온용 방사 온도계(356) 또는 저온용 방사 온도계(358)가 검출한 온도 정보에 기초하여 유도 코일(348)로의 통전 상태가 피드백 제어된다. 계속해서, 회전 기구(404)에 의해, 보트(320)가 회전되는 것으로, 웨이퍼(304)가 주(周) 방향으로 회전된다.
계속해서, SiC에피텍셜 성장 반응에 기여하는 Si(실리콘)원자 함유 가스 및 Cl(염소)원자 함유 가스는, 각각 가스 공급원(386c, 386d)으로부터 공급되어, 제1 가스 공급구(330)로부터 반응실(350) 내로 분출된다. 또한, C(탄소)원자 함유 가스 및 환원 가스인 H2가스가, 소정의 유량이 되도록 대응하는 MFC(382a, 382b)의 개도가 조정된 후, 밸브(384a, 384b)가 열려, 각각의 가스가 제2 가스 라인(390)에 유통하고, 제2 가스 공급 노즐(336)에 유통하여, 제2 가스 공급구(334)로부터 반응실(350) 내에 도입된다.
제1 가스 공급구(330) 및 제2 가스 공급구(334)로부터 공급된 가스는, 반응실(350) 내의 피가열체(326)의 내측을 통하여, 제1 가스 배기구(338)로부터 가스 배기관(392)을 통하여 배기된다. 제1 가스 공급구(330) 및 제2 가스 공급구(334)로부터 공급된 가스는, 반응실(350) 내를 통과할 때에, SiC 등으로 구성되는 웨이퍼(304)와 접촉하여, 웨이퍼(304) 표면 상에 SiC에피텍셜 막 성장이 수행된다.
또한, 가스 공급원(386e)으로부터, 불활성 가스로서의 희가스인 Ar가스가 소정의 유량이 되도록 대응하는 MFC(382e)의 개도가 조정된 후, 밸브(384e)가 열리고, 제3 가스 라인(400)에 흐르고, 제3 가스 공급구(340)로부터 반응실(350) 내에 공급된다. 제3 가스 공급구(340)로부터 공급된 불활성 가스로서의 희가스인 Ar가스는, 반응실(350) 내의 단열재(372)와 반응관(344)의 사이를 통과하고, 제2 가스 배기구(342)로부터 배기된다.
다음으로, 미리 설정된 시간이 경과하면, 상술한 가스의 공급이 정지되고, 도시하지 않은 불활성 가스 공급원으로부터 불활성 가스가 공급되고, 반응실(350) 내의 피가열체(326)의 내측의 공간이 불활성 가스로 치환되는 것과 함께, 반응실(350) 내의 압력이 상압으로 복귀된다.
그 후, 승강 모터(408)에 의해 씰 캡(402)이 하강되어, 매니폴드(346)의 하단이 개구되는 것과 함께, 처리 완료된 웨이퍼(304)가 보트(320)에 보지된 상태로 매니폴드(346)의 하단에서 반응관(344)의 외부에 반출(보트 언로딩)되고, 보트(320)에 보지된 웨이퍼(304)가 식을 때까지, 보트(320)를 소정 위치에서 대기시킨다. 대기시킨 보트(320)의 웨이퍼(304)가 소정 온도까지 냉각되면, 기판 이재기(318)에 의해, 보트(320)로부터 웨이퍼(304)를 취출하고, 포드 오프너(314)에 세팅 되어 있는 빈 포드(306)에 반송하여 수납한다. 그 후, 포드 반송 장치(310)에 의해 웨이퍼(304)가 수납된 포드(306)를 포드 수납 선반(312) 또는 포드 스테이지(308)에 반송한다. 이와 같이 하여, 반도체 제조 장치(300)의 일련의 작동이 완료한다.
다음으로, 온도 제어에 있어서의 방사 온도계의 절체에 대해서 설명한다.
예를 들면, 저온용 방사 온도계에 의한 측정값(검출 온도)에 기초하는 온도 제어와 고온용 방사 온도계에 의한 측정값(검출 온도)에 기초하는 온도 제어의 절체 판단의 기준으로서 역치(S)를 결정하고, 저온용 방사 온도계 또는 고온용 방사 온도계의 측정값이 역치(S)를 밑도는 경우, 저온용 방사 온도계에 의한 측정값에 기초하는 온도 제어를 수행하고, 역치(S)를 상회한 경우, 고온용 방사 온도계에 의한 측정값에 기초하는 온도 제어를 수행하도록 절체를 하는 것이 생각된다.
여기서, 예로서 저온용 방사 온도계의 계측 가능 범위를 50℃∼550℃, 고온용 방사 온도계의 계측 가능 범위를 450℃∼950℃로 한다. 이 경우, 저온용 방사 온도계에 의한 제어와 고온용 방사 온도에 의한 제어를 절체하기 위해서는, 하나의 역치(여기서는, 예를 들면 저온용 방사 온도계와 고온용 방사 온도계의 계측 가능범위가 겹치는 500℃)를 이용하고, 계측된 온도가 역치 이하의 경우는 저온용 방사 온도계에 의한 제어로 하고 역치 이상의 경우는 고온용 방사 온도계에 의한 제어로 하도록 절체하게 된다.
그러나, 상기의 방법에 의해 제어를 절체하는 경우, 절체 시의 온도 측정 값 및 절체가 수행되는 온도 부근의 온도 측정값이 불안정해진다.
이하, 온도 제어부(362)에 있어서의 방사 온도계의 절체 방식에 대해서 설명한다.
온도 제어부(362)는, 저온용 방사 온도계(358)에 의한 온도 제어로부터 고온용 방사 온도계(356)에 의한 온도 제어로 절체하는 판단 기준이 되는 역치(P)와, 고온용 방사 온도계(356)에 의한 온도 제어로부터 저온용 방사 온도계(358)에 의한 온도 제어로 절체하는 역치(M)에 기초하여, 제어를 절체한다. 여기서, 역치(P)는, 역치(M)보다도 크다 (즉, P>M의 관계에 있다).
또한, 고온용 방사 온도계(356)의 계측 가능한 온도 범위의 상한값을 Max_High로 하고, 고온용 방사 온도계(356)의 계측 가능한 온도 범위의 하한값을 Min_High로 하고, 저온용 방사 온도계(358)의 계측 가능한 온도 범위의 상한값을 Max_Low로 하고, 저온용 방사 온도계(358)의 계측 가능한 온도 범위의 하한값을 Min_Low로 하면, 역치(P) 및 역치(M)는, 이하의 식을 만족한다.
Max_High>Max_Low>P>M>Min_High>Min_Low
도 14는, 온도 제어부(362)에 의한 온도 제어의 절체 규칙을 도시하는 표이다. 또한, 도 15는, 고온용 방사 온도계에 의한 제어와 저온방사 온도계에 의한 제어의 절체를 도시하는 그래프이다. 도 15에 있어서, 횡축은, 고온용 방사 온도계에 의한 온도 제어를 수행하는지 또는 저온용 방사 온도계에 의한 온도 제어를 수행하는지를 나타내고 있고, 종축은, 방사 온도계에 의해 측정되는 측정 온도를 나타내고 있다. 또한, 굵은 선으로 나타낸 측정 온도는, 저온용 방사 온도계(358)에 의해 측정된 측정 온도를 나타내고, 파선으로 나타낸 측정 온도는, 고온용 방사 온도계(356)에 의해 측정된 측정 온도를 나타낸다.
도 14 및 도 15에 도시되는 바와 같이, 온도 제어부(362)는, 현재의 온도 제어에 이용하고 있는 방사 온도계의 측정 온도와 역치의 비교에 의해 제어의 절체가 수행된다. 온도 제어부(362)는, 저온용 방사 온도계(358)에 의한 제어로부터 고온용 방사 온도계(356)로 제어 대상을 절체하는 경우, 저온용 방사 온도계(358)의 측정값이 역치(P) 이상이 되면 고온용 방사 온도계(356)에 의한 제어로 절체한다. 여기서, 온도 제어부(362)는, 저온용 방사 온도계(358)에 의한 제어로부터 고온용 방사 온도계(356)로 제어 대상을 절체하는 경우, 고온용 방사 온도계의 측정값은 절체 판단에 있어서 고려하지 않는다.
반대로, 온도 제어부(362)는, 고온용 방사 온도계(356)에 의한 온도 제어로부터 저온용 방사 온도계(358)에 의한 온도 제어로 절체하는 경우, 고온용 방사 온도계(356)의 측정값이 역치(M) 미만이 되면 저온용 방사 온도계(358)에 의한 제어로 절체한다. 마찬가지로, 온도 제어부(362)는, 고온용 방사 온도계(356)에 의한 온도 제어로부터 저온용 방사 온도계(358)에 의한 온도 제어로 절체하는 경우, 저온용 방사 온도계의 측정값은 절체 판단에 있어서 고려하지 않는다.
상술한 온도 제어부(362)에 의한 제어의 절체에서는, 복수 종의 방사 온도계의 절체 시 및 절체 온도 부근에서 염려되는 온도 측정값의 불안정을 개선할 수 있고, 온도 제어성을 향상시킬 수 있다.
다음으로, 제2 실시 형태의 변형예에 대해서 설명한다. 상술한 제2 실시 형태에서는, 현재의 온도 제어에서 이용하고 있는 방사 온도계의 측정값과 역치와의 비교에 의해 제어의 절체를 수행했으나, 예를 들면, 고온용 방사 온도계(356)에 의한 측정값과 저온용 방사 온도계(358)에 의한 측정값에 차이가 있는 경우 또는 고온용 방사 온도계(356) 또는 저온용 방사 온도계(358)의 어느 하나가 고장 등에 의해 정상적인 측정값을 출력하지 않는 경우는, 온도 제어가 불안정해질 가능성이 있다.
그로부터 변형예에서는, 저온용 방사 온도계(358)에 의한 온도 제어로부터 고온용 방사 온도계(356)에 의한 온도 제어로 절체하는 경우, 저온용 방사 온도계(358)의 측정값 및 고온용 방사 온도계(356)의 측정값 각각에 대해서 역치와의 비교를 수행한다.
변형예에서는, 저온용 방사 온도계(358)의 측정값이 역치(P)이상이여도, 고온용 방사 온도계(356)의 측정값이 역치(M) 미만인 경우는, 고온용 방사 온도계(356)에 의한 제어로는 절체하지 않는 점에서, 상술한 제2 실시 형태와는 다르다.
변형예에 있어서도, 온도 제어부(362)는, 저온용 방사 온도계(358)에 의한 온도 제어로부터 고온용 방사 온도계(356)에 의한 온도 제어로 절체하는 판단 기준이 되는 역치(P)와, 고온용 방사 온도계(356)에 의한 온도 제어로부터 저온용 방사 온도계(358)에 의한 온도 제어로 절체하는 역치(M)에 기초하여, 제어를 절체한다. 또한, 역치(P), 역치(M), 고온용 방사 온도계(356)의 계측 가능 상한값(Max_High), 고온용 방사 온도계(356)의 계측 가능 하한값(Min_High), 저온용 방사 온도계(358)의 계측 가능 상한값(Max_Low), 저온용 방사 온도계(358)의 계측 가능 하한값(Min_Low)의 관계에 대해서도 마찬가지로, 상술한 관계식으로 나타내어진다.
도 16은, 변형예에 있어서의 온도 제어부(362)에 의한 온도 제어의 절체 규칙을 도시하는 표이다.
도 16에 도시하는 바와 같이, 변형예에 있어서의 온도 제어부(362)에서는, 고온용 방사 온도계(356)에 의한 제어로부터 저온용 방사 온도계(358)로 제어 대상을 절체하는 경우, 고온용 방사 온도계(356)의 측정값이 역치(M) 미만이 되면 저온용 방사 온도계(358)에 의한 제어로 절체한다.
또한, 변형예에 있어서의 온도 제어부(362)에서는, 저온용 방사 온도계(358)에 의한 온도 제어로부터 고온용 방사 온도계(356)에 의한 온도 제어로 절체하는 경우, 저온용 방사 온도계(358)의 측정값이 역치(P)이상이면서 고온용 방사 온도계(356)의 측정값이 역치(M) 이상인 경우에만, 고온용 방사 온도계(356)에 의한 제어로 절체한다. 즉, 고온용 방사 온도계(356)의 측정값이 역치(M) 미만인 경우, 저온용 방사 온도계(358)의 측정값이 역치(P) 이상이여도 고온용 방사 온도계(356)에 의한 제어로는 절체하지 않도록 한다. 이미 고온용 방사 온도계(356)의 측정값이 역치(M) 미만으로 되어 있기 때문에, 다음 번의 절체 판단에 있어서 다시 저온용 방사 온도계(358)에 의한 온도 제어로 절체되고, 연속하여 절체가 수행되기 때문에 온도 측정값이 불안정해져 버리기 때문이다.
또한, 저온용 방사 온도계(358)의 측정값이 역치(P) 이상이면서, 고온용 방사 온도계(356)의 측정값이 역치(M) 미만인 경우로서, 고온용 방사 온도계(356) 또는 저온용 방사 온도계(358)의 어느 하나가 잘못된 상정을 하고 있을 가능성이 있어, 안전성을 고려하여, 이러한 경우에는 온도 측정값이 높은 저온용 방사 온도계(358)에 의한 온도 제어를 수행한다.
변형예에 있어서의 제어의 절체에서는, 복수 종의 방사 온도계의 절체 시 및 절체 온도 부근에서 염려되는 온도 측정값의 불안정을 개선할 수 있는 것에 더하여, 제2 실시 형태에서 나타낸 제어의 절체보다도 안전한 온도 제어를 실현한다.
이상의 제2 실시 형태 및 그 변형예의 설명에 있어서, 온도 제어부(362)에 있어서의 온도 제어는, 중부의 존에 배치된 방사 온도계(356, 358)를 이용하여 수행하는 예를 제시했으나, 상부 또는 하부의 존에 있어서 고온용 방사 온도계 및 저온용 방사 온도계를 설치하여, 마찬가지로 제어의 절체를 수행하여도 좋다.
또한, SiC에피텍셜 막을 성막하는 반도체 제조 장치에 한정되지 않고, 종형의 기판 처리 장치 전반에 적용할 수 있다.
202…처리로 204…반응관
205…균열관 206…히터
238…온도 제어부 263…온도 센서
264…외부 온도 센서 354…온도 제어부
356, 358…방사 온도계

Claims (3)

  1. 기판을 수용하는 처리실의 외주에 배치되고 상기 처리실을 가열하는 가열 수단;
    상기 가열 수단의 내방(內方)에 배치되고, 열전대를 이용하여 온도를 검출하는 제1 온도 검출 수단;
    상기 가열 수단의 내방으로서 상기 제1 온도 검출 수단보다도 상기 가열 수단에 가까운 위치에 배치되고, 열전대를 이용하여 온도를 검출하는 제2 온도 검출 수단;
    상기 제1 온도 검출 수단에 의해 검출된 온도 및 상기 제2 온도 검출 수단에 의해 검출된 온도에 기초하여 상기 가열 수단을 제어하는 제어 수단; 및
    상기 제어 수단이 제1 제어 모드 및 제2 제어 모드 사이에서 절체하도록 상기 제1 온도 검출 수단에 의해 검출된 온도 및 상기 제2 온도 검출 수단에 의해 검출된 온도에 기초하여 상기 제어 수단을 제어하는 제어 절체 수단;
    을 포함하고,
    상기 제1 열전대의 내열성은 상기 제2 열전대의 그것보다 크며, 상기 제2 열전대의 온도 검출 성능은 상기 제1 열전대의 그것보다 높은 기판 처리 장치.
  2. 기판을 수용하는 처리실을 상기 처리실의 외주에 배치되는 가열 수단에 의해 가열하는 단계;
    상기 가열 수단의 내방에 배치되고 열전대를 이용하여 온도를 검출하는 제1 온도 검출 수단을 이용하여 온도를 검출하는 단계;
    상기 가열 수단의 내방으로서 상기 제1 온도 검출 수단보다도 상기 가열 수단에 가까운 위치에 배치되고 열전대를 이용하여 온도를 검출하는 제2 온도 검출 수단을 이용하여 온도를 검출하는 단계; 및
    상기 제1 온도 검출 수단에 의해 검출된 온도 및 상기 제2 온도 검출 수단에 의해 검출된 온도에 기초하여 상기 가열 수단을 제어하는 제1 제어 모드와, 상기 제2 온도 검출 수단에 검출된 온도에 기초하여 상기 가열 수단을 제어하는 제2 제어 모드를, 상기 제1 온도 검출 수단 또는 상기 제2 온도 검출 수단에 의해 검출된 온도를 따라서 절체하는 단계
    를 포함하되,
    상기 제1 열전대의 내열성은 상기 제2 열전대의 그것보다 크며, 상기 제2 열전대의 온도 검출 성능은 상기 제1 열전대의 그것보다 높은 기판 처리 장치의 온도 제어 방법.
  3. 기판을 수용하는 처리실을 가열하는 가열 수단;
    제1 방사 온도계를 이용하여 상기 가열 수단에 의해 가열된 온도를 검출하는 제1 온도 검출 수단;
    제1 방사 온도계에 의해 측정되는 온도의 범위의 상한보다도 높은 온도를 측정되는 온도의 범위의 상한으로 하고, 제1 방사 온도계에 의해 측정되는 온도의 범위의 하한보다도 높은 온도를 측정되는 온도의 범위의 하한으로 하는 제2 방사 온도계를 이용하여, 상기 가열 수단에 의해 가열된 온도를 검출하는 제2 온도 검출 수단;
    상기 제1 온도 검출 수단에 의해 검출된 온도 및 상기 제2 온도 검출 수단에 의해 검출된 온도에 기초하여 상기 가열 수단을 제어하는 제어 수단; 및
    상기 제어 수단이 제1 제어 모드 및 제2 제어 모드 사이에서 절체하도록 상기 제1 온도 검출 수단에 의해 검출된 온도 및 상기 제2 온도 검출 수단에 의해 검출된 온도에 기초하여 상기 제어 수단을 제어하거나, 상기 제어 수단이 제1 제어 모드 및 제2 제어 모드 사이에서 절체하도록 상기 제1 온도 검출 수단 또는 상기 제2 온도 검출 수단에 의해 검출된 온도와 미리 정해진 역치에 기초하여, 상기 제어 수단을 제어하는 제어 절체 수단;
    을 포함하는 기판 처리 장치.
KR1020110070196A 2010-10-18 2011-07-15 기판 처리 장치, 기판 처리 장치의 온도 제어 방법 및 기판 처리 장치의 가열 방법 KR101267288B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2010-233575 2010-10-18
JP2010233575 2010-10-18
JPJP-P-2011-106088 2011-05-11
JP2011106088A JP5734081B2 (ja) 2010-10-18 2011-05-11 基板処理装置、基板処理装置の温度制御方法、及び基板処理装置の加熱方法

Publications (2)

Publication Number Publication Date
KR20120040090A KR20120040090A (ko) 2012-04-26
KR101267288B1 true KR101267288B1 (ko) 2013-05-27

Family

ID=45934381

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110070196A KR101267288B1 (ko) 2010-10-18 2011-07-15 기판 처리 장치, 기판 처리 장치의 온도 제어 방법 및 기판 처리 장치의 가열 방법

Country Status (5)

Country Link
US (1) US9418881B2 (ko)
JP (1) JP5734081B2 (ko)
KR (1) KR101267288B1 (ko)
CN (1) CN102456596B (ko)
TW (1) TWI437655B (ko)

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US9068263B2 (en) * 2009-02-27 2015-06-30 Sandvik Thermal Process, Inc. Apparatus for manufacture of solar cells
JP2012172871A (ja) * 2011-02-18 2012-09-10 Tokyo Electron Ltd 熱処理装置および熱処理装置の温度測定方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103545232B (zh) * 2012-07-09 2017-10-17 北京七星华创电子股份有限公司 用于半导体热处理设备的温控系统及方法、应用该系统的设备
KR101387518B1 (ko) * 2012-08-28 2014-05-07 주식회사 유진테크 기판처리장치
WO2014038453A1 (ja) * 2012-09-05 2014-03-13 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
CN103712470A (zh) * 2012-10-08 2014-04-09 丹阳市江南工业炉有限公司 热处理炉的热电偶装置
CN103871927A (zh) * 2012-12-10 2014-06-18 上海华虹宏力半导体制造有限公司 垂直扩散氧化炉石英工艺管的结构
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103243393A (zh) * 2013-05-06 2013-08-14 上海煦康电子科技有限公司 一种气体预热装置、扩散炉及进气预热的方法
CN103677009B (zh) * 2013-12-16 2016-06-01 北京七星华创电子股份有限公司 半导体热处理设备的温控方法
CN104750140B (zh) * 2013-12-31 2017-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔加热控制方法及装置
TWI569346B (zh) * 2014-01-16 2017-02-01 尤金科技有限公司 基板處理裝置及加熱器之溫度調整方法
JP6087323B2 (ja) * 2014-07-31 2017-03-01 東京エレクトロン株式会社 熱処理装置、熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI611043B (zh) 2015-08-04 2018-01-11 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及記錄媒體
TWI642137B (zh) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
JP6629012B2 (ja) * 2015-08-31 2020-01-15 豊田鉄工株式会社 加熱炉用のワーク搬送装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6868471B2 (ja) * 2017-05-31 2021-05-12 ファスフォードテクノロジ株式会社 半導体製造装置および半導体装置の製造方法
JP6948842B2 (ja) * 2017-06-02 2021-10-13 昭和電工株式会社 アニール装置及び半導体ウェハの製造方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
CN111727499A (zh) * 2018-01-15 2020-09-29 应用材料公司 先进温度监测系统和用于半导体制造生产力的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
CN111834247B (zh) * 2019-04-23 2023-09-08 北京北方华创微电子装备有限公司 冷却装置和半导体处理设备
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) * 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) * 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11545375B2 (en) * 2019-06-17 2023-01-03 Applied Materials, Inc. Hybrid control system for workpiece heating
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7418287B2 (ja) * 2020-05-29 2024-01-19 東京エレクトロン株式会社 基板処理装置及び基板処理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US20220113198A1 (en) * 2020-10-14 2022-04-14 Applied Materials, Inc. Advanced temperature monitoring system with expandable modular layout design
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006210768A (ja) 2005-01-31 2006-08-10 Hitachi Kokusai Electric Inc 熱処理装置及び基板の製造方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4913790A (en) * 1988-03-25 1990-04-03 Tokyo Electron Limited Treating method
JP3107219B2 (ja) * 1990-11-06 2000-11-06 東京エレクトロン株式会社 熱処理装置
JP3254320B2 (ja) * 1993-11-16 2002-02-04 日本碍子株式会社 炉の温度測定方法
JPH097965A (ja) * 1995-06-22 1997-01-10 Kokusai Electric Co Ltd 半導体製造装置の温度制御装置
JP3380668B2 (ja) * 1996-01-23 2003-02-24 東京エレクトロン株式会社 温度調整方法、温度調整装置及び熱処理装置
US5895596A (en) * 1997-01-27 1999-04-20 Semitool Thermal Model based temperature controller for semiconductor thermal processors
US5831249A (en) * 1997-01-29 1998-11-03 Advanced Micro Devices, Inc. Secondary measurement of rapid thermal annealer temperature
US6063234A (en) * 1997-09-10 2000-05-16 Lam Research Corporation Temperature sensing system for use in a radio frequency environment
JP3246891B2 (ja) * 1998-02-03 2002-01-15 東京エレクトロン株式会社 熱処理装置
EP1093664A4 (en) * 1998-05-11 2003-07-09 Semitool Inc TEMPERATURE CONTROL SYSTEM FOR THERMAL ACTUATOR
JP4551515B2 (ja) * 1998-10-07 2010-09-29 株式会社日立国際電気 半導体製造装置およびその温度制御方法
JP2000286207A (ja) * 1999-03-30 2000-10-13 Tokyo Electron Ltd 熱処理装置及び熱処理方法
JP4493192B2 (ja) * 2000-09-13 2010-06-30 東京エレクトロン株式会社 バッチ式熱処理装置及びその制御方法
JP3834216B2 (ja) * 2000-09-29 2006-10-18 株式会社日立国際電気 温度制御方法
WO2002071459A1 (fr) * 2001-03-05 2002-09-12 Tokyo Electron Limited Procede et systeme de traitement thermique
JP4509433B2 (ja) * 2001-07-12 2010-07-21 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
WO2003012567A1 (en) * 2001-07-30 2003-02-13 Tokyo Electron Limited Plasma chamber wall segment temperature control
JP2003318121A (ja) * 2002-04-26 2003-11-07 Trecenti Technologies Inc 半導体装置の製造方法
KR100479988B1 (ko) * 2002-07-24 2005-03-30 미래산업 주식회사 반도체 소자 테스트 핸들러의 발열 보상방법
JP4286514B2 (ja) * 2002-09-27 2009-07-01 株式会社日立国際電気 半導体製造装置及び温度制御方法、半導体製造方法
WO2005010970A1 (ja) * 2003-07-28 2005-02-03 Hitachi Kokusai Electric Inc. 基板処理装置及び基板処理方法
US7625603B2 (en) * 2003-11-14 2009-12-01 Robert Bosch Gmbh Crack and residue free conformal deposited silicon oxide with predictable and uniform etching characteristics
US7112763B2 (en) * 2004-10-26 2006-09-26 Applied Materials, Inc. Method and apparatus for low temperature pyrometry useful for thermally processing silicon wafers
JP4436371B2 (ja) * 2004-12-27 2010-03-24 株式会社日立国際電気 温度調整方法、熱処理装置、半導体装置の製造方法
JP2006284155A (ja) * 2005-04-05 2006-10-19 Matsushita Electric Ind Co Ltd マイクロ波焼成炉
JP4692143B2 (ja) * 2005-08-12 2011-06-01 住友電気工業株式会社 半導体装置の製造方法および製造装置
JP4891987B2 (ja) * 2006-03-09 2012-03-07 株式会社日立国際電気 温度調整方法
US7727780B2 (en) * 2007-01-26 2010-06-01 Hitachi Kokusai Electric Inc. Substrate processing method and semiconductor manufacturing apparatus
US20090095422A1 (en) * 2007-09-06 2009-04-16 Hitachi Kokusai Electric Inc. Semiconductor manufacturing apparatus and substrate processing method
JP5101243B2 (ja) * 2007-10-29 2012-12-19 東京エレクトロン株式会社 基板処理装置,基板処理装置の制御方法,およびプログラム
JP5274213B2 (ja) * 2008-11-14 2013-08-28 株式会社日立国際電気 基板処理装置および半導体装置の製造方法、温度制御方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006210768A (ja) 2005-01-31 2006-08-10 Hitachi Kokusai Electric Inc 熱処理装置及び基板の製造方法

Also Published As

Publication number Publication date
CN102456596A (zh) 2012-05-16
KR20120040090A (ko) 2012-04-26
JP5734081B2 (ja) 2015-06-10
TW201230229A (en) 2012-07-16
JP2012109520A (ja) 2012-06-07
TWI437655B (zh) 2014-05-11
US20120094010A1 (en) 2012-04-19
US9418881B2 (en) 2016-08-16
CN102456596B (zh) 2016-01-20

Similar Documents

Publication Publication Date Title
KR101267288B1 (ko) 기판 처리 장치, 기판 처리 장치의 온도 제어 방법 및 기판 처리 장치의 가열 방법
US9074284B2 (en) Heat treatment apparatus
JP5564311B2 (ja) 半導体装置の製造方法、基板処理装置及び基板の製造方法
US8071477B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
US20100154711A1 (en) Substrate processing apparatus
US20120156886A1 (en) Substrate processing apparatus, method of manufacturing substrate, and method of manufacturing semiconductor device
US20120220107A1 (en) Substrate processing apparatus, wafer holder, and method of manufacturing semiconductor device
US20170183771A1 (en) Substrate processing apparatus
WO2012115170A1 (ja) 基板処理装置、基板の製造方法及び半導体装置の製造方法
US20210313205A1 (en) Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Heater
US20100282166A1 (en) Heat treatment apparatus and method of heat treatment
WO2012026241A1 (ja) 半導体装置の製造方法、及び基板処理装置
JP2012178492A (ja) 基板処理装置およびガスノズルならびに基板若しくは半導体デバイスの製造方法
US8771416B2 (en) Substrate processing apparatus with an insulator disposed in the reaction chamber
JP2013197474A (ja) 基板処理方法と半導体装置の製造方法、および基板処理装置
JP2012193985A (ja) 基板処理装置、及び、基板の製造方法
JP2013197507A (ja) 基板処理装置および基板処理方法ならびに半導体装置の製造方法
JP2012178443A (ja) 基板処理装置
JP5783859B2 (ja) 基板処理装置及び基板処理装置の温度制御方法
JP2013197249A (ja) 基板処理装置、半導体装置の製造方法及び流量制御方法
WO2014046242A1 (ja) 温度測定器および基板処理装置ならびに温度制御方法および半導体装置の製造方法
JP2011204945A (ja) 基板処理装置および半導体装置の製造方法
JP2014179550A (ja) 基板処理装置
JP2012134332A (ja) 基板処理方法および基板処理装置
JP2011199214A (ja) 熱処理装置、半導体装置の製造方法、及び、基板の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160418

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170421

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180503

Year of fee payment: 6