JP2012109520A - 基板処理装置、基板処理装置の温度制御方法、及び基板処理装置の加熱方法 - Google Patents

基板処理装置、基板処理装置の温度制御方法、及び基板処理装置の加熱方法 Download PDF

Info

Publication number
JP2012109520A
JP2012109520A JP2011106088A JP2011106088A JP2012109520A JP 2012109520 A JP2012109520 A JP 2012109520A JP 2011106088 A JP2011106088 A JP 2011106088A JP 2011106088 A JP2011106088 A JP 2011106088A JP 2012109520 A JP2012109520 A JP 2012109520A
Authority
JP
Japan
Prior art keywords
temperature
control
gas
radiation thermometer
detected
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011106088A
Other languages
English (en)
Other versions
JP2012109520A5 (ja
JP5734081B2 (ja
Inventor
Shinobu Sugiura
忍 杉浦
Masaaki Ueno
正昭 上野
Kazuo Tanaka
和夫 田中
Masashi Sugishita
雅士 杉下
Hideto Yamaguchi
英人 山口
Kenji Shirako
賢治 白子
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2011106088A priority Critical patent/JP5734081B2/ja
Priority to KR1020110070196A priority patent/KR101267288B1/ko
Priority to US13/192,784 priority patent/US9418881B2/en
Priority to TW100137618A priority patent/TWI437655B/zh
Priority to CN201110319007.6A priority patent/CN102456596B/zh
Publication of JP2012109520A publication Critical patent/JP2012109520A/ja
Publication of JP2012109520A5 publication Critical patent/JP2012109520A5/ja
Application granted granted Critical
Publication of JP5734081B2 publication Critical patent/JP5734081B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1927Control of temperature characterised by the use of electric means using a plurality of sensors
    • G05D23/193Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces
    • G05D23/1931Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces to control the temperature of one space
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/20Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature
    • G05D23/22Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature the sensing element being a thermocouple
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Remote Sensing (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】温度センサを用いて熱処理を制御する際の不具合を抑制する基板処理装置を提供することにある。
【解決手段】基板200を収容する処理室201を加熱する加熱手段206と、第1の熱電対263により基板の近傍の温度を検出する第1の温度検出手段と、第2の熱電対264により加熱手段の近傍の温度を検出する第2の温度検出手段と、第1の温度検出手段により検出された温度、及び第2の温度検出手段により検出された温度に基づき制御する第1の制御手段と、第2の熱電対により検出された温度に基づき制御する第2の制御手段と、検出された温度に従って、第1の制御手段と第2の制御手段による制御とを切り替える制御切り替え手段を有し、第1の熱電対263は第2の熱電対264に比べて耐熱性に優れた特性を有し、第2の熱電対264は第1の熱電対263と比べて温度検出性能が優れた特性を有する。
【選択図】図1

Description

本発明は、拡散やCVD処理を行い、所望の熱処理を行う基板処理装置に関する。
縦型熱拡散装置や縦型減圧CVD装置は、例えば処理基板に薄膜を形成するために熱処理炉内に基板を収容し、所定の加熱手段で熱処理炉内を加熱する。そして、多くの場合、熱処理炉に設置した温度センサにより熱処理炉内の温度を検知し、その結果に従い温度を制御するようになっている。
例えば、特許文献1は、急速冷却にともなう外乱が生じても常に安定したフィードバック制御により温度を制御する半導体製造装置について開示している。この半導体製造装置では、昇温工程及び目標温度の維持時は、熱処理炉内を加熱するヒータ近傍に設置された熱電対(ヒータ熱電対)と、熱処理炉内部の均熱管と反応管との間に設置された熱電対(カスケード熱電対)とを用いてカスケード制御ループによる温度制御を行い、ヒータの温度を下降時は、カスケード熱電対のみを用いた直接制御ループに切り替えて温度制御を行う。
特開2004−119804号公報
例えば、従来から縦型熱拡散装置の熱処理炉内の温度を検知する温度センサには一般的にR型熱電対が用いられている。しかし、縦型熱拡散装置、特に処理温度が高温(1000℃以上)の装置においてR型熱電対を用いると、早期に熱電対が断線するという問題がある。これは、熱電対の+側素線と−側素線の熱膨張率の差から高温時に伸び量の差が生じることで熱電対が変形し、さらには昇降温の繰り返しで変形が繰り返され、素線が劣化し断線に至ると考えられる。さらに、別の要因として、高温での使用により熱電対結晶が肥大化し、結晶粒界面での強度が弱くなり断線に至る。
これに対し、R型熱電対に比べて耐熱性に優れるB型熱電対は、熱起電力が小さく、低温の測定が困難であるという問題を抱えている。
なお、R型熱電対及びB型熱電対とはJIS規格C1602に規定されている熱電対をいう。より具体的には、R型熱電対とは、+脚の構成材料としてロジウム13%を含む白金ロジウム合金を使用し、−脚の構成材料として白金を使用した熱電対である。また、B型熱電対とは、+脚の構成材料としてロジウム30%を含む白金ロジウム合金を使用し、−脚の構成材料としてロジウム6%を含む白金ロジウム合金を使用した熱電対である。
また、例えば、放射温度計を用いて熱処理炉内の温度を検知する場合、放射温度計は波長により対象物の温度を測定するので、測定可能温度範囲が限られてしまう。そこで、広範囲の温度測定を実現するには、低温用放射温度計、高温用放射温度計といったように複数種の放射温度計が必要となる。しかし、複数種の放射温度計を温度帯によって切り替えて制御する場合、切り替え時の温度測定値及び切り替えがなされる温度付近の温度測定値が不安定になるという問題がある。
本発明の目的は、温度センサを用いて熱処理を制御する際の不具合を抑制する基板処理装置を提供することにある。
上記目的を達成するために、本発明にかかる基板処理装置は、基板を収容する処理室を加熱する加熱手段と、熱電対により前記基板の近傍の温度を検出する第1の温度検出手段と、熱電対により前記加熱手段の近傍の温度を検出する第2の温度検出手段と、前記第1の温度検出手段により検出された温度、及び前記第2の温度検出手段により検出された温度に基づき前記加熱手段を制御する第1の制御手段と、前記第2の温度検出手段により検出された温度に基づき前記加熱手段を制御する第2の制御手段と、前記第1の温度検出手段又は前記第2の温度検出手段により検出された温度に従って、前記第1の制御手段による前記加熱手段の制御と前記第2の制御手段による前記加熱手段の制御とを切り替える制御切り替え手段と、を有し、第1の温度検出手段で用いる熱電対は第2の温度検出手段で用いる熱電対に比べて耐熱性に優れた特性を有し、第2の温度検出手段に用いる熱電対は第1の温度検出手段に用いる熱電対と比べて温度検出性能が優れた特性を有する。
また、本発明にかかる基板処理装置の温度制御方法は、基板を収容する処理室を加熱手段により加熱し、熱電対により前記基板の近傍の温度を第1の温度検出手段により検出し、熱電対により前記加熱手段の近傍の温度を第2の温度検出手段により検出し、前記第1の温度検出手段により検出された温度、及び前記第2の温度検出手段により検出された温度に基づき前記加熱手段を制御する第1の制御と、前記第2の温度検出手段により検出された温度に基づき前記加熱手段を制御する第2の制御とを、前記第1の温度検出手段又は前記第2の温度検出手段により検出された温度に従って切り替え、第1の温度検出手段で用いる熱電対は第2の温度検出手段で用いる熱電対に比べて耐熱性に優れた特性を有し、第2の温度検出手段に用いる熱電対は第1の温度検出手段に用いる熱電対と比べて温度検出性能が優れた特性を有する。
また、本発明にかかる基板処理装置は、基板を収容する処理室を加熱する加熱手段と、第1の放射温度計を用いて前記加熱手段によって加熱された温度を検出する第1の温度検出手段と、第1の放射温度計によって測定される温度の範囲の上限よりも高い温度を測定される温度の範囲の上限とし、かつ、第1の放射温度計によって測定される温度の範囲の下限よりも高い温度を測定される温度の範囲の下限とする第2の放射温度計を用いて、前記加熱手段によって加熱された温度を検出する第2の温度検出手段と、前記第1の温度検出手段により検出された温度に基づき前記加熱手段を制御する第1の制御手段と、前記第2の温度検出手段により検出された温度に基づき前記加熱手段を制御する第2の制御手段と、前記第1の温度検出手段又は前記第2の温度検出手段により検出された温度と予め定められた閾値とに基づいて、前記第1の制御手段による前記加熱手段の制御と前記第2の制御手段による前記加熱手段の制御とを切り替える制御切り替え手段とを有する。
また、本発明にかかる基板処理装置の加熱方法は、基板を収容する処理室を加熱手段により加熱し、第1の放射温度計を用いて前記加熱手段によって加熱された温度を第1の温度検出手段により検出し、第1の放射温度計によって測定される温度の範囲の上限よりも高い温度を測定される温度の範囲の上限とし、かつ、第1の放射温度計によって測定される温度の範囲の下限よりも高い温度を測定される温度の範囲の下限とする第2の放射温度計を用いて、前記加熱手段によって加熱された温度を第2の温度検出手段により検出し、前記第1の温度検出手段により検出された温度に基づき前記加熱手段を制御する第1の制御手段と、前記第2の温度検出手段により検出された温度に基づき前記加熱手段を制御する第2の制御手段とを、前記第1の温度検出手段又は前記第2の温度検出手段により検出された温度と予め定められた閾値とに基づいて切り替える。
本発明によれば、温度センサを用いて熱処理を制御する際の不具合を抑制する基板処理装置を提供することができる。
本発明の第1実施形態に係る基板処理装置である縦型熱拡散装置の処理炉の断面図である。 本発明の第1実施形態に係るB型熱電対及びR型熱電対の温度特性を示す図である。 本発明の第1実施形態に係るB型熱電対及びR型熱電対の温度特性を示す図の拡大図である。 本発明の第1実施形態に係る温度制御のフローチャートを示す図である。 本発明の第1実施形態に係る制御モードがヒータダイレクト制御の場合の温度制御部238の構成図である 本発明の第1実施形態に係る制御モードがファーナスカスケード制御の場合の温度制御部238の構成図である。 本発明の第1実施形態の変形例に係る閾値温度T1及びT2と制御モードとの関係を示す図である。 本発明の第2実施形態に係るSiC(炭化ケイ素)エピタキシャル膜を成膜する半導体製造装置300の一例を示す斜視図である。 (a)は、本発明の第2実施形態に係る処理炉328の一例を示す側面断面図であり、(b)は、ボート320に支持されたウエハ304の側面断面図である。 本発明の第2実施形態に係る半導体製造装置300の制御構成の一例を示すブロック図である。 本発明の第2実施形態に係る処理炉328の一例を示す平面断面図である。 本発明の第2実施形態に係る半導体製造装置300のガス供給ユニット380の一例を示す模式図である。 本発明の第2実施形態に係る処理炉328及び周辺構造の概略断面図である。 温度制御部362による温度制御の切り替え規則を示す表である。 高温用放射温度計による制御と低温放射温度計による制御との切り替えを示すグラフである。 本発明の第2実施形態の変形例における温度制御部362による温度制御の切り替え規則を示す表である。
以下、本発明の第1実施形態について図面に基づいて説明する。
図1は本発明の第1実施形態で好適に用いられる基板処理装置である縦型熱拡散装置の処理炉202の概略構成図であり、縦断面図として示されている。
図1に示されているように、処理炉202は加熱機構としてのヒータ206を有する。ヒータ206は円筒形状であり、保持板としてのヒータベース251に支持されることにより垂直に据え付けられている。
ヒータ206の内側には、例えば、炭化珪素(SiC)等の耐熱性材料からなり、上端が閉塞し、下端が開口した円筒形状である均熱管(外管)205が、ヒータ206と同心円状に配設されている。また、均熱管205の内側には、例えば石英(SiO2)等の耐熱性材料からなり、上端が閉塞し、下端が開口した円筒形状である反応管(内管)204が、均熱管205と同心円状に配設されている。反応管204の筒中空部には処理室201が形成されており、基板としてのウエハ200を後述するボート217によって水平姿勢で垂直方向に多段に整列した状態で収容可能に構成されている。
反応管204の下端部にはガス導入部230が設けられており、ガス導入部230から反応管204の天井部233に至るまで反応管204の外壁に添ってガス導入管としての細管234が配設されている。ガス導入部230から導入されたガスは、細管234内を流通して天井部233に至り、天井部233に設けられた複数のガス導入口233aから処理室201内に導入される。また、反応管204の下端部のガス導入部230と異なる位置には、反応管204内の雰囲気を排気口231aから排気するガス排気部231が設けられている。
ガス導入部230には、ガス供給管232が接続されている。ガス供給管232のガス導入部230との接続側と反対側である上流側には、ガス流量制御器としてのMFC(マスフローコントローラ)241を介して図示しない処理ガス供給源、キャリアガス供給源、不活性ガス供給源が接続されている。なお、処理室201内に水蒸気を供給する必要がある場合は、ガス供給管232のMFC241よりも下流側に、図示しない水蒸気発生装置が設けられる。MFC241には、ガス流量制御部235が電気的に接続されており、供給するガスの流量が所望の量となるよう所望のタイミングにて制御するように構成されている。
ガス排気部231には、ガス排気管229が接続されている。ガス排気管229のガス排気部231との接続側とは反対側である下流側には圧力検出器としての圧力センサ245および圧力調整装置242を介して排気装置246が接続されており、処理室201内の圧力が所定の圧力となるよう排気し得るように構成されている。圧力調整装置242および圧力センサ245には、圧力制御部236が電気的に接続されており、圧力制御部236は圧力センサ245により検出された圧力に基づいて圧力調整装置242により処理室201内の圧力が所望の圧力となるよう所望のタイミングにて制御するように構成されている。
反応管204の下端部には、反応管204の下端開口を気密に閉塞可能な保持体としてのベース257と、炉口蓋体としてのシールキャップ219とが設けられている。シールキャップ219は例えばステンレス等の金属からなり、円盤状に形成されている。ベース257は例えば石英からなり、円盤状に形成され、シールキャップ219の上に取付けられている。ベース257の上面には反応管204の下端と当接するシール部材としてのOリング220が設けられる。シールキャップ219の処理室201と反対側には、ボートを回転させる回転機構254が設置されている。回転機構254の回転軸255はシールキャップ219とベース257を貫通して、後述する断熱筒218とボート217に接続されており、断熱筒218およびボート217を回転させることでウエハ200を回転させるように構成されている。シールキャップ219は反応管204の外部に垂直に設備された昇降機構としてのボートエレベータ115によって垂直方向に昇降されるように構成されており、これによりボート217を処理室201に対し搬入搬出することが可能となっている。回転機構254及びボートエレベータ115には、駆動制御部237が電気的に接続されており、所望の動作をするよう所望のタイミングにて制御するように構成されている。
基板保持具としてのボート217は、例えば石英や炭化珪素等の耐熱性材料からなり、複数枚のウエハ200を水平姿勢でかつ互いに中心を揃えた状態で整列させて保持するように構成されている。ボート217の下方には、例えば石英や炭化珪素等の耐熱性材料からなる円筒形状をした断熱部材としての断熱筒218がボート217を支持するように設けられており、ヒータ206からの熱が反応管204の下端側に伝わりにくくなるように構成されている。
処理炉202には温度検出器として2種類のセンサが設けられている。すなわち、均熱管205と反応管204との間には、温度検出器として複数の内部温度センサ263が設置され、均熱管205とヒータ206との間には、温度検出器としての複数の外部温度センサ264が設置されている。この内部温度センサ263及び外部温度センサ264は熱電対を用いて温度を検出しており、例えば、内部温度センサ263はB型熱電対を用い、外部温度センサ264はR型熱電対を用いて温度を検出している。なお、内部温度センサ263及び外部温度センサ264については詳細を後述する。ヒータ206、内部温度センサ263、及び外部温度センサ264には、電気的に温度制御部238が接続されており、内部温度センサ263及び外部温度センサ264により検出された温度情報に基づきヒータ206への通電具合を調整することにより処理室201内の温度が所望の温度分布となるよう所望のタイミングにて制御するように構成されている。
ガス流量制御部235、圧力制御部236、駆動制御部237、温度制御部238は、操作部、入出力部をも構成し、基板処理装置全体を制御する主制御部239に電気的に接続されている。これら、ガス流量制御部235、圧力制御部236、駆動制御部237、温度制御部238、主制御部239はコントローラ240として構成されている。
次に、上記構成に係る処理炉202を用いて、半導体デバイスの製造工程の一工程として、ウエハ200に酸化、拡散等の処理を施す方法について説明する。尚、以下の説明において、基板処理装置を構成する各部の動作はコントローラ240により制御される。
複数枚のウエハ200がボート217に装填(ウエハチャージ)されると、図1に示されているように、複数枚のウエハ200を保持したボート217は、ボートエレベータ115によって持ち上げられて処理室201に搬入(ボートローディング)される。この状態で、シールキャップ219はベース257、Oリング220を介して反応管204下端をシールした状態となる。
処理室201内が所望の圧力となるように排気装置246によって排気される。この際、処理室201内の圧力は、圧力センサ245で測定され、この測定された圧力に基づき圧力調節器242が、フィードバック制御される。また、処理室201内が所望の温度となるようにヒータ206によって加熱される。この際、処理室201内が所望の温度分布となるように温度検出器である内部温度センサ263及び外部温度センサ264が検出した温度情報に基づきヒータ206への通電具合がフィードバック制御される。なお、温度検出器により検出された温度情報に基づくヒータ206の制御については、詳細を後述する。続いて、回転機構254により、断熱筒218、ボート217が回転されることで、ウエハ200が回転される。
次いで、処理ガス供給源およびキャリアガス供給源から供給され、MFC241にて所望の流量となるように制御されたガスは、ガス供給管232からガス導入部230および細管234を流通し天井部233に至り、複数のガス導入口233aから処理室201内にシャワー状に導入される。なお、ウエハ200に対して水蒸気を用いた処理を行う場合は、MFC241にて所望の流量となるように制御されたガスは水蒸気発生装置に供給され、水蒸気発生装置にて生成された水蒸気(H2O)を含むガスが処理室201に導入される。導入されたガスは処理室201内を流下し、排気口231aを流通してガス排気部231から排気される。ガスは処理室201内を通過する際にウエハ200の表面と接触し、ウエハ200に対して酸化、拡散等の処理がなされる。
予め設定された処理時間が経過すると、不活性ガス供給源から不活性ガスが供給され、処理室201内が不活性ガスに置換されるとともに、処理室201内の圧力が常圧に復帰される。
その後、ボートエレベータ115によりシールキャップ219が下降されて、反応管204の下端が開口されるとともに、処理済ウエハ200がボート217に保持された状態で反応管204の下端から反応管204の外部に搬出(ボートアンローディング)される。その後、処理済ウエハ200はボート217より取出される(ウエハディスチャージ)。
以上がウエハ200に酸化、拡散等の処理を施すための一連の工程となる。次に本実施形態における、温度検出器、及び温度検出器を用いたヒータ206の制御について詳述する。
熱処理炉内の温度を検知する場合、一般的にR型熱電対を温度センサとして温度検出を行なうが、高温環境下ではR型熱電対の断線が早期に発生する。この課題に対し、R型熱電対に比べて耐熱性に優れた熱電対として本実施形態ではB型熱電対を用いている。B型熱電対が耐熱性に優れるのは、白金にロジウムを添加すると一般的に機械的強度が強くなり、変形による断線が生じにくくなるからである。また、ロジウムが少ないほど結晶粒が粗大となり、これによりロジウムが多いと結晶粒の粗大化が比較的抑えられると考えられる。したがって、結晶粒が粗大化した場合の結晶粒界面の強度低下を抑制することが期待できる。
しかし、B型熱電対を用いることは、次のような点で問題となる。図2はB型熱電対及びR型熱電対の温度特性を表した図である。なお、この図はJIS規格C1602の基準熱起電力表をもとに横軸に温度(℃)、縦軸に熱起電力(mV)をプロットしたものである。図2より、B型熱電対はR型熱電対に比べいずれの温度領域においても熱起電力が小さいことがわかる。例えば、1200℃における熱起電力はR型熱電対では13.228mVであるのに対しB型熱電対では6.786mVと小さく、600℃における熱起電力はR型熱電対では5.583mVであるのに対しB型熱電対では1.792mVと小さい。このようにB型熱電対は熱起電力が小さいゆえに、特に低温領域(250℃以下)において測定誤差が生じやすく、測定精度が悪化する可能性があるという問題がある。
さらに、B型熱電対は熱起電力が負の値をとることがあり温度を特定できないという問題点もある。図3は、図2におけるグラフの0℃から100℃付近を拡大した図である。B型熱電対は40℃以下の温度では起電力が負の値となり、異なる温度で同一の熱起電力となる特性を持つ。このため、負の値の熱起電力を検出した場合、温度を特定することができない。
このように、B型熱電対を温度検出器として用いるには問題がある。そこで、本実施形態では、温度検出器としてB型熱電対を用いた内部温度センサ263とR型熱電対を用いた外部温度センサ264とを設け、閾値温度T未満の環境では外部温度センサ264のみを用いてヒータ206の温度を制御し、閾値温度T以上の環境では内部温度センサ263及び外部温度センサ264を用いてヒータ206の温度を制御する。なお、内部温度センサ263及び外部温度センサ264は、それぞれ図2に示される温度特性に基づく温度−熱起電力変換テーブルによって、検知された熱起電力から温度を求めている。
図4は、本実施形態における温度制御のフローチャートを示す図である。フローチャートに沿って、ヒータ206の温度の制御方法を説明する。
ステップ100(S100)では、ヒータ206の温度の制御方法が選択される。本実施形態では、制御方法として後述するヒータダイレクト制御、ファーナスカスケード制御及びARCダイレクトC制御の3つの制御モードがあり、S100においていずれかの制御モードが選択される。
なお、本実施形態では、S100の処理において、ヒータダイレクト制御、ファーナスカスケード制御及びARCダイレクトC制御の3つの制御モードのいずれかが選択されるが、さらに別の制御モードが追加されていてもよく、又は、ARCダイレクトC制御を備えていなくてもよい。
S100において、制御モードとして、ヒータダイレクト制御が選択された場合はS102の処理に進み、ARCダイレクトC制御が選択された場合はS104の処理に進み、ファーナスカスケード制御が選択された場合にはS106の処理に進む。
ステップ102(S102)では、制御モードが変更されるまで又はヒータ206の温度制御が終了されるまで、外部温度センサ264の検出温度に基づきヒータダイレクト制御を行い、ヒータ206の温度を制御する。
ステップ104(S104)では、制御モードが変更されるまで又はヒータ206の温度制御が終了されるまで、内部温度センサ263及び外部温度センサ264の検出温度には依らずにARCダイレクトC制御を行い、ヒータ206の温度を制御する。
ステップ106(S106)では、内部温度センサ263による検出温度を確認する。この検出温度が閾値温度T以上である場合は、S108の処理に進み、ファーナスカスケード制御を行なう。一方、検出温度が閾値温度T未満である場合は、S102の処理に進み、ヒータダイレクト制御を行なう。ヒータダイレクト制御では、内部温度センサ263の検出温度は用いずにヒータ206の温度を制御することとなる。このため、検出温度が閾値温度T未満である場合には、内部温度センサ263に用いられているB型熱電対の低温領域における特性の影響を受けずに、ヒータダイレクト制御による安定したフィードバック制御が可能となる。例えば、閾値温度Tを250℃と設定すれば、測定誤差の発生、及び温度が特定されないというB型熱電対の問題による影響を受けずに安定した制御ができる。
なお、ステップ106ではB型熱電対が用いられている内部温度センサ263の低温領域での影響を受けないよう制御モードの切り替えを実施している。したがって、内部温度センサ263による検出温度を確認して閾値温度Tとの比較を行なうことが望ましいが、外部温度センサ264により検出された温度を確認して閾値温度Tとの比較を行い制御モードの切り替えを行なってもよい。
また、S106の処理の直前のステップとして、内部温度センサ263がB型熱電対であるかR型熱電対であるかを判別するステップを設けてもよい。このような判別ステップを設けた場合、本実施形態のように内部温度センサ263がB型熱電対により構成されているときはS106の処理に移行するが、内部温度センサ263がR型熱電対により構成されているときはS106の処理に移行せずにS108の処理に移行しファーナスカスケード制御を行なうこととなる。
ステップ108(S108)では、内部温度センサ263による検出温度が閾値温度T未満となるか、又はヒータ206の温度制御が終了されるまで、内部温度センサ263及び外部温度センサ264によるファーナスカスケード制御を行い、ヒータ206の温度を制御する。
以上説明したように、本実施形態にかかる基板処理装置は、内部温度センサ263及び外部温度センサ264の検出温度に基づき制御するファーナスカスケード制御と、内部温度センサ263の検出温度は用いないヒータダイレクト制御とを温度により切り替えているため、B型熱電対による低温領域の影響を受けずに安定したヒータ206の温度制御が可能となる。
ここで、ステップ100において選択される制御モードについて説明する。
図5は、制御モードがヒータダイレクト制御の場合の温度制御部238の構成図である。ヒータダイレクト制御では、温度調整部270aが、ヒータ近傍、例えば均熱管205とヒータ206との間、の複数ゾーンに挿入された熱電対(外部温度センサ264)で計測した温度と温度設定値とが一致するようにPID制御を行い、出力パルスを出力制御部271に出力する。そして、出力制御部271は入力された出力パルスをもとにヒータ206の温度を制御する。
図6は、制御モードがファーナスカスケード制御の場合の温度制御部238の構成図である。ファーナスカスケード制御における温度調整部270bは、処理炉内、例えば均熱管205と反応管204との間、の複数ゾーンに挿入された熱電対(内部温度センサ263)により計測した温度と、ヒータ近傍の複数ゾーンに挿入された熱電対(外部温度センサ264)により計測した温度とで、それぞれPID制御を行なう。ここで、内部温度センサ263によるPID制御と、外部温度センサ264によるPID制御は直列的に制御ループを構成し、二重のフィードバック制御を行なっている。
ARCダイレクトC制御とは、ヒータの各ゾーンに対し、C動作だけで直接ヒータを制御するオープンループ制御である。ここで、C動作とは、時間の関数である補正パターンによって表される一定の値(compensation:C)を出力する動作である。
次に、本実施形態の変形例について説明する。変形例では、S106の処理における閾値温度としてT1とT2とを設けている点で上述の実施形態と異なる。図7は、閾値温度T1及びT2と制御モードとの関係を示す図である。ここで、図中の実線はヒータダイレクト制御を行なうことを示し、点線はファーナスカスケード制御を行なうことを示している。S106の処理における内部温度センサ263による検出温度結果が上昇しても、閾値温度T1未満であればS102の処理に進みヒータダイレクト制御を行う。検出温度がさらに上昇し、閾値温度T1以上となるとS108への遷移に切り替わり、制御モードがファーナスカスケード制御へと切り替わる。その後、検出温度がT2以上であればファーナスカスケード制御が続き、検出温度がT2未満になるとS102への遷移に切り替わり、制御モードがヒータダイレクト制御へと切り替わる。
閾値温度Tのみにより制御モードの切り替えを行なうと、閾値温度T付近で検出温度が上昇下降を繰り返すと、それに伴い制御モードもヒータダイレクト制御とファーナスカスケード制御との切り替えが繰り返されてしまい、制御が不安定となる可能性がある。しかし、閾値温度T1とT2を設け、検出温度の上昇時と下降時とで制御モードの切り替わる温度を変えた場合には、閾値温度付近での制御モードの切り替わりの繰り返しを防ぐことができ、安定した制御を行なうことができる。
以上説明した実施形態及びその変形例では、内部温度センサ263にB型熱電対を用い、外部温度センサ264にR型熱電対を用いているが、用いる熱電対はこれらに限らない。すなわち、2種類の熱電対(第1の熱電対及び第2の熱電対)を内部温度センサ263と外部温度センサ264とにそれぞれ用い、第1の熱電対は第2の熱電対に比べて耐熱性に優れており、第2の熱電対は第1の熱電対に比べて温度検出性能が優れていればよい。そして、第1の熱電対の温度検出性能が不十分である温度領域に対しては、第2の熱電対の検出温度のみによるフィードバック制御を行い、それ以外の温度領域に対しては第1の熱電対の検出温度と第2の熱電対の検出温度とを利用してフィードバック制御を行なえばよい。
また、本実施形態では、内部温度センサ263にB型熱電対を用い、外部温度センサ264にR型熱電対を用いたが、逆に、内部温度センサ263にR型熱電対を用い、外部温度センサ264にB型熱電対を用いてもよい。この場合、内部温度センサ263によりヒータダイレクト制御を行なうこととなる。なお、本実施形態のように内部温度センサ263にB型熱電対を用い、外部温度センサ264にR型熱電対を用いるのは、例えば次のような場合に好適である。すなわち、外部温度センサ264の各ゾーンの設置位置において水平方向に熱電対が設置され、さらに外部温度センサ264で使用される熱電対が内部温度センサ263で使用される熱電対の長さよりも短い場合である。このような場合、外部温度センサ264では、熱電対自体にかかる荷重が小さく、さらに、熱電対が高温となる部分が短いことにより熱膨張による伸び量が小さい。したがって、外部温度センサ264の使用態様は、内部温度センサ263の使用態様と比べて断線が生じにくい。このため、内部温度センサ263にB型熱電対を用い、外部温度センサ264にR型熱電対を用いることが好適となる。
以上説明したように、本発明にかかる第1実施形態及び変形例では、温度センサの長寿命化を図ることができる。従って、基板処理装置のダウンタイムを低減し、稼働率を向上させることができる。また、温度範囲ごとに適した温度センサに基づく加熱温度の制御を行なうので温度制御精度を悪化させることなく、高温領域での使用であっても温度センサの長寿命化を図ることができる。
次に、本発明の第2実施形態について図面に基づいて説明する。
図8は、本発明の第2実施形態に係るSiC(炭化ケイ素)エピタキシャル膜を成膜する半導体製造装置300の一例を示す斜視図である。
基板処理装置(成膜装置)としての半導体製造装置300は、バッチ式縦型熱処理装置であり、主要部が配置される筐体302を有する。半導体製造装置300には、例えばSiC等で構成された基板としてのウエハ304(後述する図9参照)を収納する基板収容器として、フープ(以下、ポッドと称す)306がウエハキャリアとして使用される。筐体302の正面側には、ポッドステージ308が配置されており、ポッドステージ308にポッド306が搬送される。ポッド306には、例えば25枚のウエハ304が収納され、蓋が閉じられた状態でポッドステージ308にセットされる。
筐体302内の正面側であって、ポッドステージ308に対向する位置には、ポッド搬送装置310が配置されている。また、ポッド搬送装置310の近傍にはポッド収納棚312、ポッドオープナ314及び基板枚数検知器316が配置されている。ポッド収納棚312は、ポッドオープナ314の上方に配置され、ポッド306を複数個載置した状態で保持するように構成されている。基板枚数検知器316は、ポッドオープナ314に隣接して配置され、ポッド搬送装置310は、ポッドステージ308とポッド収納棚312とポッドオープナ314との間でポッド306を搬送する。ポッドオープナ314は、ポッド306の蓋を開けるものであり、基板枚数検知器316は蓋を開けられたポッド306内のウエハ304の枚数を検知するようになっている。
筐体302内には、基板移載機318、基板保持具としてのボート320が配置されている。基板移載機318は、アーム(ツイーザ)322を有し、図示しない駆動手段により昇降可能且つ回転可能な構造となっている。アーム322は、例えば5枚のウエハ304を取出すことができ、アーム322を動かすことにより、ポッドオープナ314の位置に置かれたポッド306及びボート320間にてウエハ304を搬送する。
ボート320は、例えばカーボングラファイトやSiC等の耐熱性材料で構成されており、複数枚のウエハ304を水平姿勢で、且つ互いに中心を揃えた状態で整列させて縦方向に積上げ、保持するように構成されている。なお、ボート320の下部には、例えば石英やSiC等の耐熱性材料で構成された円盤形状の断熱部材としてボート断熱部324が配置されており、後述する被加熱体(被誘導体)326からの熱が処理炉328の下方側に伝わりにくくなるように構成されている(後述する図9参照)。
筐体302内の背面側上部には、処理炉328が配置されている。処理炉328内に複数枚のウエハ304を装填したボート320が搬入され、熱処理が行われる。
次に、SiCエピタキシャル膜を成膜する半導体製造装置300の処理炉328について説明する。
図9(a)は、本発明の第2実施形態に係る処理炉328の一例を示す側面断面図であり、図9(b)は、ボート320に支持されたウエハ304の側面断面図である。
処理炉328には、第1のガス供給口330を有する第1のガス供給ノズル332、第2のガス供給口334を有する第2のガス供給ノズル336、及び第1のガス排気口338が設けられる。また、不活性ガスを供給する第3のガス供給口340、第2のガス排気口342が図示されている。
処理炉328は、石英又はSiC等の耐熱性材料からなり、上端が閉塞し下端が開口した円筒形状に形成された反応管344を備えている。反応管344の下方には、反応管344と同心円状にマニホールド346が配設されている。マニホールド346は、例えばステンレス等からなり、上端及び下端が開口した円筒形状に形成されている。マニホールド346は、反応管344を支持するように設けられている。なお、マニホールド346と反応管344との間には、シール部材としてのOリング(図示せず)が設けられている。マニホールド346が図示しない保持体に支持されることにより、反応管344は垂直に据付けられた状態になっている。反応管344とマニホールド346により、反応容器が形成されている。
処理炉328は、上端が閉塞し下端が開口した円筒形状に形成された被加熱体(被誘導体)326及び磁場発生部としての誘導コイル348を具備している。反応管344の内側には、反応室350が形成れており、SiC等で構成された基板としてのウエハ304を保持したボート320を収納可能に構成されている。被加熱体326は、反応管344の外側に設けられた誘導コイル348により発生される磁場によって加熱されるようになっており、被加熱体326が発熱することにより、反応室350内が加熱されるようになっている。
また、図9(b)に示されるように、ウエハ304は、円環状の下部ウエハホルダ352bに保持され、上面を円板状の上部ウエハホルダ352aで覆われた状態でボート320に保持されるとよい。これにより、ウエハ上部から落下しているパーティクルからウエハ304を守ることができると共に、成膜面(ウエハ304の下面)に対して裏面側の成膜を抑制することができる。また、ウエハホルダ352の分、ボート柱から成膜面を離すことができ、ボート柱の影響を小さくすることができる。ボート320は、水平姿勢で、且つ、互いに中心を揃えた状態で縦方向に整列するようにウエハホルダ352に保持されたウエハ304を保持するよう構成されている。
被加熱体326の近傍には、反応室350内の温度を検出する温度検出体として温度センサが設けられている。温度センサとして、例えば、被加熱体326の近傍に縦方向に3つのゾーンに分割して放射温度計354、356、358、360が配置されている。放射温度計354は、縦方向の3つのゾーンのうち上部のゾーンに配置されており、放射温度計356、358は、縦方向の3つのゾーンのうち中部のゾーンに配置されており、放射温度計360は、縦方向の3つのゾーンのうち下部のゾーンに配置されている。
上部のゾーンに配置された放射温度計354及び下部のゾーンに配置された放射温度計360は、モニター用であり、目的に応じて低温用放射温度計又は高温用放射温度計のいずれかが配置されている。中部のゾーンに配置された放射温度計356及び358のうち、放射温度計356は高温用放射温度計であり、放射温度計358は低温用放射温度計である。放射温度計356及び358は、同じ場所の温度を測定する目的で配置されており、高温用放射温度計である放射温度計356と低温用放射温度計である放射温度計358とを切り替えて温度制御を行なう。
ここで、高温用放射温度計の計測可能な温度範囲の上限温度及び下限温度をそれぞれ高温用上限値Max_High、高温用下限値Min_Highとし、低温用放射温度計の計測可能な温度範囲の上限温度及び下限温度をそれぞれ低温用上限値Max_Low、低温用下限値Min_Lowとすると、高温用放射温度計と低温用放射温度計は、次のような関係にある。すなわち、高温用上限値Max_Highは、低温用上限値Max_Lowよりも高い値であり、かつ、高温用下限値Min_Highは、低温用下限値Min_Lowよりも高い値である。
図10は、本発明の第2実施形態に係る半導体製造装置300の制御構成の一例を示すブロック図である。誘導コイル348及び放射温度計354、356、358、360は、それぞれ図10に示す温度制御部362と電気的に接続されている。温度制御部362は、放射温度計356又は放射温度計358により検出された温度情報に基づき、誘導コイル348への通電具合が調節されることで、反応室350内の温度が所望の温度分布となるよう所定のタイミングにて制御されるよう構成されている。例えば、高周波電源で駆動される円柱型の誘導過熱ヒータである誘導コイル348に対し、温度制御部362は、放射温度計356又は放射温度計358により検出された温度情報と設定温度とを比較して適切な熱量を出力させるべく高周波電源を駆動する。
また、温度制御部362は、放射温度計356により検出された温度情報に基づく制御と、放射温度計358により検出された温度情報に基づく制御とを後述する切り替え方式により切り替えて制御を行なう。
さらに、誘導コイル348の近傍に、縦方向に3つのゾーンに分割して熱電対364、366、368が配置されている。熱電対364は、縦方向の3つのゾーンのうち上部のゾーンに配置されており、熱電対366は、縦方向の3つのゾーンのうち中部のゾーンに配置されており、熱電対368は、縦方向の3つのゾーンのうち下部のゾーンに配置されている。熱電対364、366、368は、過温保護のために用いられ、それぞれ温度制御部362に電気的に接続されている。
尚、好ましくは、反応室350内において第1及び第2のガス供給ノズル332,336と第1のガス排気口338との間であって、被加熱体326とウエハ304との間には、被加熱体326とウエハ304との間の空間を埋めるよう、鉛直方向に延在し断面が円弧状の構造物370を反応室350内に設けるのがよい。図11は、本発明の第2実施形態に係る処理炉328の一例を示す平面断面図である。例えば、図11に示すように、対向する位置にそれぞれ構造物370を設けることで、第1及び第2のガス供給ノズル332,336から供給されるガスが、被加熱体326の内壁に沿ってウエハ304を迂回するのを防止することができる。構造物370としては、好ましくは断熱材若しくはカーボンフェルト等で構成すると、耐熱及びパーティクルの発生を抑制することができる。
反応管344と被加熱体326との間には、例えば誘電されにくいカーボンフェルト等で構成された断熱材372が設けられ、断熱材372を設けることにより、被加熱体326の熱が反応管344或は反応管344の外側へ伝達するのを抑制することができる。
又、誘導コイル348の外側には、反応室350内の熱が外側に伝達するのを抑制する為の、例えば水冷構造である外側断熱壁374が反応室350を囲むように設けられている。更に、外側断熱壁374の外側には、誘導コイル348により発生された磁場が外側に漏れるのを防止する磁気シール376が設けられている。
図9に示すように、被加熱体326とウエハ304との間には、少なくともSi(シリコン)原子含有ガスと、Cl(塩素)原子含有ガスとをウエハ304に供給するために少なくとも1つの第1のガス供給口330が設けられた第1のガス供給ノズル332が設置される。又、被加熱体326とウエハ304との間の第1のガス供給ノズル332とは異なる箇所には、少なくともC(炭素)原子含有ガスと還元ガスとをウエハ304に供給するために、少なくとも1つの第2のガス供給口334が設けられた第2のガス供給ノズル336が設けられる。また、第1のガス排気口338も同様に被加熱体326とウエハ304との間に配置される。又、反応管344と断熱材372との間に、第3のガス供給口340及び第2のガス排気口342が配置されている。
また、第1のガス供給ノズル332及び第2のガス供給ノズル336は、夫々1本ずつでも構わないが、図11に示されるように、第2のガス供給ノズル336は3本設けられ、第2のガス供給ノズル336に挟まれるように第1のガス供給ノズル332が設けられるように構成すると良い。このように交互に配置することにより、Si原子含有ガスとC原子含有ガスの混合を促進することができる。また、第1のガス供給ノズル及び第2のガス供給ノズルを奇数本とすることにより、中央の第2ガス供給ノズル336を中心に成膜ガス供給を左右対称とすることができ、ウエハ304内の均一性を高めることができる。
第1のガス供給口330及び第1のガス供給ノズル332は、例えばカーボングラファイトで構成され、反応室350内に設けられる。又、第1のガス供給ノズル332は、マニホールド346を貫通するようにマニホールド346に取付けられている。ここで、SiCエピタキシャル膜を形成する際に、第1のガス供給口330は、少なくともSi(シリコン)原子含有ガスとして、例えばモノシラン(以下SiH4とする)ガスと、Cl(塩素)原子含有ガスとして、例えば塩化水素(以下HClとする)ガスと、キャリアガスとして不活性ガス(例えばAr(アルゴン))とを第1のガス供給ノズル332を介して、反応室350内に供給するようになっている。
第1のガス供給ノズル332は、第1のガスライン378を介してガス供給ユニット380に接続される。図12は、本発明の第2実施形態に係る半導体製造装置300のガス供給ユニット380の一例を示す模式図である。図12に示されるように、第1のガスライン378は、SiH4ガス、HClガス、不活性ガスに対して流量制御器(流量制御手段)としてのマスフローコントローラ(以下MFCとする)382c,382d,382f、及び、バルブ384c,384d,384fを介して、例えばSiH4ガス供給源386c、HClガス供給源386d、不活性ガス供給源386fに接続されている。
上記構成により、SiH4ガス、HClガス、不活性ガスのそれぞれの供給流量、濃度、分圧、供給タイミングを反応室350内に於いて制御することができる。バルブ384c,384d,384f、MFC382c,382d,382fは、ガス流量制御部388に電気的に接続されており、それぞれ供給するガスの流量が所定流量となるように、所定のタイミングにて制御されるようになっている(図10参照)。尚、SiH4ガス、HClガス、不活性ガスのそれぞれのガス供給源386c,386d、386f、バルブ384c,384d、384f、MFC382c,382d,382f、第1のガスライン378、第1のガス供給ノズル332及び第1のガス供給ノズル332に少なくとも1つ設けられる第1のガス供給口330により、ガス供給系として第1のガス供給系が構成される。
第2のガス供給口334は、例えばカーボングラファイトで構成され、反応室350内に設けられる。また、第2のガス供給ノズル336は、マニホールド346を貫通するように、マニホールド346に取付けられている。ここで、SiCエピタキシャル膜を形成する際に、第2のガス供給口334は、少なくともC(炭素)原子含有ガスとして、例えばプロパン(以下C3H8とする)ガスと、還元ガスとして、例えば水素(H原子単体、若しくはH2分子。以下H2とする)とを第2のガス供給ノズル336を介して反応室350内に供給するようになっている。
第2のガス供給ノズル336は、第2のガスライン390を介してガス供給ユニット380に接続されている。また、図12に示されるように第2のガスライン390は、例えばガス配管213a,213bと接続され、ガス配管213a,213bはそれぞれ、C(炭素)原子含有ガスとして、例えばC3H8ガスに対して流量制御手段としてのMFC382a及びバルブ384aを介してC3H8ガス供給源386aに接続され、還元ガスとして、例えばH2ガスに対して流量制御手段としてのMFC382b及びバルブ384bを介してH2ガス供給源386bに接続されている。
上記構成により、例えばC3H8ガス、H2ガスの供給流量、濃度、分圧を反応室350内に於いて制御することができる。バルブ384a,384b、MFC382a,382bはガス流量制御部388に電気的に接続されており、供給するガス流量が所定の流量となるよう、所定のタイミングにて制御されるようになっている(図10参照)。尚、C3H8ガス、H2ガスのガス供給源386a,386b、バルブ384a,384b、MFC382a,382b、第2のガスライン390、第2のガス供給ノズル336、第2のガス供給口334により、ガス供給系として第2のガス供給系が構成される。
又、第1のガス供給ノズル332及び第2のガス供給ノズル336に於いて、基板の配列領域に第1のガス供給口330及び第2のガス供給口334が1つ設けられていてもよく、ウエハ304の所定枚数毎に設けられていてもよい。
図9に示すように、第1のガス排気口338が、ボート320より下部に設けられ、マニホールド346には、第1のガス排気口338に接続されたガス排気管392が貫通するよう設けられている。ガス排気管392の下流側には、図示しない圧力検出器としての圧力センサ及び、圧力調整器としてのAPC(Auto Pressure Controller)バルブ394を介して真空ポンプ等の真空排気装置396が接続されている。圧力センサ及びAPCバルブ394には、圧力制御部398が電気的に接続されており、圧力制御部398は圧力センサにより検出された圧力に基づいてAPCバルブ394の開度を調整し、処理炉328内の圧力が所定の圧力となるよう所定のタイミングにて制御するように構成されている(図10参照)。
上記したように、第1のガス供給口330から少なくともSi(シリコン)原子含有ガスとCl(塩素)原子含有ガスとを供給し、第2のガス供給口334から少なくともC(炭素)原子含有ガスと還元ガスとを供給し、供給されたガスはSi又はSiCで構成されたウエハ304に対し平行に流れ、第1のガス排気口338より排気されるので、ウエハ304全体が効率的且つ均一にガスに晒される。
又、図11に示すように、第3のガス供給口340は反応管344と断熱材372との間に配置され、マニホールド346を貫通するように取付けられている。更に、第2のガス排気口342が、反応管344と断熱材372との間であり、第3のガス供給口340に対して対向するように配置され、第2のガス排気口342はガス排気管392に接続されている。第3のガス供給口340はマニホールド346を貫通する第3のガスライン400に形成され、バルブ384e、MFC382eを介してガス供給源386eと接続されている。ガス供給源386eからは不活性ガスとして、例えば希ガスのArガスが供給され、SiCエピタキシャル膜成長に寄与するガス、例えばSi(シリコン)原子含有ガス又はC(炭素)原子含有ガス又はCl(塩素)原子含有ガス又はそれらの混合ガスが、反応管344と断熱材372との間に進入するのを防ぎ、反応管344の内壁又は断熱材372の外壁に不要な生成物が付着するのを防止することができる。
又、反応管344と断熱材372との間に供給された不活性ガスは、第2のガス排気口342よりガス排気管392の下流側にあるAPCバルブ394を介して真空排気装置396から排気される。
次に、処理炉328及びその周辺の構成について説明する。
図13は、本発明の第2実施形態に係る処理炉328及び周辺構造の概略断面図である。処理炉328の下方には、処理炉328の下端開口を気密に閉塞する為の炉口蓋体としてシールキャップ402が設けられている。シールキャップ402は、例えばステンレス等の金属製であり、円盤状に形成されている。シールキャップ402の上面には、処理炉328の下端と当接するシール材としてのOリング(図示せず)が設けられている。シールキャップ402には回転機構404が設けられ、回転機構404の回転軸406はシールキャップ402を貫通してボート320に接続されており、ボート320を回転させることでウエハ304を回転させるように構成されている。
又、シールキャップ402は処理炉328の外側に設けられた昇降機構として、後述する昇降モータ408によって垂直方向に昇降されるように構成されており、これによりボート320を処理炉328に対して搬入搬出することが可能となっている。回転機構404及び昇降モータ408には、駆動制御部410が電気的に接続されており、所定の動作をするよう所定のタイミングにて制御するよう構成されている(図10参照)。
予備室としてのロードロック室412の外面に下基板414が設けられている。下基板414には、昇降台416と摺動自在に嵌合するガイドシャフト418及び昇降台416と螺合するボール螺子420が設けられている。又、下基板414に立設したガイドシャフト418及びボール螺子420の上端には上基板422が設けられている。ボール螺子420は、上基板422に設けられた昇降モータ408によって回転され、ボール螺子420が回転されることで昇降台416が昇降するようになっている。
昇降台416には中空の昇降シャフト424が垂設され、昇降台416と昇降シャフト424の連結部は気密となっており、昇降シャフト424は昇降台416と共に昇降するようになっている。昇降シャフト424はロードロック室412の天板426を遊貫し、昇降シャフト424が貫通する天板426の貫通孔は、昇降シャフト424が天板426と接触することがないよう充分な隙間が形成されている。
又、ロードロック室412と昇降台416との間には、昇降シャフト424の周囲を覆うように伸縮性を有する中空伸縮体としてベローズ428が設けられ、ベローズ428によりロードロック室412が気密に保たれるようになっている。尚、ベローズ428は昇降台416の昇降量に対応できる充分な伸縮量を有し、ベローズ428の内径は昇降シャフト424の外径に比べて充分に大きく、伸縮の際にベローズ428と昇降シャフト424が接触することがないように構成されている。
昇降シャフト424の下端には、昇降基板430が水平に固着され、昇降基板430の下面にはOリング等のシール部材を介して駆動部カバー432が気密に取付けられる。昇降基板430と駆動部カバー432とで駆動部収納ケース434が構成され、この構成により駆動部収納ケース434内部はロードロック室412内の雰囲気と隔離される。
又、駆動部収納ケース434の内部にはボート320の回転機構404が設けられ、回転機構404の周辺は冷却機構436によって冷却されるようになっている。
電力ケーブル438は、昇降シャフト424の上端から中空部を通り、回転機構404に導かれて接続されている。又、冷却機構436及びシールキャップ402には冷却水流路440が形成されている。更に、冷却水配管442が昇降シャフト424の上端から中空部を通り冷却水流路440に導かれて接続されている。
昇降モータ408が駆動され、ボール螺子420が回転することで、昇降台416及び昇降シャフト424を介して駆動部収納ケース434を昇降させる。
駆動部収納ケース434が上昇することにより、昇降基板430に気密に設けられているシールキャップ402が処理炉328の開口部である炉口444を閉塞し、ウエハ処理が可能な状態となる。又、駆動部収納ケース434が下降することにより、シールキャップ402と共にボート320が降下され、ウエハ304を外部に搬出できる状態となる。
次に、SiCエピタキシャル膜を成膜する半導体製造装置300を構成する各部の制御構成について説明する。
図10に於いて、温度制御部362、ガス流量制御部388、圧力制御部398、駆動制御部410は、操作部及び入出力部を構成し、半導体製造装置300全体を制御する主制御部446に電気的に接続されている。又、温度制御部362、ガス流量制御部388、圧力制御部398、駆動制御部410は、コントローラ448として構成されている。
次に、上述した第1のガス供給系及び第2のガス供給系を構成する理由について説明する。
SiCエピタキシャル膜を成膜する半導体製造装置では、少なくともSi(シリコン)原子含有ガスと、C(炭素)原子含有ガスとで構成される原料ガスを反応室350に供給し、SiCエピタキシャル膜を成膜する必要がある。また、本実施形態のように、複数枚のウエハ304が水平姿勢で多段に整列させて保持される場合に於いて、ウエハ間の均一性を向上させるため、成膜ガスを夫々のウエハ近傍のガス供給口から供給できるように、反応室350内にガス供給ノズルを設けている。従って、ガス供給ノズル内も反応室と同じ条件となっている。この時、Si原子含有ガスとC原子含有ガスを同じガス供給ノズルにて供給すると、原料ガス同士が反応することで原料ガスが消費され、反応室350の下流側で原料ガスが不足するだけでなく、ガス供給ノズル内で反応し堆積したSiC膜等の堆積物がガス供給ノズルを閉塞し、原料ガスの供給が不安定になると共に、パーティクルを発生させる等の問題を生じてしまう。
そこで、本実施形態では、第1のガス供給ノズル332を介してSi原子含有ガスを供給し、第2のガス供給ノズル336を介してC原子含有ガスを供給している。このように、Si原子含有ガスとC原子含有ガスを異なるガス供給ノズルから供給することにより、ガス供給ノズル内では、SiC膜が堆積しないようにすることができる。なお、Si原子含有ガス及びC原子含有ガスの濃度や流速を調整したい場合は、夫々適切なキャリアガスを供給すればよい。
更に、Si原子含有ガスを、より効率的に使用するため水素ガスのような還元ガスを用いる場合がある。この場合、還元ガスは、C原子含有ガスを供給する第2のガス供給ノズル336を介して供給することが望ましい。このように還元ガスをC原子含有ガスと共に供給し、反応室350内でSi原子含有ガスと混合することにより、還元ガスが少ない状態となるためSi原子含有ガスの分解を成膜時と比較して抑制することができ、第1のガス供給ノズル内におけるSi膜の堆積を抑制することが可能となる。この場合、還元ガスをC原子含有ガスのキャリアガスとして用いることが可能となる。なお、Si原子含有ガスのキャリアとしては、アルゴン(Ar)のような不活性ガス(特に希ガス)を用いることにより、Si膜の堆積を抑制することが可能となる。
更に、第1のガス供給ノズル332には、HClのような塩素原子含有ガスを供給することが望ましい。このようにすると、Si原子含有ガスが熱により分解し、第1のガス供給ノズル内に堆積可能な状態となったとしても、塩素によりエッチングモードとすることが可能となり、第1のガス供給ノズル内へのSi膜の堆積をより抑制することが可能になる。
尚、図9に示す例では、第1のガス供給ノズル332にSiH4ガス及びHClガスを供給し、第2のガス供給ノズル336にC3H8ガス及びH2ガスを供給する構成で説明したが、上述した通り、図9から図12に示す例は、最も良いと考えられる組合せであり、それに限られることはない。
又、図9から図12に示す例では、SiCエピタキシャル膜を形成する際に流すCl(塩素)原子含有ガスとしてHClガスを例示したが、塩素ガスを用いてもよい。
又、上述ではSiCエピタキシャル膜を形成する際に、Si(シリコン)原子含有ガスとCl(塩素)原子含有ガスとを供給したが、Si原子とCl原子を含むガス、例えばテトラクロロシラン(以下SiCl4とする)ガス、トリクロロシラン(以下SiHCl3)ガス、ジクロロシラン(以下SiH2Cl2)ガスを供給してもよい。また、言うまでもないが、これらのSi原子及びCl原子を含むガスは、Si原子含有ガスでも有り、又は、Si原子含有ガス及びCl原子含有ガスの混合ガスともいえる。特に、SiCl4は、熱分解される温度が比較的高いため、ノズル内のSi消費抑制の観点から望ましい。
又、上述ではC(炭素)原子含有ガスとしてC3H8ガスを例示したが、エチレン(以下C2H4とする)ガス、アセチレン(以下C2H2とする)ガスを用いてもよい。
また、還元ガスとしてH2ガスを例示したが、これに限らず他のH(水素)原子含有ガスを用いても良い。更には、キャリアガスとしては、Ar(アルゴン)ガス、He(ヘリウム)ガス、Ne(ネオン)ガス、Kr(クリプトン)ガス、Xe(キセノン)ガス等の希ガスのうち少なくとも1つを用いてもよいし、上記したガスを組合わせた混合ガスを用いてもよい。
上述では、第1のガス供給ノズル332を介してSi原子含有ガスを供給し、第2のガス供給ノズル336を介してC原子含有ガスを供給することでガス供給ノズル内のSiC膜の堆積を抑制するようにしている(以下、Si原子含有ガスとC原子含有ガスを分離して供給する方式を、「セパレート方式」と呼ぶ。)。しかしながら、この方法は、ガス供給ノズル内でのSiC膜の堆積を抑制できるものの、Si原子含有ガスとC原子含有ガスの混合がガス供給口330,334からウエハ304に到達するまでの間に充分に行う必要がある。
従って、ウエハ内の均一化の観点から見れば、Si原子含有ガスとC原子含有ガスを予め混合して、ガス供給ノズル332に供給するほうが望ましい(以下、Si原子含有ガスとC原子含有ガスを同一のガス供給ノズルから供給する方式を「プレミックス方式」と呼ぶ。)。しかしながら、Si原子含有ガス及びC原子含有ガスを同一のガス供給ノズルから供給するとガス供給ノズル内にSiC膜が堆積してしまう恐れがある。一方で、Si原子含有ガスは、エッチングガスである塩素と還元ガスである水素との比(Cl/H)を大きくすると塩素によるエッチング効果の方が大きくなり、Si原子含有ガスの反応を抑えることが可能である。従って、一方のガス供給ノズルにSi原子含有ガス、C原子含有ガス、及び、塩素含有ガスを供給し、還元反応に用いられる還元ガス(例えば、水素ガス)を他方のガス供給ノズルから供給することで、ガス供給ノズル内のCl/Hが大きくなり、SiC膜の堆積を抑制することが可能である。
次に、上述した半導体製造装置300を用い、半導体デバイスの製造工程の一工程として、SiC等で構成されるウエハ304等の基板上に、例えばSiC膜を形成する基板の製造方法について説明する。
尚、以下の説明に於いて半導体製造装置300を構成する各部の動作は、コントローラ448により制御される。
先ず、ポッドステージ308に複数枚のウエハ304を収納したポッド306がセットされると、ポッド搬送装置310によりポッド306をポッドステージ308からポッド収納棚312へ搬送し、ストックする。次に、ポッド搬送装置310により、ポッド収納棚312にストックされたポッド306をポッドオープナ314に搬送してセットし、ポッドオープナ314によりポッド306の蓋を開き、基板枚数検知器316によりポッド306に収納されているウエハ304の枚数を検知する。
次に、基板移載機318により、ポッドオープナ314の位置にあるポッド306からウエハ304を取出し、ボート320に移載する。
複数枚のウエハ304がボート320に装填されると、ウエハ304を保持したボート320は、昇降モータ408による昇降台416及び昇降シャフト424の昇降動作により反応室350内に搬入(ボートローディング)される。この状態では、シールキャップ402はOリング(図示せず)を介してマニホールド346の下端をシールした状態となる。
ボート320搬入後、反応室350内が所定の圧力(真空度)となるように、真空排気装置396によって真空排気される。この時、反応室350内の圧力は、圧力センサ(図示せず)によって測定され、測定された圧力に基づき第1のガス排気口338及び第2のガス排気口342に連通するAPCバルブ394がフィードバック制御される。又、ウエハ304及び反応室350内が所定の温度となるよう被加熱体326が加熱される。この時、反応室350内が所定の温度分布となるよう、後述する切り替え方式により選択された高温用放射温度計356又は低温用放射温度計358が検出した温度情報に基づき誘導コイル348への通電具合がフィードバック制御される。続いて、回転機構404により、ボート320が回転されることで、ウエハ304が周方向に回転される。
続いて、SiCエピタキシャル成長反応に寄与するSi(シリコン)原子含有ガス及びCl(塩素)原子含有ガスは、それぞれガス供給源386c,386dから供給され、第1のガス供給口330より反応室350内に噴出される。又、C(炭素)原子含有ガス及び還元ガスであるH2ガスが、所定の流量となるように対応するMFC382a,382bの開度が調整された後、バルブ384a,384bが開かれ、それぞれのガスが第2のガスライン390に流通し、第2のガス供給ノズル336に流通して第2のガス供給口334より反応室350内に導入される。
第1のガス供給口330及び第2のガス供給口334より供給されたガスは、反応室350内の被加熱体326の内側を通り、第1のガス排気口338からガス排気管392を通って排気される。第1のガス供給口330及び第2のガス供給口334より供給されたガスは、反応室350内を通過する際に、SiC等で構成されるウエハ304と接触し、ウエハ304表面上にSiCエピタキシャル膜成長がなされる。
又、ガス供給源386eより、不活性ガスとしての希ガスであるArガスが所定の流量となるように対応するMFC382eの開度が調整された後、バルブ384eが開かれ、第3のガスライン400に流通し、第3のガス供給口340から反応室350内に供給される。第3のガス供給口340から供給された不活性ガスとしての希ガスであるArガスは、反応室350内の断熱材372と反応管344との間を通過し、第2のガス排気口342から排気される。
次に、予め設定された時間が経過すると、上述したガスの供給が停止され、図示しない不活性ガス供給源より不活性ガスが供給され、反応室350内の被加熱体326の内側の空間が不活性ガスで置換されると共に、反応室350内の圧力が常圧に復帰される。
その後、昇降モータ408によりシールキャップ402が下降され、マニホールド346の下端が開口されると共に、処理済みのウエハ304がボート320に保持された状態でマニホールド346の下端から反応管344の外部に搬出(ボートアンローディング)され、ボート320に保持されたウエハ304が冷える迄、ボート320を所定位置にて待機させる。待機させたボート320のウエハ304が所定温度迄冷却されると、基板移載機318により、ボート320からウエハ304を取出し、ポッドオープナ314にセットされている空のポッド306に搬送して収納する。その後、ポッド搬送装置310によりウエハ304が収納されたポッド306をポッド収納棚312、又はポッドステージ308に搬送する。このようにして、半導体製造装置300の一連の作動が完了する。
次に、温度制御における放射温度計の切り替えについて説明する。
例えば、低温用放射温度計による測定値(検出温度)に基づく温度制御と高温用放射温度計による測定値(検出温度)に基づく温度制御との切り替え判断の基準として閾値Sを決定し、低温用放射温度計あるいは高温用放射温度計の測定値が閾値Sを下回る場合、低温用放射温度計による測定値に基づく温度制御を行ない、閾値Sを上回った場合、高温用放射温度計による測定値に基づく温度制御を行なうように切り替えをすることが考えられる。
ここで、例として低温用放射温度計の計測可能範囲を50℃〜550℃、高温用放射温度計の計測可能範囲を450℃〜950℃とする。この場合、低温用放射温度計による制御と高温用放射温度による制御とを切り替えるには、一つの閾値(ここでは、例えば低温用放射温度計と高温用放射温度計の計測可能範囲が重なっている500℃)を用いて、計測された温度が閾値以下の場合は低温用放射温度計による制御とし、閾値以上の場合は高温用放射温度計による制御とするよう切り替えることになる。
しかし、上記の方法により制御を切り替える場合、切り替え時の温度測定値及び切り替えがなされる温度付近の温度測定値が不安定になる。
以下、温度制御部362における放射温度計の切り替え方式について説明する。
温度制御部362は、低温用放射温度計358による温度制御から高温用放射温度計356による温度制御へと切り替える判断基準となる閾値Pと、高温用放射温度計356による温度制御から低温用放射温度計358による温度制御へ切り替える閾値Mとに基づいて、制御を切り替える。ここで、閾値Pは、閾値Mよりも大きい(すなわち、P>Mの関係にある)。
また、高温用放射温度計356の計測可能な温度範囲の上限値をMax_Highとし、高温用放射温度計356の計測可能な温度範囲の下限値をMin_Highとし、低温用放射温度計358の計測可能な温度範囲の上限値をMax_Lowとし、低温用放射温度計358の計測可能な温度範囲の下限値をMin_owとすると、閾値P及び閾値Mは、以下の式を満たす。
Max_High>Max_Low>P>M>Min_High>Min_Low
図14は、温度制御部362による温度制御の切り替え規則を示す表である。また、図15は、高温用放射温度計による制御と低温放射温度計による制御との切り替えを示すグラフである。図15において、横軸は、高温用放射温度計による温度制御を行なうか低温用放射温度計による温度制御を行なうかを示しており、縦軸は、放射温度計により測定される測定温度を示している。なお、太線で示された測定温度は、低温用放射温度計358により測定された測定温度を示し、破線で示された測定温度は、高温用放射温度計356により測定された測定温度を示す。
図14及び図15に示されるように、温度制御部362は、現在の温度制御に用いている放射温度計の測定温度と閾値との比較により制御の切り替えがなされる。温度制御部362は、低温用放射温度計358による制御から高温用放射温度計356に制御対象を切り替える場合、低温用放射温度計358の測定値が閾値P以上となると高温用放射温度計356による制御へと切り替える。ここで、温度制御部362は、低温用放射温度計358による制御から高温用放射温度計356に制御対象を切り替える場合、高温用放射温度計の測定値は切り替え判断において考慮しない。
逆に、温度制御部362は、高温用放射温度計356による温度制御から低温用放射温度計358による温度制御へと切り替える場合、高温用放射温度計356の測定値が閾値M未満となると低温用放射温度計358による制御へと切り替える。同様に、温度制御部362は、高温用放射温度計356による温度制御から低温用放射温度計358による温度制御へと切り替える場合、低温用放射温度計の測定値は切り替え判断において考慮しない。
上述の温度制御部362による制御の切り替えでは、複数種の放射温度計の切り替え時及び切り替え温度付近で危惧される温度測定値の不安定を改善でき、温度制御性を向上させることができる。
次に、第2実施形態の変形例について説明する。上述の第2実施形態では、現在の温度制御に用いている放射温度計の測定値と閾値との比較により制御の切り替えを行なったが、例えば、高温用放射温度計356による測定値と低温用放射温度計358による測定値とに差がある場合、又は高温用放射温度計356若しくは低温用放射温度計358のいずれかが故障等により正常な測定値を出力しない場合は、温度制御が不安定になる可能性がある。
そこで変形例では、低温用放射温度計358による温度制御から高温用放射温度計356による温度制御へと切り替える場合、低温用放射温度計358の測定値及び高温用放射温度計356の測定値それぞれについて閾値との比較を行なう。
変形例では、低温用放射温度計358の測定値が閾値P以上であっても、高温用放射温度計356の測定値が閾値M未満の場合は、高温用放射温度計356による制御へは切り替えない点で、上述の第2実施形態とは異なる。
変形例においても、温度制御部362は、低温用放射温度計358による温度制御から高温用放射温度計356による温度制御へと切り替える判断基準となる閾値Pと、高温用放射温度計356による温度制御から低温用放射温度計358による温度制御へ切り替える閾値Mとに基づいて、制御を切り替える。また、閾値P、閾値M、高温用放射温度計356の計測可能上限値Max_High、高温用放射温度計356の計測可能下限値Min_High、低温用放射温度計358の計測可能上限値Max_Low、低温用放射温度計358の計測可能下限値Min_Lowの関係についても同様に、上述の関係式で表される。
図16は、変形例における温度制御部362による温度制御の切り替え規則を示す表である。
図16に示されるように、変形例における温度制御部362では、高温用放射温度計356による制御から低温用放射温度計358に制御対象を切り替える場合、高温用放射温度計356の測定値が閾値M未満となると低温用放射温度計358による制御へと切り替える。
また、変形例における温度制御部362では、低温用放射温度計358による温度制御から高温用放射温度計356による温度制御へと切り替える場合、低温用放射温度計358の測定値が閾値P以上であり、かつ、高温用放射温度計356の測定値が閾値M以上である場合に限り、高温用放射温度計356による制御へと切り替える。すなわち、高温用放射温度計356の測定値が閾値M未満の場合、低温用放射温度計358の測定値が閾値P以上であっても高温用放射温度計356による制御へは切り替えないようにする。既に高温用放射温度計356の測定値が閾値M未満となっているために、次回の切り替え判断において再び低温用放射温度計358による温度制御へと切り替わり、連続して切り替えが行われるために温度測定値が不安定になってしまうからである。
また、低温用放射温度計358の測定値が閾値P以上であり、かつ、高温用放射温度計356の測定値が閾値M未満である場合として、高温用放射温度計356又は低温用放射温度計358のどちらかが誤った想定をしている可能性があり、安全性を考慮して、このような場合には温度測定値が高い低温用放射温度計358による温度制御を行なう。
変形例における制御の切り替えでは、複数種の放射温度計の切り替え時及び切り替え温度付近で危惧される温度測定値の不安定を改善できることに加え、第2実施形態として示した制御の切り替えよりも安全な温度制御を実現する。
以上の第2実施形態及びその変形例の説明において、温度制御部362における温度制御は、中部のゾーンに配置された放射温度計356及び358を用いて行なう例を示したが、上部又は下部のゾーンにおいて高温用放射温度計及び低温用放射温度計を設置して、同様に制御の切り替えを行なってもよい。
また、SiCエピタキシャル膜を成膜する半導体製造装置に限らず、縦型の基板処理装置全般に適用することができる。
202 処理炉
204 反応管
205 均熱管
206 ヒータ
238 温度制御部
263 温度センサ
264 外部温度センサ
354 温度制御部
356、358 放射温度計

Claims (4)

  1. 基板を収容する処理室を加熱する加熱手段と、
    熱電対により前記基板の近傍の温度を検出する第1の温度検出手段と、
    熱電対により前記加熱手段の近傍の温度を検出する第2の温度検出手段と、
    前記第1の温度検出手段により検出された温度、及び前記第2の温度検出手段により検出された温度に基づき前記加熱手段を制御する第1の制御手段と、
    前記第2の温度検出手段により検出された温度に基づき前記加熱手段を制御する第2の制御手段と、
    前記第1の温度検出手段又は前記第2の温度検出手段により検出された温度に従って、前記第1の制御手段による前記加熱手段の制御と前記第2の制御手段による前記加熱手段の制御とを切り替える制御切り替え手段と、
    を有し、
    第1の温度検出手段で用いる熱電対は第2の温度検出手段で用いる熱電対に比べて耐熱性に優れた特性を有し、第2の温度検出手段に用いる熱電対は第1の温度検出手段に用いる熱電対と比べて温度検出性能が優れた特性を有する
    基板処理装置。
  2. 基板を収容する処理室を加熱手段により加熱し、
    熱電対により前記基板の近傍の温度を第1の温度検出手段により検出し、
    熱電対により前記加熱手段の近傍の温度を第2の温度検出手段により検出し、
    前記第1の温度検出手段により検出された温度、及び前記第2の温度検出手段により検出された温度に基づき前記加熱手段を制御する第1の制御と、前記第2の温度検出手段により検出された温度に基づき前記加熱手段を制御する第2の制御とを、前記第1の温度検出手段又は前記第2の温度検出手段により検出された温度に従って切り替え、
    第1の温度検出手段で用いる熱電対は第2の温度検出手段で用いる熱電対に比べて耐熱性に優れた特性を有し、第2の温度検出手段に用いる熱電対は第1の温度検出手段に用いる熱電対と比べて温度検出性能が優れた特性を有する
    基板処理装置の温度制御方法。
  3. 基板を収容する処理室を加熱する加熱手段と、
    第1の放射温度計を用いて前記加熱手段によって加熱された温度を検出する第1の温度検出手段と、
    第1の放射温度計によって測定される温度の範囲の上限よりも高い温度を測定される温度の範囲の上限とし、かつ、第1の放射温度計によって測定される温度の範囲の下限よりも高い温度を測定される温度の範囲の下限とする第2の放射温度計を用いて、前記加熱手段によって加熱された温度を検出する第2の温度検出手段と、
    前記第1の温度検出手段により検出された温度に基づき前記加熱手段を制御する第1の制御手段と、
    前記第2の温度検出手段により検出された温度に基づき前記加熱手段を制御する第2の制御手段と、
    前記第1の温度検出手段又は前記第2の温度検出手段により検出された温度と予め定められた閾値とに基づいて、前記第1の制御手段による前記加熱手段の制御と前記第2の制御手段による前記加熱手段の制御とを切り替える制御切り替え手段と
    を有する基板処理装置。
  4. 基板を収容する処理室を加熱手段により加熱し、
    第1の放射温度計を用いて前記加熱手段によって加熱された温度を第1の温度検出手段により検出し、
    第1の放射温度計によって測定される温度の範囲の上限よりも高い温度を測定される温度の範囲の上限とし、かつ、第1の放射温度計によって測定される温度の範囲の下限よりも高い温度を測定される温度の範囲の下限とする第2の放射温度計を用いて、前記加熱手段によって加熱された温度を第2の温度検出手段により検出し、
    前記第1の温度検出手段により検出された温度に基づき前記加熱手段を制御する第1の制御手段と、前記第2の温度検出手段により検出された温度に基づき前記加熱手段を制御する第2の制御手段とを、前記第1の温度検出手段又は前記第2の温度検出手段により検出された温度と予め定められた閾値とに基づいて切り替える
    基板処理装置における基板の加熱方法。
JP2011106088A 2010-10-18 2011-05-11 基板処理装置、基板処理装置の温度制御方法、及び基板処理装置の加熱方法 Active JP5734081B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2011106088A JP5734081B2 (ja) 2010-10-18 2011-05-11 基板処理装置、基板処理装置の温度制御方法、及び基板処理装置の加熱方法
KR1020110070196A KR101267288B1 (ko) 2010-10-18 2011-07-15 기판 처리 장치, 기판 처리 장치의 온도 제어 방법 및 기판 처리 장치의 가열 방법
US13/192,784 US9418881B2 (en) 2010-10-18 2011-07-28 Substrate processing apparatus capable of switching control mode of heater
TW100137618A TWI437655B (zh) 2010-10-18 2011-10-18 基板處理裝置、基板處理裝置的溫度控制方法及基板處理裝置的加熱方法
CN201110319007.6A CN102456596B (zh) 2010-10-18 2011-10-18 衬底处理装置、衬底处理装置的温度控制方法及衬底处理装置的加热方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010233575 2010-10-18
JP2010233575 2010-10-18
JP2011106088A JP5734081B2 (ja) 2010-10-18 2011-05-11 基板処理装置、基板処理装置の温度制御方法、及び基板処理装置の加熱方法

Publications (3)

Publication Number Publication Date
JP2012109520A true JP2012109520A (ja) 2012-06-07
JP2012109520A5 JP2012109520A5 (ja) 2014-06-19
JP5734081B2 JP5734081B2 (ja) 2015-06-10

Family

ID=45934381

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011106088A Active JP5734081B2 (ja) 2010-10-18 2011-05-11 基板処理装置、基板処理装置の温度制御方法、及び基板処理装置の加熱方法

Country Status (5)

Country Link
US (1) US9418881B2 (ja)
JP (1) JP5734081B2 (ja)
KR (1) KR101267288B1 (ja)
CN (1) CN102456596B (ja)
TW (1) TWI437655B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012172871A (ja) * 2011-02-18 2012-09-10 Tokyo Electron Ltd 熱処理装置および熱処理装置の温度測定方法
WO2014038453A1 (ja) * 2012-09-05 2014-03-13 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
CN103712470A (zh) * 2012-10-08 2014-04-09 丹阳市江南工业炉有限公司 热处理炉的热电偶装置
JP2016033946A (ja) * 2014-07-31 2016-03-10 東京エレクトロン株式会社 熱処理装置、熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体
JP2018206925A (ja) * 2017-06-02 2018-12-27 昭和電工株式会社 アニール装置及び半導体ウェハの製造方法

Families Citing this family (180)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US9068263B2 (en) * 2009-02-27 2015-06-30 Sandvik Thermal Process, Inc. Apparatus for manufacture of solar cells
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103545232B (zh) * 2012-07-09 2017-10-17 北京七星华创电子股份有限公司 用于半导体热处理设备的温控系统及方法、应用该系统的设备
KR101387518B1 (ko) * 2012-08-28 2014-05-07 주식회사 유진테크 기판처리장치
CN103871927A (zh) * 2012-12-10 2014-06-18 上海华虹宏力半导体制造有限公司 垂直扩散氧化炉石英工艺管的结构
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103243393A (zh) * 2013-05-06 2013-08-14 上海煦康电子科技有限公司 一种气体预热装置、扩散炉及进气预热的方法
CN103677009B (zh) * 2013-12-16 2016-06-01 北京七星华创电子股份有限公司 半导体热处理设备的温控方法
CN104750140B (zh) * 2013-12-31 2017-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔加热控制方法及装置
TWI569346B (zh) * 2014-01-16 2017-02-01 尤金科技有限公司 基板處理裝置及加熱器之溫度調整方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI611043B (zh) 2015-08-04 2018-01-11 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及記錄媒體
TWI642137B (zh) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
JP6629012B2 (ja) * 2015-08-31 2020-01-15 豊田鉄工株式会社 加熱炉用のワーク搬送装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6868471B2 (ja) * 2017-05-31 2021-05-12 ファスフォードテクノロジ株式会社 半導体製造装置および半導体装置の製造方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
WO2019140200A1 (en) * 2018-01-15 2019-07-18 Applied Materials, Inc. Advanced temperature monitoring system and methods for semiconductor manufacture productivity
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
CN111834247B (zh) * 2019-04-23 2023-09-08 北京北方华创微电子装备有限公司 冷却装置和半导体处理设备
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) * 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) * 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11545375B2 (en) 2019-06-17 2023-01-03 Applied Materials, Inc. Hybrid control system for workpiece heating
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
JP7418287B2 (ja) * 2020-05-29 2024-01-19 東京エレクトロン株式会社 基板処理装置及び基板処理方法
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US20220113198A1 (en) * 2020-10-14 2022-04-14 Applied Materials, Inc. Advanced temperature monitoring system with expandable modular layout design
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04171920A (ja) * 1990-11-06 1992-06-19 Tokyo Electron Sagami Ltd 熱処理装置
JPH07139885A (ja) * 1993-11-16 1995-06-02 Ngk Insulators Ltd 炉の温度測定方法
JPH097965A (ja) * 1995-06-22 1997-01-10 Kokusai Electric Co Ltd 半導体製造装置の温度制御装置
JP2004119804A (ja) * 2002-09-27 2004-04-15 Hitachi Kokusai Electric Inc 半導体製造装置
US20060086713A1 (en) * 2004-10-26 2006-04-27 Applied Materials, Inc. Method and apparatus for low temperature pyrometry useful for thermally processing silicon wafers
JP2006284155A (ja) * 2005-04-05 2006-10-19 Matsushita Electric Ind Co Ltd マイクロ波焼成炉
JP2007049078A (ja) * 2005-08-12 2007-02-22 Sumitomo Electric Ind Ltd 半導体装置の製造方法および製造装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4913790A (en) * 1988-03-25 1990-04-03 Tokyo Electron Limited Treating method
JP3380668B2 (ja) * 1996-01-23 2003-02-24 東京エレクトロン株式会社 温度調整方法、温度調整装置及び熱処理装置
US5895596A (en) * 1997-01-27 1999-04-20 Semitool Thermal Model based temperature controller for semiconductor thermal processors
US5831249A (en) * 1997-01-29 1998-11-03 Advanced Micro Devices, Inc. Secondary measurement of rapid thermal annealer temperature
US6063234A (en) * 1997-09-10 2000-05-16 Lam Research Corporation Temperature sensing system for use in a radio frequency environment
JP3246891B2 (ja) * 1998-02-03 2002-01-15 東京エレクトロン株式会社 熱処理装置
TW446995B (en) * 1998-05-11 2001-07-21 Semitool Inc Temperature control system for a thermal reactor
JP4551515B2 (ja) * 1998-10-07 2010-09-29 株式会社日立国際電気 半導体製造装置およびその温度制御方法
JP2000286207A (ja) * 1999-03-30 2000-10-13 Tokyo Electron Ltd 熱処理装置及び熱処理方法
JP4493192B2 (ja) * 2000-09-13 2010-06-30 東京エレクトロン株式会社 バッチ式熱処理装置及びその制御方法
JP3834216B2 (ja) * 2000-09-29 2006-10-18 株式会社日立国際電気 温度制御方法
KR100864117B1 (ko) * 2001-03-05 2008-10-16 도쿄엘렉트론가부시키가이샤 열처리방법 및 열처리장치
JP4509433B2 (ja) * 2001-07-12 2010-07-21 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
WO2003012567A1 (en) * 2001-07-30 2003-02-13 Tokyo Electron Limited Plasma chamber wall segment temperature control
JP2003318121A (ja) * 2002-04-26 2003-11-07 Trecenti Technologies Inc 半導体装置の製造方法
KR100479988B1 (ko) * 2002-07-24 2005-03-30 미래산업 주식회사 반도체 소자 테스트 핸들러의 발열 보상방법
US7346273B2 (en) * 2003-07-28 2008-03-18 Hitachi Kokusai Electric Inc Substrate processing equipment
US7625603B2 (en) * 2003-11-14 2009-12-01 Robert Bosch Gmbh Crack and residue free conformal deposited silicon oxide with predictable and uniform etching characteristics
KR100874500B1 (ko) * 2004-12-27 2008-12-18 가부시키가이샤 히다치 고쿠사이 덴키 온도조정방법, 열처리장치, 반도체장치의 제조방법
JP4783029B2 (ja) 2005-01-31 2011-09-28 株式会社日立国際電気 熱処理装置及び基板の製造方法
US8367975B2 (en) * 2006-03-09 2013-02-05 Hitachi Kokusai Electric Inc. Temperature adjustment method
US7727780B2 (en) * 2007-01-26 2010-06-01 Hitachi Kokusai Electric Inc. Substrate processing method and semiconductor manufacturing apparatus
US20090095422A1 (en) * 2007-09-06 2009-04-16 Hitachi Kokusai Electric Inc. Semiconductor manufacturing apparatus and substrate processing method
JP5101243B2 (ja) * 2007-10-29 2012-12-19 東京エレクトロン株式会社 基板処理装置,基板処理装置の制御方法,およびプログラム
JP5274213B2 (ja) * 2008-11-14 2013-08-28 株式会社日立国際電気 基板処理装置および半導体装置の製造方法、温度制御方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04171920A (ja) * 1990-11-06 1992-06-19 Tokyo Electron Sagami Ltd 熱処理装置
JPH07139885A (ja) * 1993-11-16 1995-06-02 Ngk Insulators Ltd 炉の温度測定方法
JPH097965A (ja) * 1995-06-22 1997-01-10 Kokusai Electric Co Ltd 半導体製造装置の温度制御装置
JP2004119804A (ja) * 2002-09-27 2004-04-15 Hitachi Kokusai Electric Inc 半導体製造装置
US20060086713A1 (en) * 2004-10-26 2006-04-27 Applied Materials, Inc. Method and apparatus for low temperature pyrometry useful for thermally processing silicon wafers
JP2008518472A (ja) * 2004-10-26 2008-05-29 アプライド マテリアルズ インコーポレイテッド シリコンウエハを熱処理するのに有用な低温型パイロメトリーのための方法及び装置
JP2006284155A (ja) * 2005-04-05 2006-10-19 Matsushita Electric Ind Co Ltd マイクロ波焼成炉
JP2007049078A (ja) * 2005-08-12 2007-02-22 Sumitomo Electric Ind Ltd 半導体装置の製造方法および製造装置

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012172871A (ja) * 2011-02-18 2012-09-10 Tokyo Electron Ltd 熱処理装置および熱処理装置の温度測定方法
WO2014038453A1 (ja) * 2012-09-05 2014-03-13 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
CN103712470A (zh) * 2012-10-08 2014-04-09 丹阳市江南工业炉有限公司 热处理炉的热电偶装置
JP2016033946A (ja) * 2014-07-31 2016-03-10 東京エレクトロン株式会社 熱処理装置、熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体
JP2018206925A (ja) * 2017-06-02 2018-12-27 昭和電工株式会社 アニール装置及び半導体ウェハの製造方法

Also Published As

Publication number Publication date
KR101267288B1 (ko) 2013-05-27
KR20120040090A (ko) 2012-04-26
TW201230229A (en) 2012-07-16
US20120094010A1 (en) 2012-04-19
US9418881B2 (en) 2016-08-16
CN102456596A (zh) 2012-05-16
JP5734081B2 (ja) 2015-06-10
CN102456596B (zh) 2016-01-20
TWI437655B (zh) 2014-05-11

Similar Documents

Publication Publication Date Title
JP5734081B2 (ja) 基板処理装置、基板処理装置の温度制御方法、及び基板処理装置の加熱方法
JP5730496B2 (ja) 熱処理装置、半導体デバイスの製造方法および基板処理方法
US9028614B2 (en) Substrate processing apparatus
JP5564311B2 (ja) 半導体装置の製造方法、基板処理装置及び基板の製造方法
US20120220107A1 (en) Substrate processing apparatus, wafer holder, and method of manufacturing semiconductor device
JP2010153467A (ja) 基板処理装置および半導体装置の製造方法
JP5677563B2 (ja) 基板処理装置、基板の製造方法及び半導体装置の製造方法
US20100151682A1 (en) Method of manufacturing semiconductor device and substrate processing apparatus
US20220157628A1 (en) Substrate processing apparatus, substrate suppport and method of manufacturing semiconductor device
JP5632190B2 (ja) 半導体装置の製造方法、基板の製造方法及び基板処理装置
JP2012193985A (ja) 基板処理装置、及び、基板の製造方法
JP2013197507A (ja) 基板処理装置および基板処理方法ならびに半導体装置の製造方法
JP5783859B2 (ja) 基板処理装置及び基板処理装置の温度制御方法
JP2012178443A (ja) 基板処理装置
JP2012195355A (ja) 基板処理装置及び基板の製造方法
WO2014046242A1 (ja) 温度測定器および基板処理装置ならびに温度制御方法および半導体装置の製造方法
JP2011204945A (ja) 基板処理装置および半導体装置の製造方法
JP7399260B2 (ja) 基板処理装置、基板処理方法、半導体装置の製造方法、プログラム、およびインナーチューブ
JP2012134332A (ja) 基板処理方法および基板処理装置
JP2014179550A (ja) 基板処理装置
JP2013197249A (ja) 基板処理装置、半導体装置の製造方法及び流量制御方法
US20240105463A1 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2011199214A (ja) 熱処理装置、半導体装置の製造方法、及び、基板の製造方法
JP2012069724A (ja) 基板処理装置および基板の処理方法
JP2012178490A (ja) 基板処理装置およびガスノズルならびに基板若しくは半導体デバイスの製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140425

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140425

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20141224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150302

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150407

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150414

R150 Certificate of patent or registration of utility model

Ref document number: 5734081

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250