TW557473B - Semiconductor processing equipment having improved particle performance - Google Patents

Semiconductor processing equipment having improved particle performance Download PDF

Info

Publication number
TW557473B
TW557473B TW090115469A TW90115469A TW557473B TW 557473 B TW557473 B TW 557473B TW 090115469 A TW090115469 A TW 090115469A TW 90115469 A TW90115469 A TW 90115469A TW 557473 B TW557473 B TW 557473B
Authority
TW
Taiwan
Prior art keywords
plasma
processing
processing chamber
gas
ceramic element
Prior art date
Application number
TW090115469A
Other languages
English (en)
Inventor
William Frederick Bosch
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW557473B publication Critical patent/TW557473B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/0054Plasma-treatment, e.g. with gas-discharge plasma
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Structural Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Description

557473 A7 B7 五、發明説明(1 ) 發明範圍 本發明有關半導體處理設備,更特別是具有經塑型的陶瓷 元件之真空室中,半導體基板處理中改良的粒子性能。 發明背景 粒子性能在半導體基板處理中是很重要的,例如,矽晶圓 由於附著在該種基板上的粒子所造成的良率降低,這種粒子 的一種來源是基板以及包括化學處理等用於減少粒子數量的 技術,舉例來說,美國專利第5,051,134提及一種濕式化學 處理,藉由以含氫氟酸的水溶液處理半導體表面,減少粒子 的數量,專利第’134號敘述酸性溶液包含商業上可得的氫氟 酸,與可達超高純度以及半導體工業上需求無粒子的水。 美國專利第5,665,168號敘述一種方法,用於清潔矽晶 圓,以便抑制並減少粒子附著於晶圓的表面,其中晶圓是用 含表面活性劑的氫氟酸酸性溶液清潔,緊接著以含臭氧的水 沖洗,美國專利第5,837,662提及晶圓研磨後,從晶圓表面 上清潔污染物的過程,該過程包含,以晶圓接觸氧化劑,將 有機污染物氧化,並將晶圓浸入含檸檬酸的酸浴中,其中的 音波能量被導引以去除存在於晶圓表面上的金屬污染物,專 利第f662號說明檸檬酸是一種複雜的媒介’,可用以吸附金屬 離子,而圍繞的顆粒與存在於氧化矽層中的微量金屬雜質 (其為部分自然氧化而部分形成於氧化劑浴中),可與氧化層 一起,藉由將晶圓接觸氫氟酸而被去除。 美國專利第5,712,198號敘述一種處理矽晶圓的技術,以 減少表面上金屬如絡,#5,鈥,姑,鐘,鋅以及飢的濃度, O:\72\72077-920115DOO 4 " 4 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 557473 A7 --------- -_______ 五、發明説明(2 ) 該過程包含以清潔劑溶液事先清潔,以酸性含氫氟酸的溶液 去除金屬,清潔並氧化成長,以藉由將晶圓接觸高純度臭氧 化,且含鐵,鉻,鈦,與其他金屬濃度不超過每億分之0.01 的水’製造出厚度0.6至1.5奈米的氧化矽層,其他與清潔半 導體基板有關的專利包括美國專利第5,454,901; 5,744,401 ;以及6,054,373號。 美國專利第5,766,684號敘述一種用於清潔並惰化不銹鋼 表面的技術,如可用於半導體製程設備的氣流設備,該製程 包含表面接觸含酸的酸性溶液,使其在複雜化由表面釋放出 的自由鐵離子,以形成氧化物薄膜,並析出複雜化的離子進 入氧化物薄膜之後,可接著驅離並去除殘留物。 美國專利第4,761,134號敘述用於半導體擴散爐的碳化矽 元件(例如,畫線器,處理管,槳狀物,船身等等),該爐用 於處理矽晶圓,其中以高純度的矽金屬滲入高純度的碳化矽 元件,並以高純度密度,防漏耐火的塗料如,碳化矽,氮化 矽或二氧化矽塗覆之,依據專利第,134號,碳化矽純度至少 必須99%(最好99.9%),才不會成為敏感的晶圓製程步驟當 中,爐子環境的污染源,且耐火的塗料可保護滲入矽的燒結 碳化矽基板,不致暴露於壚子的環境,且在酸液清潔時不致 被酸侵蝕,其他有關於碳化矽半導體製程元件的專利包括美 國專利第4,4〇1,689號(磁化管),4,518,349號(爐子支撐 桿),4,999,228號(滲透管),5,074,456號(上電極), 5,252,892號(電漿陰極室),5,460,684號(抗ESC層), 5,463,524號(感應針)5,494,524號(熱處理裝置), O:\72\72077-920U5DOO 4 - 5 · 本紙張尺度適用中@ S家標準(CNS) A4規格(210 X 297公釐) " --- 557473 A7 B7 五、發明説明(3 ) 5,578,129號(裝載可鎖系統的過濾板),5,538,230號(晶圓 座),5,595,627號(上電極),5,888,907號(電極板), 5,892,236號(離子佈植裝置)’以及5,937,3 16號(熱處理裝 置例如磁化管,晶圓座,熱均勻板,熱均勻環,緩衝晶 圓),同時看到,曰本專利出版第54-10825號(半導體滲透烤 箱材料),60-200519號(磁化),61-284301號(上電極), 63-35452號(滲透爐管,畫線器管,埠元件,槳狀物),63-186874號(微波加熱樣本板),63-138737號(電漿蝕刻反應 器之上電極),3-201322號(真空環境中部分的塗料),以及 8-17745號(晶圓加熱器);當然,還有日本專利出版物第54-1082 5與63-3 5452號有敘述板狀碳化矽鑄件製程的部分。 美國專利第4,5 9 8,665敘述一種在半導體晶圓的熱處理 中,藉由提供一内表面粗糙度150 μιη或更小的碳化矽處理 管(晶圓在其中處理),減少灰塵的技術,專利第,665號說明 當該表面粗糙度大於150 μιη時,一多孔以〇2薄膜形成於處 理管的表面上,導致灰塵的產生。 美國專利第5,904,778號敘述以SiC CVD塗覆在自由獨立 的SiC上,用於處理室壁,處理室頂,或晶圓周圍的環,美 國專利第5,292,399敘述環繞晶圓座周圍的sic環,美國專利 第5,182,059號敘述一種用於準備燒結Sic的技術。 考慮到電漿反應器裝置,如蓮蓬頭狀氣體分佈系統,已經 有許多種構想有關於製造蓮蓬頭的材料,舉例來說,美國專 利第5,5 6 9,3 5 6號敘述一由石夕,石墨,或碳化碎所製成的蓮 蓬頭,美國專利第5,888,907號敘述由非晶碳,siC或A1所 O:\72\72077-920115DOO 4 - β -
製成的蓮蓬頭電極,美國專利第5,006,220與5,022,979號敘 述整個由Sic製成,或由以CVD沈積的Sic所塗覆的碳基座 所製成的蓮蓬頭電極,以提供高純度Sic的表面層。 以半導體製程中增加良率需求的觀點來看,技藝中需要改 良減少由塑型暴露於氣體與/或環境中的陶瓷元件所引起的 顆粒,半導體基板則在該環境中被處理。 發明概述 本發明提供一種方法,在基板製程當中,處理半導體基 板,並減少粒子污染的方法,該方法包含步驟有:將基板放 置於真2處理立内邵的基板座上,該真空處理室至少包含一 非氧化物陶瓷:元件,其表面暴露於内部空間,該表面經塑型 與處理,並藉由高密度電漿處理,減少其上的粒子,藉由供 給處理氣體至處理室,處理該基板;並自處理室中移除該基 板。 根據較佳具體實施例,處理室包含本質上平面的天線,可 藉由供給RF電源至天線,賦予製程用氣體能量至電漿狀 怨,而製程用氣體包含至少一種氟碳化合氣體,該方法進一 步還包含以激發氟碳化合氣體至電漿狀態,並將曝露表面接 觸電漿等步驟,來處理曝露表面,在製程中,許多基板可藉 由供給RF偏壓至基板的同時,以高密度電漿蝕刻一氧化物 層,加以處理。 在一示範性的較佳具體實施例中,陶瓷元件包含/氣體分 佈板,供應製程用氣體至處理室,而處理室則包冬/大致平 坦的線圈,可藉由供給RF電源至天線,激發製程用氣體至 O:\72\72077-920115 D〇〇 4 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 557473 A7 B7 五、發明説明(5 ) 電漿狀態,該方法進一步包括,藉由調整處理室中的壓力至 200到500 mTorr,供給2000至2500 W的射頻電源至線 圈,與/或改變線圈的終端電容,以移動高密度電漿區域越 過氣體分佈板等步騾,處理曝露表面。 該方法可進一步包含,加工由碳,矽,碳化矽,氮化矽, 氮化硼,碳化硼,氮化鋁,或碳化鈦等所製成的部分,以製 造陶瓷元件的步騾,若是碳化矽部分的情況,該部分可由 CVD SiC,燒結的SiC,塗覆CVD SiC的燒結SiC,轉變的 石墨,或回填Si的多孔SiC所製成。 本發明也提供一種方法,以電漿處理經塑型的半導體處理 室之陶瓷元件的表面,該方法包含處理經塑型的表面,藉 由將經塑型的表面接觸高密度電漿,以減少其上的粒子,例 如,該方法可包含,載入陶瓷元件於電漿反應爐中,藉由在 加電源於陶瓷元件以增加其上離子轟擊的同時,以高密度電 漿處理經塑型的表面,執行電漿處理,藉由激發齒素氣體至 電漿狀態,所產生的高密度電漿,處理經塑型的表面,藉由 激發惰性氣體至電漿狀態,所產生的高密度電漿,處理經塑 型的表面,藉由激發氧氣氣體至電漿狀態,所產生的高密度 電漿,處理經塑型的表面,藉由激發含氟氣體至電漿狀態, 所產生的高密度電漿,處理經塑型的表面,以及/或乾燥反 應爐的同時,以高密度電漿處理經塑型的表面。 附圖的簡短敘述 圖la-d顯示經C02清潔過程,加工過的CVD SiC樣本的 SEM影像; O:\72\72077-920115.DOO 4 - 8 · 本紙伕尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 557473 A7 B7 五 發明説明(6 ) 圖2a-d顯示經低壓SiC球狀物喷吹過程,接著C〇2清潔, 加工過的CVD SiC樣本的SEM影像; 圖3顯示單晶SiC在<111〉方向的氧化動力學; 圖4a-d顯示樣本11C在1200°C下12小時的SEM影像; 圖5a-d顯示樣本11C在1450°C下12小時的SEM影像; 圖6顯示一單晶圓真空處理室,依據本發明,具有感應_ 合電漿源,與一SiC氣體分佈板;以及 圖7顯示一單晶圓真空處理室,依據本發明,具有蓮蓬頭 狀的電極與SiC檔板。 較佳具體實施例之詳細說明 在半導體製程領域中,在其中處理半導體基板的真空處理 室的各種經塑型的部分,可以是粒子的來源,這會負面地影 響製造於基板上元件的良率,依據本發明,這樣經塑型的部 分被處理以盡量減少在室中處理半導體基板產生的粒子& 染0 陶瓷材料如碳化碎,氮化碎之類的,已經用於真空室中& 備的部分上,這些部分可藉由燒結陶瓷粉末,或& 接著加工其上一或多個表面而製成,經塑型的表面可能σ “ 導體基板製程當中粒子污染的來源,依據本發明,為了處現 這種部分,以盡量降低半導體製程中的粒子污染,這此4八 的暴露表面被處理,以吸收或去除來自暴露表面的粒予刀 據較佳具體實施例,表面層被氧化,而氧化物層可選擇以依 少吸附粒子的方法去除。 以成 在半導體製程領域中,真空處理室一般用於,藉由供給 O:\72\72077-920115 DOO 4 -9- 557473 A7 __B7 ___ 五、發明説明(7 ) 空室製程用氣體,對基板上物質的快速熱處理,濺鍍,離子 植入或離子磨耗,蝕刻,以及化學氣相沈積(CVD),這種處 理室可能,或者不會,供給氣體RF電源,以激發其至電漿 狀態,在美國專利第4,340,462號、4,948,458號、 5,200,232號及5,820,723號中有敘述,平行板,變壓耦合電 漿(TCPTM),也稱為感應耦合電漿(ICP),以及電子迴旋共 振加速器(ECR)反應爐及其構件等例子,由於盡量減少粒子 與/或重金屬污染的需求,我們非常希望這釋設備的元件展 現在最少粒子產生下,高度的抗腐蝕性。 在半導體基板的處理中,基板通常藉由如機械夾頭與靜電 夾頭(ESC)等部分,放置於真空室中的基板支撐座,這樣的 夾制系統與元件的例子可在美國專利第5,262,029號與 5,838,529號中見到,製程用氣體可藉由如氣體噴嘴,氣體 環,氣體分佈板等部分供給至處理室,一溫控氣體分佈板, 用於感應耦合電漿反應爐與其上元件的例子,可在美國專利 第5,863,376號中找到。 依據本發明,由氧化物或非氧化物材料如氧化鋁,二氧化 矽’石英,旋,麥,碳化矽,氮化碎,氮化硼,碳化棚,氮 化鋁以及碳化鈦,所製成的真空室部分,可被處理以盡量減 少粒子產生,在一較佳具體實施例中,部分經塑型(燒結與/ 或機械加工)的表面,可經電漿處理,以改善部分粒子的性 能’電衆加工處理可選擇與氧化處理結合,以形成氧化物層 於部分上,並結合選擇性的清潔處理,以去除氧化物層,氧 化處理可包含在爐中的熱處理,而清潔處理可包含以水性酸 O:\72\72077-920115DOC\ 4 - 10 · ^纸張又度適种g S家標準(CNS) A4規格(21GX297公爱) " 557473 A7 B7 五、發明説明(8 ) 溶液蝕刻處理。 依據本發明之一較佳具體實施例,在選擇性的氧化處理與 /或化學蝕刻以去除形成於氧化步驟中的氧化物層後,電漿 反應器的氧化物或非氧化物陶瓷元件,會經歷電漿的處理, 結果,由加工所產生的附著粒子,可在進入電漿反應器之前 或之後被去除,目前已發現這樣的處理之後,達到處理半導 體晶圓的生產條件,可能比部分在進入反應爐前,僅經化學 清潔者要快上許多,在氧化處理也實行的情況中,由於粒子 可被氧化物層吸入,該部分可在不去除氧化物層的情況下使 用。 依據本發明之第一具體實施例,氧化物與非氧化物陶瓷元 件,已經藉機械加工將其塑型成想要的尺寸,以提供表面的 特徵如一溝槽於其上,以接收0形環,螺栓孔,氣體分佈孔 或通道,突起,突緣之類的,機械加工可藉任何適當的技術 如輪磨,研磨,刀磨,超音波加工,水刀,或研磨刀加工, 雷射加工,放電加工,離子束加工,電子束加工,化學加 工,電化學加工之類的完成,這種研磨與非研磨的加工方 法,會在陶瓷元件上,加工表面上產生附著粒子,而附著粒 子則變成半導體基板製成中粒子污染的來源,同樣地,燒結 陶瓷元件經塑型的表面,可以是粒子污染的來源。 一種可用於依據本發明之部分的非氧化物陶瓷材料為碳化 矽(Sic),Sic部分可由任何技術製造,例如,該部分可藉由 碳化矽的化學氣相沈積在適當的表面如高純度石墨上製成, 接著機械加工至最後的尺寸,如果將已加工的部分載入處理 O:\72\72077-920115DOC\ 4 " 1 1 ~ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 557473
晶圓的電漿反應爐如電漿蝕刻室中,最初處理的晶圓會被上 千的粒子所污染(例如,將近20,000個粒子稱做”adders”)。 依據本發明之一具體實施例,由碳化矽所製成的,非氧化 物的陶資;元件,可藉由將該部分置於空氣環境下做熱處理, 提供該部分表面氧化層,而改善粒子的影響,氧化處理對封 裝粒子進入氧化層與/或轉換粒子成氧化層,形成氧化層的 邪伤疋有效的,接著,氧化層可選擇性地用化學蝕刻處理 加以去除’例如,加熱該部分於爐溫1200至1700 °C,1至 1〇〇小時,最好是1400至1500。〇 , 8至16小時,以形成氧化 層,隨後在酸浴中被去除,在這種處理後,該部分可被載入 私漿反應爐如電漿蝕刻器中,而晶圓在例行的處理室變換後 可被處理,然而,會經歷密集的離子轟擊的部分,如氣體分 佈或擋板,會由活性的電漿條件作用步驟中獲得好處,例 如加私源於該部分可增加經加工表面的離子轟擊,自素氣 體如含氟氣體與/或氧氣與/或惰性氣體如氬氣,可被電漿吸 收,以更有活性地撞擊/濺鍍加工表面,藉由改變TCPtm的 終端電容,以移動較高密度電漿的區域越過加工表面,與/ 或修正其他製程的參數(如增加處理室壓力,增加RF電力, 增加氣體濃度與/或氣體的反應元件,增加處理時間,提供 磁場以限制電祕較小的區域,使用高密度電絲源,如函 素或微波來源等等)以進一步作用於經塑型的表面,可調整 處理室中的電漿條件作用。 在來自LAM研發公司的TCfM 91〇〇高密度電漿介電蝕刻 室中,對以加工的CVD SiC氣體分佈板的形式之非氧化陶瓷 O:\72\72077-920115DOQ 4 -12· 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐)
裝 訂 557473
元件所做的測試驗試中,大量的粒子在製程中會污染矽晶 圓’在標準的粒子試驗中,可發現超過20,000個粒子會污染 水’而粒子的平均大小在〇·2至〇·5 μιη之間,粒子的歐傑 (Auger)分析顯示污染的粒子是Sic粒子,Sic粒子看來似乎 是S i C氣體分怖板加工後的殘留損害。 為了比較依據本發明準備的部分與粒子性能較差的部分之 粒子性能,經加工的CVD Sic部分與/或樣本為依據如下所 述處理的表面。 用來比較的CVD SiC樣本與CVD SiC分佈板(gdp)是由 C〇2噴(用來清潔α〗2〇3的一種技術)CVD SiC材料,已決定 是否可由表面上清潔掉〇·2 μιη的SiC粒子,用來比較的樣本 的分析是使用SEM,而GDP則是用電漿蝕刻器試驗,圖la-d顯示經加工的C VD SiC樣本表面在C〇2清潔後的seM影 像’可以看到SiC在樣本的表面上,在粒子試驗中,隨著經 C〇2清潔的GDP的電漿製程,導致傳送至在蝕刻器中處理的 矽晶圓的粒子減少,但粒子數量超過1〇,〇〇〇個,—般相信, C〇2清潔在去除較鬆的siC粒子是很有效的,但其他形成於 該部分加工時部分附著的SiC粒子,則一直要到在蝕刻器中 用電漿撞擊才被去除,而一旦被釋放會造成晶圓的污染β 另一項被測試的技術牽涉到低壓r S i C小球嘴吹製程,接著 用C〇2清潔,本清潔製程的結果,顯示在圖2a-d的SEM影像 中’顯示已經低壓SiC小球噴吹接著C〇2清潔,經加工的 CVD SiC樣本表面上的SiC粒子。 在另一項技術中,使用化學蝕刻之後的高溫氧化,在此製 0:\72\72077-920ll5D0〇 4 -13 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
訂 t 557473 A7
程中,SiC的高溫氧化取決於熱處理中所使用的氧氣分壓, 也就是,氧氣比例較高時,在惰性氧化中可觀察到,重量的 增加,由依據以下反應式所形成的Si02薄^: 2 SiC⑴+3 02(g) = 2 Si〇2⑴+ 2C0(g),在氧氣分壓較低 時,活性的氧化依據下列反應式中Si〇氣體的形成,會?丨起 快速的重量損失:SiC(s) + 2 Si〇2(s) = 3 Si〇2(g) + π%) 或SiC⑷+ 〇2⑷=Si〇2(g) + co⑷,一般惰性氧化速率依據 以下反應式,呈現時間與溫度的函數:(氧化物厚度)1 2 =(拋 物線速率常數)χ(時間),因此,Si〇2的厚度以拋物線速率 成長,亦即隨厚度增加,速率會降低,這種氧化速率的減 少,有關於形成的Si〇2中氧氣的擴散,此外,已經決定了氧 化速率如同CVD SiC的結晶方向之函數而改變,<ln>平面 氧化較<111〉平面快,由於一般CVD SiC元件的隨機取向為 <111〉平面’此方向的拋物線速率常數被選擇以評估Cvd SiC元件的氧化熱處理,如下: 溫度CC) 抛物線速率常數(nm2/min) 1200 4.06X10 1300 3.31 X102 1400 9.43 ΧΙΟ2 __ 1450 2.86Χ103 __ 1500 4.97 ΧΙΟ3 __ 圖3說明基於以上表格所提出的拋物線速率常數,所計算 出來的理論氧化厚度為了整合〇.2至〇 5 μπ1的SiC粒子於氧
1 O:\72\72077-920115 DOO 4 2 本紙張尺度適用中國國家標準(CNS) A4規格(21〇 X 297公董) 557473 A7 B7 五、發明説明(12 ) 化物層中,氧化處理最好在足以形成至少同SiC粒子一樣厚 的氧化物層的溫度與時間之氧化條件下執行,若希望的話, 水蒸氣或其他氧氣來源,可用以調整爐内氣氛的氧氣分壓, 其中該部分被氧化,例如,氧化處理可在1200至1700°c的 空氣中執行,以提供厚度至少0.2 μιη的氧化物層。 圖4a-d顯示來自樣本11C的SEM影像,其為量測得尺寸 0.75”><0.75’’父0.125”的試樣胚,且以1200它在空氣中氧化 12小時,以達到目標的氧化厚度(如,估計要大約0.1 5 μηι),接著以氫氟酸為独刻劑,及去離子水(DI),化學剥除 氧化物層,如,1 HF : 1 ΗΝ〇3 : 1 DI維持12小時。 圖5a-d顯示來自12C的SEM影像,其為量得尺寸為 0·75πχ〇.75πΧ〇·125”的試樣胚,且以1450°C在空氣中氧化 12小時,以達到目標的氧化厚度(如,估計要大約1·4 μιη), 接著以1 HF : 1 ΗΝ〇3 : 1 DI維持12小時,化學剝除氧化物 層,與如加工表面比較,已處理的表面大致上會有減少的粒 子數量,而以較高溫度處理的樣本,與較低溫度處理的樣本 相比,顯現較平坦的表面。 標準粒子per wafer per pass (PWP)試驗是以LAM 9100τΜ電漿蝕刻反應器與(1)經歷標準濕蝕刻之加工的CVD SiC GDP及(2)在氧化與氧化剝除處理之後之GDP來執行。 在第一 PWP試驗中,載入一用於量測粒子(粒子晶圓)的拋 光矽晶圓於室中,執行一標準製程方法(如一主要蝕刻方 法,以處理室壓力5 mTorr,TCPTM電源1700W,下電極關 O:\72\72077-920115 DOQ 4 *15* 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 557473 A7 B7 五、發明説明(13 ) 閉,8 seem C4F8 + 20 seem C2F6 + 1〇〇 seem Ar維持60 秒,接著以多步騾清潔處理室,使用處理室壓力1 5到80 mToirr,TCPTM電源1500至1750 W,下電極關閉,以及15 秒鐘的300至750 seem氧氣),晶圓由處理室被移出,並放 回至粒子計數器(如KLA Tencoi: Surfscan 6200),產生一 粒子地圖,該粒子地圖顯示由於粒子充飽了粒子計數器,濕 蝕刻GDP顯示非常差的粒子性能。, GDP從處理室中移出,並經過氧化處理(1450°C空氣中維 持12小時),以提供至少0.5 μιη厚的氧化物層,其後氧化物 以溶液1 HF : 1 ΗΝ〇3 : 1 DI濕式化學剝除,氣體分佈板的 僅要的尺寸與重量損失,在處理過程前後都要測量,測量的 結果,決定氣體分佈板在高溫氧化處理中仍維持尺寸穩定, 而氧化物剥除導致的重量損失(約0.7%),表示從表面上有效 地除去了附著的粒子。 經處理的GDP再度載入相同的處理室,並開始另一次的 PWP試驗,該試驗顯示粒子的數量以次冪放大的方式減少, 亦即,粒子地圖顯示粒子數量為123,然而,考慮某些外來 的粒子來源,例如試驗前粒子晶圓上的粒子數量,(量得2 2 個粒子),來自載入可鎖卡匣(量得25個粒子)以及來自晶圓 傳輸機構(未量測),主要來自GDP,可調整的粒子數量是 76 〇 在生產晶圓中,一般希望粒子數量能減少至20個以下,最 好少於10個,為了改良GDP的粒子性能,GDP可暴露於反 應爐中的電漿環境,並在爐中運轉高活性的電漿,例如,製 O:\72\72077-920115.DOO 4 ~ 16 - 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 557473 A7 B7 14 ) 五、發明説明( 程方法條件可藉由增加電漿密度(如增加TCp線圈電源1700 至2300 W),增加處理室壓力(如2〇〇至5〇〇 mT〇rr),以及 改變氣體的化學機制,使其對待處理部分更具反應性(如增 加氟破流率,增加氧氣,與/或增加氬氣用於濺鍍SiC部 分)’以達到對GDP更具活性。 在實際生產環境中,以氧化及和化學清潔的CVD SiC氣體 分佈板處理電漿姓刻反應爐(亦即,LAM TCPTM 9100)中的 曰曰圓,可發現在載入板後,可減少來自一經加工的氣體分佈 板之飽和的粒子數目的粒子污染,到少於100個,,adders,,之 已處理之氣體分佈板,粒子污染可藉由將該板於反應爐中經 歷活性電漿處理,每25個處理晶圓維持丨小時,而進一步減 少至10個新增粒子,特別是,蝕刻反應爐是以高氣壓,高 TCP 電源’以及咼流量的含氣體氟化物(例如,處理室壓 力 200 至 500 mTorr,TCPTM 電源 2000 至 2500 W,〇2卩6流 量40至60 sccm,以及改變線圈終端電容,以移動高密度電 漿區越過GDP)來運轉。 儘管以上的例子是對於碳化矽製成的非氧化物陶堯元件, 依據本發明的表面處理仍然可用於其他氧化物部分如,氧化 鋁,二氧化矽,石英,碳,矽,氮化物材料如氮化矽,氮化 硼’氮化銘等等’或者碳化物材料如碳化硼’碳化欽之類 的。 碳化矽做成的陶瓷元件可用許多不同的技術準備,包括化 學氣相沈積(CVD),燒結SiC粉末(如,片狀鑄造,或熱壓轉 SiC粉末),燒結SiC粉末並以Si渗透,燒結SiC陶资粉末並 -17- O:\72\72077-920H5.DOC 4 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公爱) 557473 A7 B7 五、發明説明( 裝 以CVD SiC塗覆,用矽蒸汽轉換石墨成為siC,轉換石墨成 為SiC並以Si滲透,轉換石墨成為SiC並以CVD SiC塗覆等 等’這種材料做的部分可依據本發明處理,以提供改良的粒 子性能’例如,這種部分的加工或燒結表面可直接在氧化處 理後使用,以吸入氧化物層中的粒子,在這情況中,氧化物 層在該邵分載入半導體製程裝置如真空室中之前,並沒有從 該部分被剝除,兩者中擇一,氧化物層在使用該部分於半導 體製程裝置中之前,可被剝除。
CVD SiC可在快速熱處理(RTP)中用來包圍晶圓,這種材 料在加工成很薄時是透明的,使得用紅外線(IR)溫度計量測 溫度很困難,為解決這個問題,可用薄薄的高純度矽層塗覆 S i C ’但這種技術由於從表面粒子推測,會有樹枝狀碎的成 長’所以會有該部分拒收率偏高的問題,依據本發明,藉由 以氧化及氧化物剝除處理,處理經加工的以匚,極可能減少 表面的粒子,解此可提升以矽塗覆SiC產品的良率。 陶資;元件如碳化矽與氮化矽傳輸元件,可以用在高溫晶圓 支撐用途上,如晶圓的裝載,製成,與卸載,在這種支援的 用途上’為了減少晶圓的背面刮痕陶资元件可以依據本發明 處理,以提供平滑的晶圓接觸表面,例如,依據本發明,可 以氧化處理該部分,以提供一平滑的氧化物表面層,此外, 依據本發明,若希望得到非氧化物表面,可剝除氧化層。 依據本發明所處理的陶瓷元件,可具有任何預期的組態, 如晶圓通道入口,處理室壁或畫線器,基板支撐座,電極, 蓮蓬頭,氣體分佈板或元件如擋板,傳輸元件,聚焦環,邊 O:\72\72077-920U5DOa 4 -18- 557473 A7
線裱,電漿隔板,窗口荽! 士 的材料是si及Sic,因f電漿環境中,喊元件較佳 高純度要求,而經處^:;=3符合半導體製成設備的 翕熊的Sir仆人 勺或心0表面之電漿腐蝕,可製造 污仇,’ 物’其可由處理室得到,而無基板的粒子 料有展現特別高的可熱傳導性,使得由這種材 士 6 °p刀可被加熱或冷卻至基板,如珍晶圓,製成當 中希望的溫度。 ,一陶tiTC件可使用於任何電漿反應室,其中預期能減少粒子 3染,具有感應耦合電漿來源的單晶圓真空處理室2的例子 =於,6中’其中製程用氣體以適當的裝置(未顯示)如氣體 分佈環,氣體分佈板,噴射噴嘴等等,供給至處理室2,而 在處理1:内部4的真空,以適當的真空抽氣裝置維持著,在 處理皇中處理的基板,可包含基板支撐座8所支撐的矽半導 體晶圓6,基板支撐座8可包括靜電夾頭與聚焦環1〇,真空磊 可連接至終端如處理室底部的大出口埠12,至真空處理室可 包含介電窗口 14,氣體分佈板16 ,而RF電源可透過外部的 RF天線如終端,處理室的頂部上,介電窗口 14外,平扭線 圈18,供給至處理室,然而,電漿產生來源可以是任何一種 電衆產生設備,如ECR反應爐,電容耦合平行板反應濟,表 面波形反應爐,電磁反應爐,螺旋反應爐,螺旋共振器等 等’電漿產生源可附著於模組化固定設備,如環狀固定板 以可動的方式固定在處理室的終端。 該處理室包括畫線器20,電漿隔板22用於限制電繁於,從 畫線器20與晶圓通道入口的較低端,向内延伸至園络曰圓6
裝 訂
557473 A7 B7 五、發明説明(17 ) 的空間中,畫線器20可用任何適當的方式之稱,如彈性可彎 曲結構對實心的圓柱形畫線器,包含内支撐結構24與外支撐 結構26,為了在基板製程當中維持畫線器在一預期的溫度, 可提供内支撐結構24的頂端一加熱器28,操作上,加熱器 28可有效加熱畫線器20,而從畫線器20去除熱量可由溫度 控制元件30完成,其可透過内外結構去除畫線器的熱量,其 餘的加熱措施如埋於畫線器中的加熱器,或適當的輻射加熱 措施也可使用。 電漿室畫線器20可包含單片畫線器或多片畫線器,如連結 的陶瓷片,為了提供電漿一電性接地路徑,該陶瓷片最好是 導電的材料如矽或碳,例如,該陶瓷片可以整個都是CVD SiC或以CVD SiC塗覆,内含SiC的Si,這樣的材料提供的 好處是,他不含鋁,因此減少了對處理的基板的鋁污染, SiC陶瓷片可以導電的彈性體連結至鋁支撐板,其可吸收由 SiC與鋁不同的膨脹係數所引起的橫向應力,每一陶瓷片和 支撐板組裝,可直接或間接黏著於處理室壁上,例如,陶瓷 片可用包含内結構欲外結構的支撐結構支撐,畫線器的溫度 控制,可藉由電線供電的加熱器與溫度控制元件達成。 電漿隔板22可由陶瓷片的較低緣向内延伸,而電漿隔板可 由導電陶瓷材料製成,如以CVD SiC塗覆,含Si的SiC,且 包含開口,小到足以限制電漿,但允許製程用氣體及製程副 產品藉真空磊排出。 O:\72\72077-920115 DOO 4 - 20 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 557473 A7
如同上述的GDP ,顯示於圖7中的蓮蓬頭電極組件,是消 耗性部分,需定期更換,因為電極組件是附著在溫度控制元 件上,為了更換容易,電極4〇(如高純度矽)外緣的上表面, 可用焊接或其他技術如,彈性接合,連結至支撐環42(如石 墨)。 圖7中所示的電極4〇為一具有自中心至邊緣均句厚度的平 面圓盤,環42的外侧由夾環46夾鉗住,至具有水冷却通道 43的溫度控制元件44,水經由水入口 /出口連結43a,在冷卻 通道43中循環流動,電漿限制環47環繞著電極4〇的外圓 周’電漿限制環47被拴至介電環48,其一次會被拴至介電殼 48a,限制環47的目的與功能是造成反應爐内的壓力不同, 並增加反應式壁之間的電阻,電漿藉此限制上下電極間的電 漿’失鉗環46之朝内放射狀延伸的邊緣,與支撐環42的外圓 相嗟合,如此,則夾鉗壓力直接施於電極40暴露的表面上。 來自氣體供應源的製程用氣體,透過溫度控制元件44中的 中心孔50,供給至電極4〇,該氣體接著分佈通過一或多個垂 直間隔隔開的擋板52,並通過電極40中的氣體分佈孔(未顯 示)’以漸漸傳播製程用氣體進入反應室44,為了提供電極 4〇至溫度控制元件44的高熱傳性,製程用氣體可被供給以充 滿溫度控制元件44與支撐環42相對著表面間的開放空間,此 外’連接至環48中之氣體通道(未顯示)或限制環47的氣體通 道57,可允許監控反應室54中的壓力,為了維持在溫度控制 元件44與支撐環42間壓力的製程用氣體,在支 O:\72\72077-920115 DOQ 4 - 21 _ 本紙張尺度適用中SS豕標準(CNS) A4規格(2igx297公爱)
557473 A7 B7
撐環42的内表面與溫度控制元件44的相對表面間會有—_ 〇形油封58,且在支撐環42的外表面與溫度控制元件44的才 對表面間會有一第二0形油封59,為了保持處理室24中的^ 空環境,在溫度控制元件44與圓柱形元件48b之間,u 、 4 久圓柱 形元件48b與外殼48a之間,會有額外的〇形環6〇,62 具本發明,較低的擋板52是由非氧化物陶資如後珍,聲化 矽,氮化矽,碳化硼,碳化鈦或氮化鋁所製成。 一具本發明之較佳具體實施例,較低的擋板可由高純度穿 化矽,如’至少99.999%的純度者,從成本的觀點來看 種特別推薦的碳化矽是非燒結形式的碳化矽,由石墨轉傲 成’其中成行的石墨與碎蒸氣在1600 °C的溫度下反應,以轉^ 變為碳化矽,起出的石墨最妤為細小的,少孔隙的,高純户 的粒子,經塑型至預期的尺寸,藉由矽蒸汽轉變為碳化今的 結果,大的碳化矽可以有10到30%的孔隙,如大約2〇%,4 果希望,該部分可以用矽回填,且/或以CVD SiC層塗覆。 非氧化物陶瓷擋板可作為已存在鋁擋板的替代物,或做為 任何其中希望減少造成該部分污染的氣體分佈系統,因為推 板會經歷某種加工,一般希望依據本發明處理該擋板,例 如,氧化該表面,並以化學蝕刻去除該氧化層,擋板可用作 Exelan®或4520XLE®的鋁擋板的替代物,此兩者皆由LAM 研發公司所製造。 如同以上討論的GDP,依據本發明之陶瓷擋板,可提供較 少的粒子污染,也就是說,由於較低的擋板直接位於蓮蓬頭 -22- O:\72\72077.920115DOQ 4 本纸張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 557473 A7 B7 五、發明説明(2〇 ) 電極之後,一視線存在於其與通過蓮蓬頭電極中孔的電漿之 間,使得該擋板可被濺鍍,結果,來自擋板經加工表面的粒 子,會進入處理室並污染經歷製程的水,這種粒子的缺陷降 低了晶圓的良率。 以上所述已經說明了本發明的原則,較佳具體實施例,以 及操作的模式,然而,本發明並不應該只侷限於所討論的特 定具體實施例,如此,則上述具體實施例應該被認為是說明 而非限制,且需體會,熟練該技藝的工作者,,可在不達背如 以下申請專利範圍所定義的,本發明的範圍前提下,在具體 實施例中做改變。 O:\72\72077-920115DOC\ 4 - 23 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 557473 A7 B7 五、發明説明(21 ) 圖式元件符號說明 2 處理室 42 支撐環 4 處理室内部 43 水冷卻通道 6 晶圓 43a 水入口/出口連結 8 基板支撐座 44 溫度控制元件 10 聚焦環 47 電漿限制環 12 出口埠 48 介電環 14 介電窗口 48a 介電彀 16 氣體分佈板 48b 圓柱形元件 18 平坦線圈 50 孔 20 畫線器 52 擋板 22 電漿隔板 54 反應室 24 内支撐結構 57 氣體通道 26 外支撐結構 58 第一0形油封 28 加熱器 59 第二0形油封 30 溫度控制元件 60 0形環 40 電極 62 0形環 24- O:\72\72077-920115 DOO 4 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)

Claims (1)

  1. 557473 A8 B8 C8 D8 、申請專利範圍 1. 一種處理半導體基板的方法,可減少基板處理中的粒子 污染,該方法包含步騾有: (a) 放置至少一基板於真空處理室的内部空間中之基板 座,處理室包括至少一非氧化物陶瓷元件,其具有暴露 於内部空間的表面,該表面經塑型與處理,且藉由高密 度電漿處理,以減少其上的粒子; (b) 藉供給製成用氣體至處理室,以處理至少一個的基 板;以及 (c) 從處理室中移除該至少一個的基板。 2. 如申請專利範圍第1項之方法,其中處理室包括一大致 上平坦的天線,可藉由供給RF電源至天線,賦予製程用 氣體能量,成為電漿狀態,而製成用氣體包含至少一種 氟碳化合氣體,該方法進一步包括以激發氟碳化合氣 體,成為電漿狀態,並以電漿接觸曝露表面,處理該曝 露表面。 3. 如申請專利範圍第2項之方法,其中電漿包含一高密度 電漿,而基板處理是在供給RF偏壓至基板的同時,用高 密度電漿蝕刻基板上的氧化物層。 4. 如申請專利範圍第1項之方法,其中陶瓷元件包含一氣 體分佈板,供給製程用氣體至處理室,而處理室包括大 致上平坦的線圈,可藉由供給RF電源至天線,激發製程 用氣體至電漿狀態,該方法進一步包含藉由調整處理室 壓力到200至500 mTorr,供給線圈2000至2500 W的射 頻電源,與/或改變線圈的線圈終端電容,處理曝露表 -25- O:\72\72077-920115.DOO 7 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 々、申請專利範圍 面,以便移動高.密度電漿區越過氣體分佈板。 5. 如申請專利範圍第1項之方法,其中處理室包含一單晶 圓電漿反應爐,該方法進一步還包括步驟有:在暴露陶 瓷元件於離子轟擊同時,藉由在處理室中連續處理不超 過50個晶圓,處理陶瓷元件的暴露表面,該處理步驟在 降低粒子計數器所量得的粒子數量到20個以下是很有效 的。 6. 如申請專利範圍第1項之方法,其進一步包含步驟有: 加工由碳,矽,碳化矽,氮化矽,氮化硼,碳化硼,氮 化鋁或碳化鈦所製成的部分,以製造陶瓷元件。 7. 如申請專利範圍第1項之方法,其進一步包含步驟有: 加工由CVD SiC,塗覆CVD SiC的燒結SiC,轉變的石 墨,或回填Si的多孔SiC所製成的部分,以製造陶瓷元 件。 8. 如申請專利範圍第1項之方法,其進一步包含一系列的 步驟有:載入陶瓷元件於處理室中,藉由在處理室中處 理單批的非生產用晶圓,處理陶瓷元件,並在處理室中 處理生產用晶圓。 9. 如申請專利範圍第1項之方法,其中處理室包含一電漿 反應爐,該方法進一步包含步驟有:載入陶瓷元件於處 理室中並處理之,處理步驟包含在加電源於陶瓷元件 時,以高電漿密度處理暴露的表面,以增加對其的離子 轟擊。 10. 如申請專利範圍第1項之方法,其中處理室包含一電漿 O:\72\72077-920115 DOO 7 - 26 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 557473 A8 B8 C8 D8 、申請專利範圍 反應爐,該方法進一步包含步騾有:載入陶瓷元件於處 理室中並處理之,處理步騾包含以激發鹵素氣體成電漿 狀態所產生的高密度電漿處理暴露的表面。 11. 如申請專利範圍第1項之方法,其中處理室包含一電漿 反應爐,該方法進一步包含步騾有:載入陶瓷元件於處 理室中並處理之,處理步騾包含以激發惰性氣體成電漿 狀態所產生的高密度電漿處理暴露的表面。 12. 如申請專利範圍第1項之方法,其中處理室包含一電漿 反應爐,該方法進一步包含步驟有:載入陶瓷元件於處 理室中並處理之,處理步驟包含以激發氧氣成電漿狀態 所產生的高密度電漿處理暴露的表面。 13. 如申請專利範圍第1項之方法,其中處理室包含一電漿 反應爐,該方法進一步包含步驟有:載入陶瓷元件於處 理室中並處理之,處理步驟包含以激發含氟氣體成電漿 狀態所產生的高密度電漿處理暴露的表面。 14. 如申請專利範圍第1項之方法,其中處理室包含一電漿 反應爐,該方法進一步包含步驟有:載入陶瓷元件於處 理室中並處理之,處理步驟包含在轉換處理室的同時, 以高密度電漿處理暴露的表面。 15. —種以電漿處理半導體處理室的陶瓷元件之經塑型表面 的方法,該方法包含藉由以在一電漿反應室中之高密度 電漿接觸該經塑型表面,處理該經塑型表面,以減少其 上的粒子,該電漿是以激勵一處理氣體成為一電漿狀態 所形成,相較於以高密度電漿處理之前,該經調整之塑 -27-
    O:\72\72077-920115 DOO 7 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 557473 A8 B8 C8 D8 六、申請專利範圍 型表面在以高密度電漿處理後具有較低粒子數量。 16. 如申請專利範圍第15項之方法,其中在處理室中處理陶 瓷元件,其包含一大致上平坦的天線,可藉由供給RF電 源至天線,激發製程用氣體成為電漿狀態,而製程用氣 體包含至少一種氟碳化合氣體,電漿處理的執行,可藉 由激發氟碳化合氣體至電漿狀態,並以電漿接觸經塑型 的表面。 17. 如申請專利範圍第16項之方法,陶瓷元件在處理室中被 處理,製程用氣體在其中被激發至電漿狀態,該製程用 氣體包含至少一種氟碳化合氣體,電漿處理則藉由激發 氟碳化合氣體至電漿狀態,並以電漿接觸該經塑型的表 面而執行。 18. 如申請專利範圍第15項之方法,其中陶瓷元件包含固定 於處理室中的氣體分佈板,該室包含一大致上平坦的線 圈,可藉由供給RF電源至天線,激發製程用氣體至電漿 狀態,電漿處理的執行,勢將經塑型的表面,接觸高密 度電漿,同時調整處理室壓力至200至500 mTorr,供 給線圈2000至2500 W的射頻電源,與/或改變線圈的線 圈終端電容,以便移動高密度電漿區域越過氣體分佈 板。 19. 如申請專利範圍第18項之方法,其中處理室包含一單晶 圓電漿反應爐,電漿處理應在處理室中依連續處理半導 體基板時執行。 20. 如申請專利範圍第15項之方法,其中陶瓷元件是由碳, O:\72\72077-920115 DOQ 7 ~ 28 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
    裝 玎
    557473 A8 B8 C8 D8 、申請專利範圍 矽,碳化矽,氮化矽,氮化硼,碳化硼,氮化鋁或碳化 鈦所製成的。 21. 如申請專利範圍第15項之方法,其中陶瓷元件是由CVD SiC,塗覆CVD SiC的燒結SiC,轉變的石墨,或回填 Si的多孔SiC所製成的。 22. 如申請專利範圍第15項之方法,其中電漿處理在電漿蝕 刻室中執行。 23. 如申請專利範圍第15項之方法,其中陶瓷元件包含一固 定於處理室中的氣體分佈板,處理室包含用以傳遞RF能 量通過氣體分佈板,進入處理室内部的天線,氣體分佈 板的電阻足夠高,以允許RF能量通過該處,藉由已可結 合RF能量通過氣體分佈板,進入處理室的天線,激發製 程用氣體至電漿狀態,可完成電漿處理。 24. 如申請專利範圍第15項之方法,其中該方法進一步包含 載入陶瓷元件於電漿反應爐中,電漿條件作用包含在加 電源於陶瓷元件的同時,以高密度電漿處理經塑型的表 面,以增加對其的離子轟擊。 25. 如申請專利範圍第15項之方法,其中該方法進一步包含 載入陶瓷元件於處理室中並處理之,處理步驟包含藉由 激發卣素氣體至電漿狀態所產生的高密度電漿處理經塑 型的表面。 26. 如申請專利範圍第15項之方法,其中該方法進一步包含 載入陶瓷元件於處理室中並處理之,處理步驟包含藉由 激發惰性氣體至電漿狀態所產生的高密度電漿處理經塑 -29- 裝
    O:\72\72077-920115.DOO 7 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 557473 A8 B8 C8 D8 申請專利範圍 型的表面。 27. 如申請專利範圍第15項之方法,其中該方法進一步包含 載入陶瓷元件於處理室中並處理之,處理步驟包含藉由 激發氧氣至電漿狀態所產生的高密度電漿處理經塑型的 表面。 28. 如申請專利範圍第15項之方法,其中該方法進一步包含 載入陶瓷元件於處理室中並處理之,處理步驟包含藉由 激發含氟氣體至電漿狀態所產生的高密度電漿處理經塑 型的表面。 29. 如申請專利範圍第15項之方法,其中該方法進一步包含 載入陶瓷元件於電漿反應爐中,電漿作用包含在轉換反 應爐的同時,以高密度電漿處理經塑型的表面。 30. —種如申請專利範圍第15項之方法所作用處理的陶瓷元 件,該陶瓷元件具有一經調整之塑型表面,相較於以高 密度電漿處理前,該塑型表面在以高密度電漿處理後具 有一較低之粒子數量。 30- 裝
    O:\72\72077-920115 DOO 7 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
TW090115469A 2000-06-30 2001-06-26 Semiconductor processing equipment having improved particle performance TW557473B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/607,922 US6890861B1 (en) 2000-06-30 2000-06-30 Semiconductor processing equipment having improved particle performance

Publications (1)

Publication Number Publication Date
TW557473B true TW557473B (en) 2003-10-11

Family

ID=24434265

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090115469A TW557473B (en) 2000-06-30 2001-06-26 Semiconductor processing equipment having improved particle performance

Country Status (7)

Country Link
US (2) US6890861B1 (zh)
EP (1) EP1295317A2 (zh)
KR (2) KR100834324B1 (zh)
CN (2) CN100545304C (zh)
AU (1) AU2002216776A1 (zh)
TW (1) TW557473B (zh)
WO (1) WO2002003427A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI423735B (zh) * 2004-03-22 2014-01-11 Varian Semiconductor Equipment 具有導電性頂端區域之射頻電漿源
TWI623959B (zh) * 2013-02-28 2018-05-11 諾發系統有限公司 具有電容耦合電漿反應器用嵌入式射頻電極之陶瓷噴淋頭及使用該陶瓷噴淋頭之基板處理系統
TWI757320B (zh) * 2016-08-12 2022-03-11 美商蘭姆研究公司 矽構件之調節方法
US11680316B2 (en) 2020-10-21 2023-06-20 Industrial Technology Research Institute Deposition apparatus

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100454122B1 (ko) * 2002-04-09 2004-10-26 (주) 디에스테크노 CVD 반응 장치용 다공 SiC 가이드 링의 제조방법
IL164439A0 (en) * 2002-04-17 2005-12-18 Lam Res Corp Silicon parts for plasma reaction chambers
US6846726B2 (en) 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
US20030233977A1 (en) * 2002-06-20 2003-12-25 Yeshwanth Narendar Method for forming semiconductor processing components
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US6825123B2 (en) * 2003-04-15 2004-11-30 Saint-Goban Ceramics & Plastics, Inc. Method for treating semiconductor processing components and components formed thereby
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US7501370B2 (en) * 2004-01-06 2009-03-10 Saint-Gobain Ceramics & Plastics, Inc. High purity silicon carbide wafer boats
JP4632290B2 (ja) * 2004-03-23 2011-02-16 日本碍子株式会社 窒化アルミニウム製サセプターの洗浄方法
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US8058186B2 (en) * 2004-11-10 2011-11-15 Tokyo Electron Limited Components for substrate processing apparatus and manufacturing method thereof
JP2006140238A (ja) * 2004-11-10 2006-06-01 Tokyo Electron Ltd 基板処理装置用部品及びその製造方法
US9659758B2 (en) * 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
US7511287B2 (en) * 2005-09-21 2009-03-31 Axcelis Technologies, Inc. Systems and methods that mitigate contamination and modify surface characteristics during ion implantation processes through the introduction of gases
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
EP1772901B1 (en) * 2005-10-07 2012-07-25 Rohm and Haas Electronic Materials, L.L.C. Wafer holding article and method for semiconductor processing
US7589025B2 (en) * 2005-12-02 2009-09-15 Rohm And Haas Electronic Materials Llc Semiconductor processing
US8057603B2 (en) * 2006-02-13 2011-11-15 Tokyo Electron Limited Method of cleaning substrate processing chamber, storage medium, and substrate processing chamber
US7737035B1 (en) * 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
JP2007305890A (ja) * 2006-05-15 2007-11-22 Elpida Memory Inc 半導体製造装置
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7875824B2 (en) 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
JP5010234B2 (ja) 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
JP5008957B2 (ja) * 2006-11-30 2012-08-22 東京エレクトロン株式会社 シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
CN100577866C (zh) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
US20080311294A1 (en) * 2007-06-15 2008-12-18 Hideki Ito Vapor-phase growth apparatus and vapor-phase growth method
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5567486B2 (ja) * 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
US20090142247A1 (en) * 2007-12-03 2009-06-04 Applied Materials, Inc. Chemical treatment to reduce machining-induced sub-surface damage in semiconductor processing components comprising silicon carbide
TWI484576B (zh) * 2007-12-19 2015-05-11 Lam Res Corp 半導體真空處理設備用之薄膜黏接劑
SG10201407723PA (en) * 2007-12-19 2014-12-30 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
US8058174B2 (en) * 2007-12-20 2011-11-15 Coorstek, Inc. Method for treating semiconductor processing components and components formed thereby
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
CN101521143B (zh) * 2008-02-25 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 一种用于半导体工艺设备的衬套机构及其制造方法
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
JP2011524634A (ja) 2008-06-09 2011-09-01 ポコ グラファイト、インコーポレイテッド サブアパーチャ反応性原子エッチングを用いて構成部品を前処理することによって、半導体製造ユニットにおける生産量を高めると共にダウンタイムを減らす方法
JP5710591B2 (ja) * 2009-04-20 2015-04-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プロセスチャンバ壁上にシリコンコーティングを使用した残留フッ素ラジカルの除去の促進
JP5415853B2 (ja) * 2009-07-10 2014-02-12 東京エレクトロン株式会社 表面処理方法
WO2011049938A2 (en) * 2009-10-20 2011-04-28 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
JP2011225949A (ja) * 2010-04-21 2011-11-10 Ibiden Co Ltd 炭素部品および炭素部品の製造方法
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
CN103403852B (zh) 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
CN102994977B (zh) * 2011-09-08 2015-01-14 北京北方微电子基地设备工艺研究中心有限责任公司 腔室装置和具有该腔室装置的基片处理设备
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9293305B2 (en) 2011-10-31 2016-03-22 Lam Research Corporation Mixed acid cleaning assemblies
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US20140097752A1 (en) * 2012-10-09 2014-04-10 Varian Semiconductor Equipment Associates, Inc. Inductively Coupled Plasma ION Source Chamber with Dopant Material Shield
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9123661B2 (en) 2013-08-07 2015-09-01 Lam Research Corporation Silicon containing confinement ring for plasma processing apparatus and method of forming thereof
US20150062772A1 (en) * 2013-08-27 2015-03-05 Varian Semiconductor Equipment Associates, Inc Barrier Layer For Electrostatic Chucks
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
CN103681246B (zh) * 2013-12-30 2017-10-17 国家电网公司 一种SiC材料清洗方法
KR102370665B1 (ko) * 2014-03-05 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 챔버 입자들을 감소시키기 위한 중요 챔버 구성요소 표면 개선
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN105097423B (zh) * 2014-05-12 2018-09-18 中芯国际集成电路制造(上海)有限公司 等离子体反应器及清除等离子体反应腔室颗粒污染的方法
KR101559112B1 (ko) * 2014-05-13 2015-10-13 주식회사 펨빅스 공정부품 표면의 세라믹 코팅막 및 이의 형성방법
DE102014008029B4 (de) 2014-05-28 2023-05-17 Asml Netherlands B.V. Elektrostatische Haltevorrichtung mit einer Elektroden-Trägerscheibe und Verfahren zur Herstellung der Haltevorrichtung
DE102014007903A1 (de) 2014-05-28 2015-12-03 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co. Elektrostatische Haltevorrichtung mit Noppen-Elektroden und Verfahren zu deren Herstellung
DE102014008030A1 (de) 2014-05-28 2015-12-03 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co Verfahren zur Herstellung einer elektrostatischen Haltevorrichtung
DE102014008031B4 (de) 2014-05-28 2020-06-25 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co. Elektrostatische Haltevorrichtung mit einer Keramik-Elektrode und Verfahren zur Herstellung einer solchen Haltevorrichtung
US9534289B2 (en) * 2014-06-18 2017-01-03 Applied Materials, Inc. Plasma process chambers employing distribution grids having focusing surfaces thereon enabling angled fluxes to reach a substrate, and related methods
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US20160056059A1 (en) * 2014-08-22 2016-02-25 Applied Materials, Inc. Component for semiconductor process chamber having surface treatment to reduce particle emission
US10388820B2 (en) 2015-02-03 2019-08-20 Lg Electronics Inc. Metal organic chemical vapor deposition apparatus for solar cell
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
JP2016207788A (ja) * 2015-04-20 2016-12-08 東京エレクトロン株式会社 上部電極の表面処理方法、プラズマ処理装置及び上部電極
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
CN107393845A (zh) * 2016-05-17 2017-11-24 北大方正集团有限公司 一种碳化硅晶体晶圆表面析出碳的去除系统及方法
CN106206385A (zh) * 2016-09-27 2016-12-07 上海华力微电子有限公司 一种降低腔体内金属污染含量的多晶硅刻蚀腔及方法
KR101941232B1 (ko) * 2016-12-20 2019-01-22 주식회사 티씨케이 반도체 제조용 부품, 복합체 코팅층을 포함하는 반도체 제조용 부품 및 그 제조방법
USD878689S1 (en) 2017-04-25 2020-03-17 Samsung Electronics Co., Ltd. Washing machine
USD852442S1 (en) 2017-04-25 2019-06-25 Samsung Electronics Co., Ltd. Pulsator for washing machine
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
WO2019212624A1 (en) * 2018-05-04 2019-11-07 Applied Materials, Inc. Nanoparticle measurement for processing chamber
DE102018124576A1 (de) * 2018-10-05 2020-04-09 Osram Opto Semiconductors Gmbh Verfahren zur herstellung eines halbleiterbauelements mit durchführung einer plasmabehandlung und halbleiterbauelement
KR20200040537A (ko) * 2018-10-10 2020-04-20 엘지디스플레이 주식회사 측향식 진공증착용 소스, 소스 어셈블리 및 이를 이용한 측향식 진공증착 장치
KR102218433B1 (ko) * 2018-11-29 2021-02-22 (주)디에스테크노 식각 특성이 향상된 SiC가 사용된 샤워 헤드가 구비된 반도체 제조 장비
JP2022539871A (ja) * 2019-07-09 2022-09-13 インテグリス・インコーポレーテッド 多孔質炭素質真空チャンバライナ
KR102200315B1 (ko) * 2019-07-29 2021-01-08 세메스 주식회사 기판 지지 장치 및 이를 포함하는 기판 처리 장치
CN113097041B (zh) * 2019-12-23 2023-10-31 中微半导体设备(上海)股份有限公司 防止产生污染物的零部件处理方法及等离子体处理装置
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
US11380524B2 (en) 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
CN111634916B (zh) * 2020-06-24 2022-05-24 吴剑荣 一种基于硅快速合成技术的单晶硅层高效回收工艺

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3951587A (en) 1974-12-06 1976-04-20 Norton Company Silicon carbide diffusion furnace components
JPS6024290B2 (ja) 1977-06-24 1985-06-12 川崎重工業株式会社 2サイクルエンジンの吹返し防止装置
US4401689A (en) 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4518349A (en) 1983-12-01 1985-05-21 Better Semiconductor Processes (Bsp) Cantilevered boat-free semiconductor wafer handling system
JPS60138915A (ja) 1983-12-26 1985-07-23 Toshiba Ceramics Co Ltd 炭化珪素質炉芯管
JPS60200519A (ja) 1984-03-26 1985-10-11 Hitachi Ltd 発熱体
JPS61284301A (ja) 1985-06-07 1986-12-15 Toshiba Corp 組立工具
JPS6335452A (ja) 1986-07-31 1988-02-16 東芝セラミツクス株式会社 半導体拡散炉用構成部材の製造方法
JP2550037B2 (ja) 1986-12-01 1996-10-30 株式会社日立製作所 ドライエッチング方法
JP2532227B2 (ja) 1987-01-29 1996-09-11 電気興業株式会社 炭素皮膜の気相合成装置
US4761134B1 (en) 1987-03-30 1993-11-16 Silicon carbide diffusion furnace components with an impervious coating thereon
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US4999228A (en) 1988-05-06 1991-03-12 Shin-Etsu Chemical Co., Ltd. Silicon carbide diffusion tube for semi-conductor
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4883703A (en) * 1988-08-29 1989-11-28 Riccio Louis M Method of adhering thermal spray to substrate and product formed thereby
US5182059A (en) 1989-01-17 1993-01-26 Ngk Insulators, Ltd. Process for producing high density SiC sintered bodies
US5252892A (en) 1989-02-16 1993-10-12 Tokyo Electron Limited Plasma processing apparatus
DE69031624T2 (de) 1989-08-10 1998-05-14 Commw Scient Ind Res Org Verfahren zur herstellung von einer elektrosuspension von mikropartikeln
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
IE73477B1 (en) * 1989-10-26 1997-06-04 Advanced Materials Enterprise Dense siC ceramic products
JP2597018B2 (ja) 1989-12-26 1997-04-02 日本原子力研究所 絶縁用部材及びそれを用いた電気部品
DE4002327A1 (de) 1990-01-26 1991-08-01 Wacker Chemitronic Verfahren zur nasschemischen behandlung von halbleiteroberflaechen und loesung zu seiner durchfuehrung
US5391275A (en) 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5292399A (en) 1990-04-19 1994-03-08 Applied Materials, Inc. Plasma etching apparatus with conductive means for inhibiting arcing
JP2581268B2 (ja) 1990-05-22 1997-02-12 日本電気株式会社 半導体基板の処理方法
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5296288A (en) * 1992-04-09 1994-03-22 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Protective coating for ceramic materials
FR2695410B1 (fr) * 1992-09-04 1994-11-18 France Telecom Procédé de prétraitement d'un substrat pour le dépôt sélectif de tungstène.
US5800618A (en) * 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
US5460684A (en) 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
JP3250628B2 (ja) 1992-12-17 2002-01-28 東芝セラミックス株式会社 縦型半導体熱処理用治具
US5578129A (en) 1993-03-17 1996-11-26 Tokyo Electron Limited Gas supplying head and load lock chamber of semiconductor processing system
US5482673A (en) * 1994-05-27 1996-01-09 Martin Marietta Energy Systems, Inc. Method for preparing ceramic composite
JP3361385B2 (ja) 1994-06-30 2003-01-07 東芝機械株式会社 ヒータ
US5538230A (en) 1994-08-08 1996-07-23 Sibley; Thomas Silicon carbide carrier for wafer processing
US5516730A (en) 1994-08-26 1996-05-14 Memc Electronic Materials, Inc. Pre-thermal treatment cleaning process of wafers
JP2914555B2 (ja) 1994-08-30 1999-07-05 信越半導体株式会社 半導体シリコンウェーハの洗浄方法
ES2247593T3 (es) 1994-09-26 2006-03-01 Steris, Inc. Tratamiento acido de acero inoxidable.
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JPH08264552A (ja) 1995-03-24 1996-10-11 Toshiba Ceramics Co Ltd シリコンウエーハの製造方法
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US6159297A (en) 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3524679B2 (ja) 1996-06-21 2004-05-10 東芝セラミックス株式会社 高純度CVD−SiC質の半導体熱処理用部材及びその製造方法
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
JPH10209106A (ja) 1997-01-20 1998-08-07 Toshiba Corp 半導体基板の洗浄方法および洗浄装置
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5861086A (en) 1997-03-10 1999-01-19 Applied Materials, Inc. Method and apparatus for sputter etch conditioning a ceramic body
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US5892236A (en) 1997-07-09 1999-04-06 Bridgestone Corporation Part for ion implantation device
US6268014B1 (en) * 1997-10-02 2001-07-31 Chris Eberspacher Method for forming solar cell materials from particulars
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
JP3201322B2 (ja) 1997-12-09 2001-08-20 日本電気株式会社 電子メール課金システム
US5837662A (en) 1997-12-12 1998-11-17 Memc Electronic Materials, Inc. Post-lapping cleaning process for silicon wafers
EP1068632B1 (en) 1998-03-31 2006-11-15 Lam Research Corporation Contamination controlling method and plasma processing chamber
US6267121B1 (en) * 1999-02-11 2001-07-31 Taiwan Semiconductor Manufacturing Company Process to season and determine condition of a high density plasma etcher
JP3207833B2 (ja) * 1999-10-15 2001-09-10 三菱重工業株式会社 使用済み燃料貯蔵部材の製造方法および混合粉末
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP3207841B1 (ja) * 2000-07-12 2001-09-10 三菱重工業株式会社 アルミニウム複合粉末およびその製造方法、アルミニウム複合材料、使用済み燃料貯蔵部材およびその製造方法
US6706319B2 (en) * 2001-12-05 2004-03-16 Siemens Westinghouse Power Corporation Mixed powder deposition of components for wear, erosion and abrasion resistant applications
US6814813B2 (en) * 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI423735B (zh) * 2004-03-22 2014-01-11 Varian Semiconductor Equipment 具有導電性頂端區域之射頻電漿源
TWI623959B (zh) * 2013-02-28 2018-05-11 諾發系統有限公司 具有電容耦合電漿反應器用嵌入式射頻電極之陶瓷噴淋頭及使用該陶瓷噴淋頭之基板處理系統
TWI757320B (zh) * 2016-08-12 2022-03-11 美商蘭姆研究公司 矽構件之調節方法
US11680316B2 (en) 2020-10-21 2023-06-20 Industrial Technology Research Institute Deposition apparatus

Also Published As

Publication number Publication date
US20050181617A1 (en) 2005-08-18
KR100807138B1 (ko) 2008-02-27
CN1440563A (zh) 2003-09-03
AU2002216776A1 (en) 2002-01-14
CN1230868C (zh) 2005-12-07
KR20030010760A (ko) 2003-02-05
EP1295317A2 (en) 2003-03-26
CN100545304C (zh) 2009-09-30
CN1702193A (zh) 2005-11-30
KR100834324B1 (ko) 2008-06-02
WO2002003427A2 (en) 2002-01-10
US7802539B2 (en) 2010-09-28
KR20060081724A (ko) 2006-07-13
WO2002003427A3 (en) 2002-04-11
US6890861B1 (en) 2005-05-10

Similar Documents

Publication Publication Date Title
TW557473B (en) Semiconductor processing equipment having improved particle performance
US6506254B1 (en) Semiconductor processing equipment having improved particle performance
US8859432B2 (en) Bare aluminum baffles for resist stripping chambers
JP5043439B2 (ja) 遊離炭素を取り除くために扱われた半導体基板処理装置の炭化シリコン部品
JP2006128485A (ja) 半導体処理装置
WO2004047158A1 (ja) プラズマ処理装置およびプラズマ処理方法
WO2004049421A1 (ja) 基板処理容器のクリーニング方法
TW201207920A (en) Method and apparatus for cleaning a substrate surface
JPH07335626A (ja) プラズマ処理装置およびプラズマ処理方法
KR20220002943A (ko) 에칭 적용을 위한 제어된 다공성 산화이트륨
JP5001862B2 (ja) マイクロ波プラズマ処理装置
JP3071933B2 (ja) 解離したハロゲン系腐蝕性ガスに対する耐蝕性部材およびその製造方法
JP2016207788A (ja) 上部電極の表面処理方法、プラズマ処理装置及び上部電極
TWI828704B (zh) 電漿處理方法與用於電漿處理腔室的腔室部件及其製造方法
JP4558431B2 (ja) 半導体製造装置のクリーニング方法
JP3077516B2 (ja) プラズマ処理装置
JP2000150488A (ja) プラズマ処理装置およびプラズマ処理方法
JP2000082699A (ja) エッチング処理装置
JP2006237627A (ja) プラズマ処理方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees