WO2004049421A1 - 基板処理容器のクリーニング方法 - Google Patents

基板処理容器のクリーニング方法 Download PDF

Info

Publication number
WO2004049421A1
WO2004049421A1 PCT/JP2003/014519 JP0314519W WO2004049421A1 WO 2004049421 A1 WO2004049421 A1 WO 2004049421A1 JP 0314519 W JP0314519 W JP 0314519W WO 2004049421 A1 WO2004049421 A1 WO 2004049421A1
Authority
WO
WIPO (PCT)
Prior art keywords
cleaning
substrate processing
gas
processing container
substrate
Prior art date
Application number
PCT/JP2003/014519
Other languages
English (en)
French (fr)
Inventor
Hideaki Yamasaki
Kazuhito Nakamura
Koumei Matsuzawa
Tsukasa Matsuda
Yumiko Kawano
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to CN2003801044401A priority Critical patent/CN1717791B/zh
Priority to US10/536,322 priority patent/US7456109B2/en
Priority to AU2003280802A priority patent/AU2003280802A1/en
Publication of WO2004049421A1 publication Critical patent/WO2004049421A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Definitions

  • the present invention relates to a method for cleaning a substrate processing container of a substrate processing apparatus, and more particularly, to a method for cleaning a film forming apparatus using remote plasma.
  • a predetermined film is formed by placing the substrate to be processed in a substrate processing container.
  • a substrate processing container There are many examples of the thin film formed on the substrate to be processed.
  • the thin film is formed on the substrate processing container ⁇ , the inner wall thereof, or the member in the substrate processing container such as the substrate holding table by the film forming process. Adhere to form deposits.
  • IU increases and the deposit attached in this manner eventually peels off.
  • the separated sediment floats in the substrate processing container and is taken into a thin film formed on the substrate to be processed during the film forming process as described above, causing a problem of deteriorating the film quality of the thin film.
  • a cleaning method has been proposed for removing the deposits from the substrate processing container as described above (for example, see Japanese Patent Application Laid-Open No. H10-149899).
  • a remote plasma generator for generating fluorine radicals is provided outside the substrate processing vessel for cleaning, and NF3 is excited by 2.45 GHz microwaves.
  • a method is disclosed in which fluorine radicals are generated, the deposits are vaporized by introducing the fluorine radicals into a substrate processing container, and the deposits are discharged out of the substrate processing container. Disclosure of the invention
  • a fluorine radical (F *) is mainly used as a reactive species for cleaning.
  • F * a fluorine radical
  • the quartz member is etched by the fluorine radical.
  • the amount of fluorine radicals is large, although the etching amount is smaller than that of the above-described stone member.
  • the ceramic member Since the ceramic member is introduced into the container, the ceramic member is etched by the fluorine radical to form, for example, an aluminum compound and the like, which remains in the substrate processing container and is formed in the film forming process in a thin film. There was a concern that it could be incorporated into the film and the film quality of the thin film could be degraded as film contamination.
  • the specific object of the present invention is to use F2 recombined with F radicals as the main reactive species, thereby treating the substrate by F radicals in the conventional cleaning method mainly using F radicals.
  • An object of the present invention is to provide a tally / jung method in which damage to members in a container is reduced.
  • a cleaning method for cleaning a substrate processing container of a substrate processing apparatus for processing a substrate to be processed
  • a gas introduction step of introducing a gas into a remote plasma generation unit installed in the substrate processing apparatus
  • the remote plasma generation unit may be configured to excite the gas at a high frequency.
  • the frequency of the high frequency can be set to 400 kHz to 3 GHz.
  • the gas may be a gas containing a fluorine compound.
  • the gas CF 4, C 2 F 6, C 3 F 8, SF 6, Ru can be selected from the group consisting of NF 3.
  • the reactive species may include F 2 recombined with F radicals.
  • deposits deposited on an exposed portion inside the processing container by the reactive species can be removed.
  • the deposit may include any of a metal, a metal nitride, a metal oxide, silicon and a silicon compound.
  • the exposed portion may include a member made of quartz.
  • the exposed portion may include a member made of a sintered material of A 1 2 0 3.
  • the exposed portion may include a member made of an A 1 N sintered material.
  • FIG. 1 is a configuration diagram (part 1) of a substrate processing apparatus capable of performing cleaning according to an embodiment of the present invention.
  • FIG. 2 is a diagram schematically showing a remote plasma generation source.
  • FIG. 3 is a configuration diagram (part 2) of a substrate processing apparatus capable of performing cleaning according to the embodiment of the present invention.
  • FIG. 4 is a diagram (part 1) illustrating a cleaning speed according to the embodiment of the present invention.
  • FIG. 5 is a diagram (part 2) illustrating the cleaning speed according to the embodiment of the present invention.
  • FIG. 6 is a diagram showing the ratio of the cleaning speed according to the embodiment of the present invention.
  • FIG. 7 is a configuration diagram (part 3) of a substrate processing apparatus capable of performing cleaning according to the embodiment of the present invention.
  • FIG. 8 is a configuration diagram (part 4) of a substrate processing apparatus capable of performing cleaning according to the embodiment of the present invention.
  • FIG. 9 is a configuration diagram (part 5) of a substrate processing apparatus capable of performing cleaning according to the embodiment of the present invention.
  • FIG. 10 is a configuration diagram (part 6) of a substrate processing apparatus capable of performing cleaning according to an embodiment of the present invention.
  • FIG. 11 is a configuration diagram of a cluster tool device capable of performing ft cleaning according to an embodiment of the present invention.
  • FIG. 1 is a diagram showing a configuration of a substrate processing apparatus 600 capable of performing cleaning according to an embodiment of the present invention.
  • the substrate processing apparatus 600 has a processing container 501 made of, for example, aluminum or the like.
  • a gate valve 527 which is opened and closed when the semiconductor substrate 101 is carried in and out is provided on a side wall of the processing vessel 501.
  • the semiconductor substrate 101 is placed on a mounting table 603 installed in the processing vessel 501.
  • the mounting table 603 is made of, for example, an aluminum compound such as aluminum nitride, and extends from the upper inner wall of a cylindrical partition wall 513 rising from the bottom of the processing vessel 501 (see FIG. 3). Of which only two are shown).
  • a plurality of heating lamps 608 serving as heating means and a rotary table 609 also serving as a reflecting mirror are mounted. Times The turntable 6109 is rotated by a motor 610 via a rotating shaft.
  • a heating window 606 made of a material such as quartz is provided at an upper portion of the heating chamber 607 formed so as to surround the heating lamp 608.
  • the processing vessel 501 is isolated. The heat rays radiated from the heating lamp 608 pass through the transmission window 606 and irradiate the lower surface of the mounting table 603 to heat it.
  • a plurality of, for example, three L-shaped lifter pins 505 stand upright with respect to the ring-shaped support member 506. It is provided.
  • the support member 506 is moved up and down by a push-up bar 507 provided through the bottom of the processing vessel 501, and a lifter pin hole 500 provided through the lifter pin 505 through the mounting table 603.
  • the semiconductor substrate 101 is lifted by passing it through 8.
  • the lower end of the push-up bar 507 is connected to an unillustrated actuator through a telescopic bellows 509 in order to ensure airtightness in the processing container 501.
  • a ceramic clamp ring member 511 made of, for example, aluminum nitride or the like having a substantially ring shape along the contour of the disk-shaped semiconductor substrate 101 is provided on the periphery of the mounting table 603, for example. ing.
  • the clamp ring member 511 holds the peripheral portion of the semiconductor substrate 101 and fixes it to the mounting table 633 side.
  • the clamp ring member 511 is connected to the support member 506 via a connecting rod 5122, and has a structure that moves up and down integrally with the lifter pin 505.
  • riff Tapin 5 0 5 and the connecting rod 5 1 2 is formed by a A 1 2 0 3 is a ceramic member.
  • the partition wall 5 13 on the outer peripheral side of the mounting table 6 0 3 is purged by an inert gas supplied from a gas nozzle 5 20 connected to an inert gas supply means (not shown) inside the partition wall 5 13.
  • An inert gas purge chamber 5 15 is defined. This prevents an unnecessary film from adhering to the side surface or the back surface of the substrate to be processed, the back surface of the mounting table 603, or the transmission window 606.
  • the upper end of the partition wall 5 13 is bent, for example, in an L-shape in the horizontal direction to form a bent portion 5 14.
  • the upper surface of the bent portion 5 1 4 is substantially in the same plane as the upper surface of the mounting table 6 03, and the connecting rod 5 1 2 is provided in a gap separated from the outer circumference of the mounting table 6 3 by a small distance. Has been communicated.
  • a plurality of contact protrusions 5 16 arranged at substantially equal intervals along the circumferential direction are formed on the lower surface on the inner side of the clamp ring member 5 11 1, and the semiconductor substrate 101 is formed. At the time of clamping, the lower end surface of the contact protrusion 5 16 contacts the upper surface of the peripheral portion of the semiconductor substrate 101 and presses it.
  • the inert gas in the inert gas purge chamber 5 15 is filled with the first gas purge gap 5 17 formed between the plurality of contact projections 5 16, the clamp ring member 5 11 1 and the bending section 5.
  • the gas flows out from the second gas purge gap 518 formed between the insides of the processing vessel 501.
  • a plurality of exhaust ports 5 25 communicating with the exhaust passages 5 26 are provided at the periphery of the bottom of the processing vessel 501, and the exhaust passages 5 26 are provided with a valve APC 560 having a variable conductance. , Not shown, is connected to a vacuum pump.
  • the processing container 501 can adjust the pressure inside the processing container 501 to a desired pressure by changing the conductance of the APC 560 at that time by changing the conductance of the APC 560.
  • a supply means for introducing a source gas for film formation and a tallying gas into the processing vessel 501 is provided on the ceiling of the processing vessel 501 facing the mounting table 603, a supply means for introducing a source gas for film formation and a tallying gas into the processing vessel 501 is provided. Head section 528 is provided.
  • the shower head portion 528 has a head body 529 formed into a circular box shape by, for example, aluminum, and a gas inlet 530 is provided at an upper portion of the head body 529. Is provided.
  • a gas source such as WF 6 , SiH 4 , or H 2 , which is necessary for the W film deposition process, can be controlled in flow rate through the deposition gas passage 551 through the gas inlet port 530. It is connected.
  • a number of gas injection holes 531 for discharging the gas supplied into the head body 529 to the processing space in the processing vessel 501 are provided below the head body 529. It is arranged on substantially the entire lower surface of the head body, and has a structure in which gas is released to the entire surface of the semiconductor substrate 101.
  • a diffusion plate 533 having a large number of gas dispersion holes 532 is provided in the head body 529 so that the gas can be uniformly distributed on the semiconductor substrate 101. It can be supplied.
  • cartridge heaters 534 and 535 are provided as temperature control means in the side walls of the processing vessel 501 and the side walls of the shower head section 528, respectively. The structure is such that the part in contact with is maintained at a predetermined temperature.
  • a film forming process for forming a W film on the semiconductor substrate 101 is performed in the following manner.
  • the gate valve 527 is opened, the semiconductor substrate 101 is loaded into the processing container 501 by a not-shown rail transfer arm, and the semiconductor substrate 101 is lifted by lifting the lifter pins 505 in advance. Transfer to lifter pin 505 side.
  • the lifter pin 505 is lowered by lowering the push-up bar 507, the semiconductor substrate 101 is placed on the mounting table 603, and the semiconductor substrate is further lowered by further lowering the push-up bar 507.
  • the periphery of 101 is pressed and fixed by its own weight of the clamp ring member 511.
  • the mounting table 603 is previously heated to a predetermined temperature by a heating lamp 608, and the semiconductor substrate 101 is quickly heated and maintained at a predetermined process temperature.
  • WF 6 , SiH 4 , and H 2 which are source gases necessary for film formation, are introduced from a shower head portion, and are placed on a semiconductor substrate 101 mounted on a mounting table 63. A W film is formed.
  • the W film When a W film is formed on the semiconductor substrate 101 in the substrate processing container 600, the W film also deposits on portions other than the semiconductor substrate 101. For example, a W film having substantially the same thickness as the semiconductor 101 is formed on the clamp ring member 511. Therefore, for example, the cleaning according to the present invention is performed to remove the W film deposited in the processing container 501 such as the clamp member 511.
  • the cleaning according to the present invention is performed by using a remote plasma generation unit 100 mounted on the shutter head unit 528 to which the cleaning gas passage 550 is connected.
  • FIG. 2 shows a configuration of a remote plasma generator 100 used in the substrate processing apparatus 600 of FIG.
  • a typical remote plasma generator 100 has a gas circulation passage 100a, a gas inlet 100b communicating with the gas circulation passage 100a, and a gas outlet 100c formed therein.
  • Fluororesin coating 100d is applied to the inner surfaces of gas circulation passage 100a, gas inlet 100b, and gas outlet 100c to supply high-frequency power with a frequency of, for example, 400 kHz to the coil wound around ferrite core 100B.
  • plasma 100C is formed in gas circulation passage 100a.
  • the plasma generation method according to the present invention is not limited to the high-frequency power of the above-described frequency, but can use a remote plasma generation source that performs plasma excitation in a high-frequency to microwave range of 400 kHz to 3 GHz. It is.
  • NF 3 As a cleaning gas, for example, NF 3 is introduced from 100 b and the plasma 100 C is excited, fluorine radicals are mainly contained in the gas circulation passage 100 a as reaction species that may contribute to cleaning. Fluorine ions are formed. Fluorine ions disappear when circulating in the circulation passage 100a, and fluorine radicals F * are mainly released from the gas outlet 100c.
  • F * Fluorine radicals
  • FIG. 2 by providing an ion filter 100e grounded to the gas outlet 100c, charged particles including fluorine ions are removed, and only the fluorine radicals are supplied to the processing vessel 501. Further, even when the ion filter 100e is not grounded, the structure of the ion filter 100e functions as a diffusion plate, so that charged particles including fluorine ions can be sufficiently removed.
  • the reactive species mainly contributing to the cleaning of fluorine radicals is supplied from the remote plasma generation unit 100 to the substrate processing container 501 via the shower head 528.
  • the substrate processing apparatus 60 In the following, a cleaning method for cleaning the deposits deposited in the substrate processing container 501 will be described.
  • the W film deposited in the substrate processing container is removed by performing the following cleaning.
  • Ar 1000 sccm and NF 3 10 sccm are introduced into the remote plasma generation unit 100 from the cleaning gas introduction unit 550.
  • Ar and NF 3 is supplied from the remote plasma generation unit to the processing vessel 501 via the shower head unit 528.
  • the supplied Ar and NF 3 are exhausted from the exhaust port 525 through the exhaust passage 526.At this time, the pressure in the processing vessel 501 is adjusted to 600 Pa (4.5 To rr) by the APC 560, and The plasma is excited in the plasma generator.
  • the flow rate of the gas for example, A r gas 3000 sc cm
  • the pressure in the processing vessel 501 by also APC 560 is adjusted to 5.
  • etching of the W film deposited inside the processing container 501 is started to start the cleaning process.
  • the approximately 2.5 ⁇ m W film deposited on the clamp ring member 511 in the processing container 501 can be completely removed by performing the cleaning process for 5 minutes. did it.
  • the reactive species that contributes to cleaning is mainly F 2 in the present invention. This is because the pressure inside the processing vessel 501 is 5.33 kPa (4 OTo rr), which is higher than that of the conventional method, and the frequency of collisions between F radicals generated in the remote plasma generator 100 increases. This is because F radicals repeatedly collide and most of them recombine with F 2 .
  • F 2 in which F radicals are recombined becomes dominant and mainly contributes to the etching of the W film.
  • a member made of quartz can be used. This is because it is difficult to use a quartz member with a conventional cleaning method, and thus has a cost reduction effect compared to a case where an expensive member such as a sapphire must be used.
  • a ceramic member sintered at normal pressure is used.
  • a mounting table 60 3 made of sintered A 1 N, a clamp ring member 5 11, is relates lifter pins 5 0 5 and the connecting rod 5 1 2 consisting of sintered a 1 2 0 3, the amount is small castings to be etched by F radicals as compared to quartz as described above, it is etched by F radicals
  • the compound of A1 stays inside the processing vessel 501 and becomes a part-take / re, or becomes a contaminant, thereby deteriorating the quality of a thin film formed in the processing vessel 501.
  • films formed in the substrate processing apparatus i.e. subject to film Tarinin grayed according to the invention is not limited to the W film, for example, WN, T a, T a N, T a 2 ⁇ 5, R e, R h, I r, the same effect as this embodiment can be obtained with a film, such as I r 2 0 3, S i , S i 0 2, S i N, T i, T i N, u, R uo 2 .
  • the substrate processing apparatus 600 can be changed to a substrate processing apparatus 600A shown in FIG. 3 below.
  • FIG. 3 shows a substrate processing apparatus 600A which is a modification of the substrate processing apparatus 600 shown in FIG.
  • the same reference numerals are given to the parts described above, and the description is omitted. Abbreviate.
  • a cleaning gas introduction path 55 2 communicating with the processing vessel 501 is provided on the side of the processing vessel 501, and a cleaning gas introduction path 55 is provided. 2 is provided with a remote plasma generator 100.
  • the process vessel 5 It is possible to reduce the amount of A1 compound contamination in 01.
  • FIGS. 4 and 5 show that the substrate on which the thin film was formed was placed on the mounting table 603 of the substrate processing apparatus 600, and the thickness at which the thin film was etched by the above-described cleaning step was measured. It is a result of measuring and measuring the speed at which the thin film is etched by cleaning.
  • FIG. 4 is a diagram showing the etching rate at which the thermal oxide film (S i 0 2 ) formed on the wafer is etched
  • FIG. 5 is a diagram showing the etching rate at which the thermal oxide film (S i 0 2 ) formed on the wafer is etched. 5 shows a change in the etching rate when the pressure in the substrate processing container 501 is changed.
  • the NF 3 flow rate is 230 sccm
  • the Ar flow rate is 300 sccm.
  • the remote plasma F radicals that contribute reactive species for cleaning to be fed as reduces the prevalence with increasing pressure, approximately 1333Pa (l OTo rr). In the above pressure region, there are many contact monitor F 2 become.
  • the etching rate of the W film hardly changes even when the pressure increases. This is because even if the pressure rises and the reactive species contributing to cleaning changes from F radicals to F 2, the W film is etched by F 2 in the same way as F radicals. It is shown to be maintained.
  • the etching rate against the pressure in the case of the etching rate of the thermal oxide film in FIG. 5 sharply drops in the region where the pressure is 1333 Pa (10 Torr) or more. This along with the increase in pressure, as described above, a phenomenon that becomes F 2 proceeds recombination of F the radical Le, the etching rate for the thermal oxide film F 2 occurring due to the low.
  • FIG. 6 shows the results of FIGS. 4 and 5 as a ratio of the etching rate of the thermal oxide film to the etching rate of the W film.
  • the cleaning step of this embodiment by setting the pressure in the substrate processing chamber approximately 13 33 P a (1 OTo rr ) above, so please low the etching rate of S i 0 2, with S i 0 2
  • the formed quartz member can be installed in the substrate processing container 501 and used.
  • Table 1 shows the results of an investigation of A1 residues in the substrate processing container after the cleaning step of the first example.
  • Table 1 shows that the silicon wafer was conveyed to the mounting table 603 of the substrate processing container 501 after performing the sagging step (5 minutes) in the first embodiment 20 times, and the front and back surfaces of the conveyed wafer were The results of investigation of the contamination status by the attached A1 by ICP mass spectrometry are shown.
  • the unit in this case is at omsZcm 2 .
  • A1 on the front surface of the wafer before cleaning is 1. IXion, and the backside of the wafer is 1.5 X 1 Oil, but cleaning by the conventional method (667 Pa, 5 Torr). After that, the amount of A1 increased greatly, with the wafer front surface being 2.0 X 1013 and the wafer back surface being 7.0 X 10 is. It is considered that this is because A 1 N of the mounting table 603 or the clamp ring member 511 is etched by fluorine radicals during tallying, and an aluminum compound remains in the processing container 501.
  • the wafer surface is 1.1 ⁇ 10 ⁇
  • the wafer back surface is 1.6 X 1 On
  • example embodiment consists of a sintered A 1 N table 603 and the clamp ring material 511 and sintering A 1 2 0 3 lifter pin 505 This is because the connecting rod 512 is hardly etched, and no metal contaminant is generated in the substrate processing container 501 even after performing the drilling.
  • FIGS. 7 to 10 show another embodiment of the present invention.
  • FIG. 7 shows a configuration of a substrate processing apparatus 500 to which the cleaning of the present invention can be applied.
  • a mounting table 503 which is supported by a support column 502 and in which, for example, a resistance heater 504 is embedded as a heating means, is installed.
  • the mounting table 503 is made of, for example, an aluminum alloy such as aluminum nitride, and the semiconductor wafer 101 is mounted on the mounting table 503.
  • the substrate processing apparatus 500 does not use quartz parts such as the transmission window 606.
  • the clamping ring member 5 1 also without being lifter pins 5 0 5 and the connecting rod 5 1 2 consisting of sintered A 1 2 0 3 is etched
  • the ceramic member is not A 1 N and A 1 2 0 3 two Period constant, the same effect for the other ceramic materials
  • the substrate processing apparatus 500 may be modified as shown in FIG. 8 below as a substrate processing apparatus 500A.
  • FIG. 8 shows a substrate processing apparatus 500A which is a modification of the substrate processing apparatus 500 shown in FIG.
  • the same reference numerals are given to the parts described above, and the description is omitted. '
  • a cleaning gas introduction passage '55 2 communicating with the processing vessel 501 is provided on the side of the processing vessel 501, and the cleaning is performed.
  • a remote plasma generator 100 is provided in the gas introduction path 552.
  • talling can be performed in the same manner as in the first embodiment. .
  • FIG. 9 shows the configuration of a substrate processing apparatus 300 as a seventh embodiment.
  • the substrate processing apparatus 300 has a processing container 301, and a mounting table 3 1 made of A 1 N holding a semiconductor substrate 101 is provided at the bottom of the processing container 301.
  • the mounting table 3 1 1 is supported by a plurality of mounting table supports 3 1 4 which are substantially cylindrical and are arranged equidistantly from the center of the mounting table 3 1 1.
  • Inside 11 is installed a heater 3 12 connected to Kasumihara 3 15.
  • a guide ring 313 made of sintered A 1 N for holding the semiconductor substrate 101 at the center of the mounting table 311 is mounted on the mounting table 311.
  • a shower head section 302 to which a gas introduction section 303 is connected is provided above the processing vessel 301.
  • a remote plasma generator 100 connected to a Tarry-Jung gas supply source 308 via a cleaning gas inlet passage 307 is provided above the gas inlet 303, and a gas inlet is further provided.
  • the section 303 is connected to a film formation gas introduction path 306.
  • Semiconductor substrate When a film is formed on L ⁇ 1, the raw material A gas and the raw material B gas supplied to the shower head 302 from the raw material A supply source 309 and the raw material B supply source 310 are supplied to the inside of the shower head. After being sufficiently diffused and mixed in the space 302a, the gas is supplied from the gas supply hole 302b to the processing space 301a formed in the processing container 301, and a desired thin film is formed on the semiconductor substrate 101.
  • a cleaning gas supply source when cleaning is performed, a cleaning gas supply source, a remote plasma generation source from 308, and a supply line to 100, for example, carrier gas such as NF 3 or NF 3 and Ar generate remote plasma. Reactive species required for cleaning are generated by the plasma excitation in the section 100 and supplied to the processing space 301a from the gas supply holes 302 of the shower head section 302.
  • the processing space 301a is evacuated by a vacuum pump (not shown) through an exhaust passage 316 from an exhaust port 323 provided at the bottom of the processing container 301. At this time, the processing space 301a can be adjusted to a desired pressure by the APC 317 provided in the air passage 316.
  • the pressure region of 1333 Pa (l OTo rr) or more according to the present invention for example, 53.3 kPa (4 OTo At rr), tally aging in the processing vessel 301 can be performed.
  • the exhaust port 323 of the processing container 301 is located at the center of the processing container 301, the gas introduced into the processing space 301a is uniformly exhausted around the mounting table 311. Even when the cleaning is performed, uniform cleaning can be performed in the processing container 301 without leaving a residue at a specific location.
  • quartz processing vessel of the substrate processing apparatus by the cleaning method of the present invention Ru can der to reduce the damage of members such as A 1 N, A 1 2 0 3.
  • FIG. 10 shows the configuration of a substrate processing apparatus 300A as an eighth embodiment.
  • a shower head section 318 having a gas introduction section A 319 and a gas introduction section B 320 is provided above the processing vessel 301.
  • a remote plasma generation source 100 to which a clean Jung gas supply source 308 is connected is provided on the gas introduction unit A319.
  • the gas introduction section A 319 is connected to the source A supply source 309 via the source A gas supply path 321, and the gas introduction section B 320 is connected to the source B supply source 310 via the source B gas supply path 322. I have.
  • the raw material A gas supplied from the raw material A supply source is sufficiently diffused in the raw material A gas diffusion chamber 318 e formed inside the shower head section 318, and then is supplied through the raw material A gas transport path 318 f.
  • the raw material A is supplied to the processing space 301a substantially uniformly from a plurality of gas supply holes 318g communicating with the processing space 301a from the diffusion chamber 318e.
  • the raw material B gas supplied from the raw material B supply source 310 is supplied from the raw material B gas introduction path 318 a formed inside the shower head section 318 to the raw material B diffusion chamber via the raw material B gas transport path 318 b. After sufficiently diffusing at 318c, the raw material B gas is supplied from the gas diffusion chamber 318c to the processing space 301a through a plurality of gas supply holes 318d communicating with the processing space 301a.
  • the raw material A and the raw material B used for film formation do not mix inside the shower head section 318 but mix in the processing space 301 a.
  • a mixed type gas can be introduced, and a desired film formation process can be performed by performing a gas mixture using a source-gas A and a source B-gas.
  • a pressure region of 1333 Pa (10 Torr) or more according to the present invention for example, 53.3 kPa (40 T orr In)
  • Ru chestnut one Jung method such as quartz in the processing vessel of the substrate processing apparatus by, it can der to reduce the damage of members such as A 1 N, A 1 2 0 3 of the present invention.
  • the substrate processing apparatus 600, 600, 500, 500, 300, and 300 is used as a cluster tool apparatus 70, which can perform continuous processing. It may be applied to 0.
  • the cluster tool device 700 shown in FIG. 11 has, at the center thereof, a common transfer chamber 700 for transferring, for example, a semiconductor substrate 101 formed in an octagonal container shape of, for example, aluminum.
  • a common transfer chamber 700 for transferring, for example, a semiconductor substrate 101 formed in an octagonal container shape of, for example, aluminum.
  • the 709 force is connected via gate valves G1 to G8 which can be opened and closed, respectively.
  • the minute removal chamber 704 is a processing chamber for heating the semiconductor substrate to remove moisture and the like adhering to the surface, if necessary.
  • the cooling processing chamber is a processing chamber for cooling the semiconductor substrate to a temperature at which it can be handled, if necessary.
  • a cassette 711 which can store 25 substrates, can be stored.
  • Gate doors GD1 and GD2 for loading and unloading are respectively provided in the cassette chambers 72 and 703 so as to be openable and closable, and a cassette table (not shown) is provided so as to be able to move up and down.
  • the cassette chambers 720 and 703 can be supplied with an inert gas such as N 2 gas and evacuated.
  • the common transfer chamber 700 there are a rotary position determining mechanism 721, which positions the board taken in, and a multi-joint arm mechanism, which can be bent, stretched and rotated while holding the board.
  • a transfer arm 722 is arranged, and the substrate is loaded and unloaded between processing chambers by bending and rotating the transfer arm.
  • the common transfer chamber 700 is also capable of supplying an inert gas, for example, N 2 gas, and being evacuated.
  • An airtight box 730 is provided around each processing chamber so as to surround the individual processing chambers, so that the processing gas does not leak to the surroundings. Is provided with an exhaust duct (not shown) to exhaust the inside of the hermetic bottus 70.
  • a third processing chamber 707 is provided with a substrate processing apparatus 6. It is possible to apply any of 00, 600 OA, 500, 500 OA, 300 and 300 A. In that case, as necessary, the first processing chamber 705, the second processing chamber 706, and the fourth processing chamber 708, the film forming processing performed in the third processing chamber Pre-processing or post-processing. Note that the processing steps are not limited to those described above, and the application of the substrate processing apparatus 600, 60, OA, 500, 500, 300, and 30 OA may be applied as necessary. Any of the first to fourth substrate processing chambers can be changed as necessary, and the combination of pre-processing and post-processing can be arbitrarily changed.
  • the first force setting chamber 702 is opened. Seal and vacuum. Thereafter, the gate valve G1 is opened, the transfer arm 722 in the common transfer chamber 701, which has been evacuated in advance, is extended, and one unprocessed semiconductor substrate 101 is taken out.
  • the semiconductor substrate 101 is positioned by the rotary positioning mechanism 7 2 1.
  • the semiconductor substrate 101 after positioning is transported again to the moisture removal chamber 704 via the gate valve G3 which is open using the transfer arm 722, and the semiconductor substrate 101 is removed here. By heating, moisture or the like adhering to the surface of the semiconductor substrate 101 is vaporized and removed. This water removal treatment may be performed as necessary, and if not necessary, the process may be shifted to the next step without performing this step.
  • the semiconductor substrate 101 is transferred to the third substrate processing chamber 707 via the gate valve G6, and is transferred to the third substrate processing chamber 707 to perform a desired film forming process.
  • a desired film forming process is performed in the third processing chamber.
  • the gate valve G6 is opened, the semiconductor substrate 101 is taken out using the transfer arm 722, and introduced into the cooling chamber 709 via the gate valve G8 opened. Here, it is cooled to a predetermined handling temperature, and The processed semiconductor substrate 101 is accommodated in the cassette 71 1 in the second cassette room 73 3 via the gout pulp G 2.
  • the pretreatment of the film forming process in the third processing chamber 707 may be performed, if necessary, in the first processing chamber 705, the second processing chamber 706, and the fourth processing chamber 706. It can be performed in any of the processing rooms 708.
  • the post-treatment of the film forming process in the third processing chamber 707 may be performed in the first processing chamber 705, the second processing chamber 706, and the fourth processing chamber 708. Can be done with either
  • the unprocessed semiconductor substrate can be sequentially and continuously processed by the cluster tool device 700.
  • the method of performing the cleaning according to the present invention in the third processing chamber 707 may be performed, for example, after the film forming process in the third processing chamber 707 has been completed 25 times, that is, the semiconductor substrate is removed.
  • the cleaning according to the present invention can be performed, for example, once in the third processing chamber 707, that is, each time one semiconductor substrate is processed, and further, for example, in the third processing chamber 707.
  • the number of film forming processes before the cleaning is performed can be freely changed according to the thickness of the film formed in the film forming process, the conditions, and the like.
  • quartz processing vessel board processor by the cleaning method of the present invention can reduce the damage of members such as A 1 N, A 1 2 0 3 is there.
  • the target film of the tallying according to the present invention is described in the present embodiment not only for the W film as described above, but also for other metals, metal nitrides, metal oxides, silicon and silicon compounds. It has the same effect as the case that, specifically, WN, T a, T a N, T a 2 0 5, R e, R h, I r, I r 2 0 3) S i, S i 0 2, S i N, T i , T i N, R u, it is possible to apply the cleaning of such R u 0 2, to obtain the same effect as that shown in the examples described above be able to.
  • a plasma excitation method for generating radicals contributing to cleaning Is not limited to the method described in the present embodiment.
  • the frequency of the high-frequency power is used in the range of 400 kHz to 3 GHz, the frequency is similar to that described in the present embodiment.
  • An effect can be obtained.
  • the cleaning method according to the present invention can be used in a substrate processing apparatus that forms a film on a substrate to be processed, such as a semiconductor substrate, for example. Suitable for removing objects.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Public Health (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本発明は、基板処理容器内の部材のダメージを低減した基板処理装置のクリーニング方法を提供することを目的とする。 本発明に従った被処理基板を処理する基板処理装置の基板処理容器をクリーニングするクリーニング方法は、基板処理装置に設置されたリモートプラズマ発生部にガスを導入するガス導入工程;リモートプラズマ発生部によってガスを励起して反応種を生成する反応種生成工程;反応種を前記リモートプラズマ発生部から処理容器に供給すると共に、処理容器内の圧力を1333Pa以上の状態とする反応工程;から構成される。  

Description

基板処理容器のタリーニング方法 技術分野
本発明は、 基板処理装置の基板処理容器のクリーユング方法に関し、 更にはリ モートプラズマによる成膜装置のクリーニング方法に関する。 背景技術
被処理基板に成膜を行う基板処理装置、 例えば C VD (化学気相堆積) 装置な どにおレ、ては基板処理容器内に被処理基板を载置して所定の成膜を行う。 被処理 基板上に形成される薄膜の例は多数あるが、 前記基板処理容器內におレ、てはその 内壁や、 もしくは基板保持台など当該基板処理容器内の部材にも成膜処理による 薄膜が付着して堆積物となる。 このようにして付着した前記堆積物は、 前記基板 処理装置による成膜が繰り返されると IU¥が増大し、 やがては剥離してしまう。 剥離した当該堆積物は前記基板処理容器内を浮遊し、 前記したような成膜の工程 中に被処理基板に形成される薄膜中に取り込まれ、 当該薄膜の膜質を劣化させる 問題が生じる。
そのため、 前記したような堆積物を基板処理容器から除去する方法に関してク リ一-ング方法が提案されている(例えば、特開平 1 0— 1 4 9 9 8 9号参照。)。 それによると、 クリ一二ングのために基板処理容器の外にフッ素ラジカルを生成 するためのリモートプラズマ発生部を設け、 2 . 4 5 GH zのマイクロ波によつ て N F3 を励起してフッ素ラジカルを生成し、 当該フッ素ラジカルを基板処理容 器に導入することによつて前記したような堆積物を気化させ、 当該基板処理容器 の外へと排出する方法が開示されている。 発明の開示
し力 し、 特開平 1 0— 1 4 9 9 8 9号に開示されたクリーニング方法では、 ク リーユングのための反応種におもにフッ素ラジカル (F *) を用いているため、 例えば基板処理容器内部に石英部材などがあった場合は当該フッ素ラジカルで当 該石英部材がェツチングされてしまうとレヽぅ問題があった。 さらに、 当該基板処 理容器内部に A 1 N, A 1 203などのセラミック部材を用いた場合、前記した石 英部材の場合に比べてエッチング量は少ないものの、 当該フッ素ラジカルが大量 に当該基板処理容器内に導入されるため、 当該セラミック部材が当該フッ素ラジ カルによりエッチングされて、 例えばアルミの化合物などが形成され、 当該基板 処理容器内に残留して、それが成膜工程において形成される薄膜中にとりこまれ、 膜中汚染として当該薄膜の膜質を低下させてしまう可能性が懸念された。
そこで、 本発明においては上記の問題を解決した、 新規で有用な基板処理装置 のタリ一二ング方法を提供することを統括的目的とする。 '
本発明の具体的な課題は、 Fラジカルを再結合させた F2 をおもなタリーニン グの反応種に用いることで、 従来の Fラジカルをおもに用いたクリーニング方法 にみられた Fラジカルによる基板処理容器内の部材のダメージを低減したタリー ユング方法を提供することである。
上記の目的及び課題は、 以下に説明する方法によって解決される。
本発明の一特徴に従った、 被処理基板を処理する基板処理装置の基板処理容器 をタリ一ユングするクリ一二ング方法は、
前記基板処理装置に設置されたリモートプラズマ発生部にガスを導入するガス 導入工程;
前記リモートブラズマ発生部によつて前記ガスを励起して反応種を生成する反 応種生成工程;
前記反応種を前記リモートブラズマ発生部から前記処理容器に供給すると共に、 前記処理容器内の圧力を 1 3 3 3 P a以上の状態とする反応工程;
から構成される。
さらに、 前記リモートブラズマ発生部が前記ガスを高周波で励起するように構 成してもよい。
前記高周波の周波数を 4 0 0 k H z〜3 GH zにすることができる。
前記ガスがフッ素化合物を含むガスでもよい。 前記ガスを CF4, C2F6, C3F8, SF6, NF3からなる群より選ぶことができ る。
前記反応種は Fラジカルが再結合した F 2を含んでもよレ、。
前記反応工程にぉレ、て前記反応種によつて前記処理容器内部の露出部分に堆積 した堆積物を除去することができる。
前記堆積物が金属、 金属窒化物、 金属酸化物、 珪素および珪素化合物のいずれ かを含んでよい。
前記堆積物を、 W, WN, Ta, TaN, T a2Os, Re, Rh, I r, I r23, S i, S i02, S iN, T i, T i N, Ru, R u 02からなる群より選ぶ ことができる。
前記露出部分が石英からなる部材を含んでもよい。
前記露出部分が A 1203の焼結材料からなる部材を含んでもよい。
前記露出部分が A 1 Nの焼結材料からなる部材を含んでもよい。
m
本発明の一態様に従えば、 基板処理装置のクリーニングにおいて、 従来用いら れていたフッ素ラジカル (F*) をおもな反応種としたクリーニングに変わって、 当該フッ素ラジカルを再結合させたフッ素分子 (F2)をおもな反応種としてクリ 一ユングを行った。 その結果、 Fラジカルによる基板処理容器内の部材、 例えば 石英のダメージを低減して、 従来は基板処理容器内の部材としては用いることが できなかった石英部材を使用することが可能になった。 更に A 1 N, A 1203な どが Fラジカルによってエッチングされることが原因で発生する、 薄膜への汚染 を低減することが可能となり、 高品質な薄 «成が可能となった。 図面の簡単な説明
図 1は、 本発明の実施例に従ったクリ一ユングを実施可能な基板処理装置の構 成図 (その 1) である。
図 2は、 リモートプラズマ発生源の概略を示す図である。
図 3は、 本発明の実施例に従ったクリ一二ングを実施可能な基板処理装置の構 成図 (その 2) である。 図 4は、 本発明の実施例によるクリーニング速度を示す図 (その 1 ) である。 図 5は、 本発明の実施例によるクリーニング速度を示す図 (その 2 ) である。 図 6は、 本宪明の実施例によるクリ一二ング速度の比を示す図である。
図 7は、 本発明の実施例に従ったクリーニングを実施可能な基板処理装置の構 成図 (その 3 ) である。
図 8は、 本発明の実施例に従ったクリ一二ングを実施可能な基板処理装置の構 成図 (その 4 ) である。
図 9は、 本発明の実施例に従ったクリ一二ングを実施可能な基板処理装置の構 成図 (その 5 ) である。
図 1 0は、 本発明の実施例に従ったクリーニングを実施可能な基板処理装置の 構成図 (その 6 ) である。
図 1 1は、 本発明の実施例に従つ ftクリーニングを実施可能なクラスタツール 装置の構成図である。 発明を実施するための最良の形態
以下に本発明の実施例に関して図面に基づき、 説明する。
[第 1実施例]
図 1は、 本発明の実施例に従った、 クリーニングを実施可能な基板処理装置 6 0 0の構成を示す図である。
図 1を参照するに、 基板処理装置 6 0 0は、 例えばアルミニウムなどからなる 処理容器 5 0 1を有している。 処理容器 5 0 1の側壁には半導体基板 1 0 1を搬 出入する際に開閉されるゲートバルブ 5 2 7が設けられる。
半導体基板 1 0 1は、 処理容器 5 0 1内に設置される载置台 6 0 3に載置され る。 载置台 6 0 3は、 例えば窒化アルミニウムなどのアルミニウム化合物などか らなり、 処理容器 5 0 1の底部から立ち上がる円筒形状の例えばアルミニウム製 の区画壁 5 1 3の上部内壁から延びる 3本 (本図中では 2本のみ示す) の支持ァ ーム 6 0 4により、 支持されている。
また、 処理容器 5 0 1底部の载置台 6 0 3の直下には、 加熱手段である複数個 の加熱ランプ 6 0 8力 S、 反射鏡も兼ねる回転台 6 0 9に取り付けられている。 回 転台 6 0 9は回転軸を介してモータ 6 1 0により回転される。
また、 加熱ランプ 6 0 8を囲むように形成された加熱室 6 0 7の上部には、 例 えば石英などの熱線 ¾ 材料よりなる ¾i 窓 6 0 6が設けられ、 カロ熱室 6 0 7と 処理容器 5 0 1を隔絶している。 加熱ランプ 6 0 8力ら放射される熱線は、 透過 窓 6 0 6を透過して載置台 6 0 3の下面を照射してこれを加熱する。
載置台 6 0 3の下方には、複数本、例えば 3本の L字状のリフタピン 5 0 5 (本 図中では 2本のみ示す) がリング状の支持部材 5 0 6に対して上方へ起立させて 設けられている。 支持部材 5 0 6は、 処理容器 5 0 1底部に貫通して設けられた 押し上げ棒 5 0 7により上下動し、 リフタピン 5 0 5を载置台 6 0 3に貫通させ て設けたリフタピン穴 5 0 8に揷通させて半導体基板 1 0 1を持ち上げる。 押し上げ棒 5 0 7の下端は、 処理容器 5 0 1内の気密を確保するために伸縮可 能なベローズ 5 0 9を介して図示しないァクチユエータに接続されている。 また、 载置台 6 0 3の周縁部には、 例えば円盤状の半導体基板 1 0 1の輪郭形 状に沿った略リング状の例えば窒化アルミニゥムなどのセラミック製のクランプ リング部材 5 1 1が設けられている。 クランプリング部材 5 1 1は、 半導体基板 1 0 1の周縁部を保持してこれを載置台 6 0 3側へ固定する。
クランプリング部材 5 1 1は、 連結棒 5 1 2を介して支持部材 5 0 6に連結さ れており、 リフタピン 5 0 5と一体的に昇降する構造となっている。 なお、 リフ タピン 5 0 5と連結棒 5 1 2はセラミック部材である A 1 203などにより形成さ れている。
载置台 6 0 3の外周側の区画壁 5 1 3は、 区画壁 5 1 3の内側に、 図示しない 不活性ガス供給手段が接続されたガスノズル 5 2 0から供給される不活性ガスに よりパージされる不活性ガスパージ室 5 1 5を画成する。 これにより、 処理対象 の基板の側面や裏面、 載置台 6 0 3裏面、 もしくは透過窓 6 0 6などに不要な膜 が付着することを防止している。
区画壁 5 1 3上端は例えば L字状に水平方向へ屈曲させて屈曲部 5 1 4を形成 している。 屈曲部 5 1 4の上面は、 载置台 6 0 3の上面と実質的に同一の平面状 にあり、 載置台 6 0 3の外周よりも僅かな距離だけ離間された隙間に連結棒 5 1 2が揷通されている。 . また、 クランプリング部材 5 1 1の内週側の下面には、 周方向にそって、 略等 間隔で配置された複数の接触突起 5 1 6が形成されており、 半導体基板 1 0 1を クランプする際には、 接触突起 5 1 6の下端面が半導体基板 1 0 1の周縁部の上 面と当接してこれを押下する構造となっている。
また、 不活性ガスパージ室 5 1 5内の不活性ガスは、 複数の接触突起 5 1 6の 間に形成される第 1ガスパージ用間隙 5 1 7と、 クランプリング部材 5 1 1と屈 曲部 5. 1 4の間に形成される第 2ガスパージ用間隙 5 1 8から処理容器 5 0 1内 部に流出する。
さらに、 処理容器 5 0 1底部の周縁部には排気通路 5 2 6に連通した複数の排 気口 5 2 5が設けられ、 排気通路 5 2 6は、 コンダクタンスが可変であるバルブ A P C 5 6 0を介して図示しなレ、真空ポンプに接続される。 処理容器 5 0 1は、 お気通路 5 2 6を介して 気される力 その際に A P C 5 6 0のコンダクタンス を変化させることで、 処理容器 5 0 1内を所望の圧力に調整することができる。 一方、 载置台 6 0 3と対向する処理容器 5 0 1の天井部には成膜の原料ガスや タリ一二ングガスなどを処理容器 5 0 1内へ導入するための供給手段としてシャ ヮ一へッド部 5 2 8が設けられている。 シャワーへッド部 5 2 8は、 例えばアル ミニゥムなどにより円形箱状に成形されたへッド本体 5 2 9を有し、 へッド本体 5 2 9の上部にはガス導入口 5 3 0が設けられている。 ガス導入口 5 3 0には、 成膜ガス通路 5 5 1を介して、 例えば W膜の成膜処理に必要な WF 6, S i H4, H2などのガス源が、 流量制御可能に接続されている。
へッド本体 5 2 9の下部には、 へッド本体 5 2 9内へ供給されたガスを処理容 器 5 0 1内の処理空間へ放出するための多数のガス噴射孔 5 3 1がへッド本体の 下側面内の略全体に配置されており、 半導体基板 1 0 1表面全体にガスを放出す る構造となっている。 また、 必要に応じ、 ヘッド本体 5 2 9内には、 多数のガス 分散孔 5 3 2を有する拡散板 5 3 3が配設されており、 半導体基板 1 0 1上によ り均一にガスを供給できるようになっている。 また、 処理容器 5 0 1の側壁内お ょぴシャワーへッド部 5 2 8の側壁内には各々温度調整手段としてカートリッジ ヒータ 5 3 4、 5 3 5が設けられており、 成膜原料ガスと接触する部分を所定の 温度に保持できる構造となっている。 基板処理装置 6 0 0を用いて、 例えば W膜を半導体基板 1 0 1上に成膜する成 膜処理は以下の要領で行う。
まず、 ゲートバルブ 5 2 7を開いて図示しなレヽ搬送アームにより処理容器 5 0 1内に半導体基板 1 0 1を搬入し、 前期リフタピン 5 0 5を押し上げることによ り半導体基板 1 0 1をリフタピン 5 0 5側に受け渡す。
次にリフタピン 5 0 5を、 押し上げ棒 5 0 7を下げることによって降下させ、 半導体基板 1 0 1を載置台 6 0 3上に載置すると共に、 さらに押し上げ棒 5 0 7 を下げることによって半導体基板 1 0 1の周縁部をクランプリング部材 5 1 1の 自重でこれを押圧して固定する。 なお、 載置台 6 0 3は、 加熱ランプ 6 0 8によ り予め所定の温度に加熱しておき、 半導体基板 1 0 1を速やかに所定のプロセス 温度に昇温 ·維持する。
次に、 シャワーヘッド部から成膜に必要な原料ガスである、 WF6, S i H4, H2を導入して、 載置台 6 0 3上に載置された半導体基板 1 0 1上に W膜を形成 する。
基板処理容器 6 0 0におレヽて半導体基板 1 0 1に W膜が形成される際に、 半導 体基板 1 0 1以外の部分にも W膜が堆積する。 例えば、 クランプリング部材 5 1 1には、 半導体 ¾¾ 1 0 1と略同一の厚さの W膜が成膜される。 そこで、 例えば クランプ部材 5 1 1など処理容器 5 0 1内に堆積した W膜を除去するために、 本 発明によるクリーニングを行う。
本発明によるクリーニングは、 クリーニングガス通路 5 5 0が接続されてシャ ヮ一へッド部 5 2 8の上に載置されたリモートプラズマ発生部 1 0 0を用いて行 5 o
ここでリモートブラズマ発生部 1 0 0の構造を以下図 2に示す。
図 2は、 図 1の基板処理装置 6 0 0において使用されるリモートプラズマ発生 咅 1 0 0の構成を示す。
図 2を参照するに、 リモートブラズマ発生部 1 0 0は、 内部にガス循環通路 1 0 0 aとこれに連通したガス入り口 1 0 0 bおよびガス出口 1 0 0 cを形成され た、 典型的にはアルミニウムよりなるブロック 1 0 O Aを含み、 ブロック 1 0 0 Aの一部にはフェライトコア 1 0 0 Bが形成されている。 δ ガス循環通路 100 aおよびガス入り口 100b、 ガス出口 100 cの内面に はフッ素樹脂コーティング 100 dが施され、 フェライトコア 100Bに卷回さ れたコイルに周波数が例えば 400 kHzの高周波電力を供給することにより、 ガス循環通路 100 a内にプラズマ 100 Cが形成される。
本発明によるプラズマ発生方法は、 上記の周波数の高周波電力に限定されるも のではなく、 400 kHz〜3 GHzの高周波〜マイクロ波の領域においてプラ ズマ励起を行うリモートプラズマ発生源を用いることが可能である。
クリ一二ングガス、 例えば NF3が 100 bから導入され、 プラズマ 100 C が励起されるに伴って、 ガス循環通路 100 a中にはおもにクリーニングに寄与 する可能性がある反応種として、 フッ素ラジカルとフッ素イオンが形成される。 フッ素イオンは循環通路 100 aを循環する際に消滅し、 ガス出口 100 cか らはおもにフッ素ラジカル F*が放出される。さらに図 2の構成ではガス出口 10 0 cに接地されたイオンフィルタ 100 eを設けることにより、 フッ素イオンを はじめとする荷電粒子が除去され、 処理容器 501にはフッ素ラジカルのみが供 給される。 また、 イオンフィルタ 100 eを接地させない場合においても、 ィォ ンフイノレタ 100 eの構造は拡散板として作用するため、 十分にフッ素イオンを はじめとする荷電粒子を除去することができる。
このようにして、 リモートプラズマ発生部 100からはフッ素ラジカルを主と するクリ一ユングに寄与する反応種が、 シャワーへッド部 528を介して基板処 理容器 501に供給される。
次に、 基板処理装置 60。において、 基板処理容器 501内に堆積した堆積物 をクリーニングするクリーニング方法について説明する。
基板処理容器 600による半導体基板 101上への W膜の形成の場合、 例えば 1枚の半導体基板に、 およそ 100 nmの W膜が形成される場合、 例えば 25枚 の半導体基板に対して W膜の形成を繰り返すと、 クランプリング 511にはおよ そ 2. 5u mの W膜が堆積する。 そこで、 本実施例では以下に示すクリ一二ング を行つて、 基板処理容器中に堆積した W膜の除去を行う。
まず、リモートプラズマ発生部 100に、クリ一二ングガス導入部 550より、 Ar 1000 s c cmおよび NF310 s c cmを導入する。当該 Arおよび NF 3は、 リモートプラズマ発生部からシャワーへッド部 528を介して処理容器 5 01に供給される。 供給された Arおよび NF3は、 排気口 525から排気通路 526を介して排気されるが、 その際に APC560によって処理容器 501内 の圧力は 600 P a (4. 5To r r) に調整され、 リモートプラズマ発生部に おいてプラズマが励起される。
次にガスの流量が、 例えば A rガス 3000 s c cm、 NF3ガス 210 s c cmに増カロされ、 処理容器 501内の圧力も APC 560によって 5. 33 k P a (4 OTo r r) に調整され、 処理容器 501内部に堆積した W膜のエツチン グを開始してクリーニング工程がスタートする。
本実施例の場合、 上述のように、 例えば処理容器 501内のクランプリング部 材 511に堆積したおよそ 2. 5umのW膜を、 5分間の当該クリーニング工程 を行うことで完全に除去することができた。
また、 クリーニングに寄与する当該反応種としては、本発明の場合、 おもに F2 である。 これは、 APCによって処理容器 501内の圧力が 5. 33 kP a (4 OTo r r) と従来の方法にくらべて高く、 リモートプラズマ発生部 100にて 生成される Fラジカル同士の衝突頻度が高くなり、 Fラジカルは衝突を繰り返し てそのほとんどが F2に再結合してしまうためである。
その結果、 クリ一ユングの反応種としては Fラジカルが再結合した F2が支配 的となり、 おもに W膜のエッチングに寄与することになる。
従来の、たとえば Fラジカルを多用するクリ一ユングでは処理容器内の部材に、 例えば石英を使うことは、 ラジカルによるエッチングレートが非常に高いため に困難であった。 し力 し、 本実施例ではクリーニングの反応種に F2を用いてク リ一二ング対象物である W膜をェツチングすることで、 クリ一ユングの対象物で ある W膜に対する当該石英のェッチングレートを低く抑えることが可能となった。 例えば、 窓 606の周囲は、 上述のように、 ガスノズル 520より供給さ れる不活性ガスによってパージが行われているが、 Fラジカルを用いた従来のク リーニング方法では、 完全に Fラジカルを透過窓 606の周囲より排出するのは 困難であり、 そのため、 石英からなる透過窓 606がエッチングされてダメージ を受けることは避けられなかった。 本実施例では、 上述のようにクリ一ユングの反応種におもに F 2を用いたため に石英部材に対するダメージを低減し、 石英部材からなる透過窓 6 0 6を処理容 器 5 0 1内部に設置することが可能となった。
また、例えば基板処理容器 5 0 1を観察する窓を、基板処理容器に設ける際に、 石英からなる部材を用いることが可能になる。 これは、 従来のクリーニング方法 では石英部材を用いることが困難であるため、 たとえばサフアイァなどの高価な 部材を使用しなければならなレヽ場合と比べて、 コストダウン効果がある。
さらに、 例えば従来のクリ一ユング法では、 常圧で焼結されたセラミック部材 の類、 本実施例の場合、 焼結 A 1 Nからなる載置台 6 0 3、 クランプリング部材 5 1 1、 また焼結 A 1 203からなるリフタピン 5 0 5および連結棒 5 1 2に関し ては、 上述のような石英に比較して Fラジカルによるエッチングされる量は少な いものの、 Fラジカルによってエッチングされて、 A 1の化合物が処理容器 5 0 1内部にとどまって、 パーテイク/レとなったり、 また汚染物質となって処理容器 5 0 1内で形成される薄膜の膜質を低下させる懸念があった。
し力 しおもに F2を用いた本実施例のクリ一二ングでは、 上述のような焼結 A 1 Nおよび A 1 203がほとんどエッチングされることが無く、 クリーニングを行 つた後も基板処理容器 5 0 1内に金属汚染物質が発生することが無い。 またセラ ミック部材は A 1 Nおよび A 1 203に限定されず、 他のセラミック材料に関して も同様の効果がある。
また、 基板処理装置において成膜される膜、 すなわち本発明によるタリーニン グの対象となる膜は W膜に限らず、 例えば、 WN, T a, T a N, T a 25, R e, R h , I r , I r 203, S i , S i 02, S i N, T i , T i N, u , R u o2などの膜でも本実施例と同様の効果が得られる。
[第 2実施例] '
また、 基板処理装置 6 0 0は、 以下図 3に示す基板処理装置 6 0 0 Aのように 変更することも可能である。
図 3は、 図 1で示した基板処理装置 6 0 0の変更例である基板処理装置 6 0 0 Aである。 ただし図中、 先に説明した部分には同一の参照符号を付し、 説明を省 略する。
図 3を参照するに、.基板処理装置 6 0 O Aでは、 処理容器 5 0 1側部に、 処理 容器 5 0 1に連通するクリーニングガス導入路 5 5 2が設けられ、 クリーニング ガス導入路 5 5 2にはリモートプラズマ発生部 1 0 0が設置されている。
本実施例の場合も、 第 1実施例の場合と同様に、 シャワーヘッド部 5 2 8に接 続した、 図示しない成膜ガス供給源から供給される、 WF6, H2, S i H4によつ て、 半導体基板 1 0 1上に W膜の成膜を行うことが可能である。
また、 クリーニングガス導入路 5 5 2に接続した図示しないガス供給源から供 給される、 NF3および A rによって、 第 1実施例の場合と同じ方法でタリー二 ングを行うことが可能である。
本実施例の場合も、 第 1実施例の場合と同様に、 石英からなる透過窓 6 0 6の ダメージを低減することができる。
また、 A 1 Nからなるクランプリング部材 5 1 1および載置台 6 0 3や、 A 1 2 〇3からなるリフタピン 5 0 5および連結棒 5 1 2のエッチングによるダメージ を低減して、処理容器 5 0 1内の A 1化合物汚染量を低減することが可能である。
[第 3実施例]
次に、 第 3実施例として、 基板処理装置 6 0 0によるクリ一エングの速度の測 定結果を以下の図 4および図 5に基づき、 説明する。
図 4およぴ図 5は、 基板処理装置 6 0 0の載置台 6 0 3に、 薄膜が形成された ゥヱハを载置して、 上述のクリーニング工程により、 当該薄膜がエッチングされ た厚さを測定してクリーニングによつて当該薄膜がェツチングされる速度を測定 した結果である。
図 4はウェハ上に形成された W膜力 S、図 5はウェハ上に形成された熱酸化膜(S i 02) がエッチングされるエッチングレートを示した図であり、横軸に圧力をと り、 基板処理容器 5 0 1内の圧力を変化させた場合のエッチングレートの変化を 示している。 なお、 この場合の N F3流量は 2 3 0 s c c m、 A r流量は 3 0 0 0 s c c mである。
第 1実施例の説明にて上述したように、 リモートブラズマ癸生部 1 0 0より供 給されるクリーニングに寄与する反応種である Fラジカルは、 圧力の増加と共に 存在率が減少し、 およそ 1333Pa (l OTo r r).以上の圧力領域では、 お もに F2が多く存在するようになる。
図 4を参照するに、 W膜のエッチングレートは、 圧力が上昇してもほとんど変 化しない。 これは圧力が上昇してクリーニングに寄与する反応種が Fラジカルか ら F 2に変化しても W膜が F 2によっても Fラジカルの場合と同様にェツチングさ れ、 クリーニングの が圧力によらず維持されることを示している。
また、 図 5の熱酸化膜のエッチングレートの場合の圧力に対するエッチングレ 一トをみると、 圧力が 1333Pa (l OTo r r) 以上の領域で急激にエッチ ングレートが下降している。 これは上述したように圧力の上昇に伴い、 Fラジカ ルの再結合が進んで F 2となり、 F 2の熱酸化膜に対するエッチングレートが低い ために生じている現象である。
図 6は、 図 4〜 5の結果を、 W膜のェッチングレートに対する熱酸化膜のェッ チングレートの比で表したものである。
図 6を参照するに、 基板処理容器 501の圧力が上昇するに従レ、、 W膜のエツ チングレートに対する熱酸化膜のェツチングレートの比が減少してレ、ることがわ かる。
本実施例のクリーニング工程においては、 基板処理容器内の圧力をおよそ 13 33 P a (1 OTo r r ) 以上とすることで、 S i 02のエッチングレートを低 下させて、 S i 02で形成された石英の部材を基板処理容器 501内部に設置し て使用することが可能となる。
[第 4実施例]
次に、 第 4実施例として、 第 1実施例のクリーニング工程実施後の基板処理容 器内の A 1残留物を調査した結果を表 1に示す。
表 1 ウェハ表面 ウェハ裏面 クリーニング前 1. 1 X 1011 1.5X 1011 従来方法 (5Το»τ)クリーニング後 2.0X1013 7. Ox 1013 本実施例 (40Torr)クリーニング後 1. 1 X 1011 1.6x 1011
表 1は、 第 1実施例におけるタリ一二ング工程 ( 5分間) を 20回実施後に、 基板処理容器 501の载置台 603に S iゥヱハを搬送して、 搬送されたウェハ の表面および裏面に付着した A 1による汚染状況を、 I C P質量分析方法にて調 査した結果を示す。 この場合の単位は a t omsZcm2である。
また、 比較のため、 クリーニング実施前の調査結果および従来例である処理容 器 501の圧力が低い、 667Pa (5To r r) でのクリーニング実施後の結 果も併せて示す。
表 1を参照するに、 クリーニング前のウェハ表面の A 1は 1. IX i onであ り、 ウェハ裏面は 1. 5 X 1 Oilであるが、 従来法 (667 P a、 5To r r) によるクリーニング後はウェハ表面が 2. 0 X 1013、 ウェハ裏面が 7. 0 X 1 0 isと非常に A 1の量が増加している。 これは、 载置台 603またはクランプリ ング部材 511の A 1 Nが、 タリーエング時にフッ素ラジカルによってエツチン グされ、 アルミの化合物が処理容器 501内に残留するためと考えられる。 一方、本発明のクリ一二ング方法(5. 33kPa、 40To r r) の場合は、 ウェハ表面が 1. 1 Χ 10ΐι、 ウェハ裏面が 1. 6 X 1 Onと、 上述したタリー 二ング前の場合の値とほとんど変わらない値を示している。
これは、 上述したように、 おもに F2を用いた本実施例のクリーニングでは、 例 えば焼結 A 1 Nからなる載置台 603やクランプリング材料 511および焼結 A 1203からなるリフタピン 505および連結棒 512がほとんどエッチングされ ることが無く、 タリ一二ングを行った後も基板処理容器 501内に金属汚染物質 が発生することが無いためである。
次に、 以下図 7〜: L 0において、 本発明の別の実施例を示す。 [第 5実施例]
次に、 以下図 7〜 1 0において、 本発明の別の実施例を示す。
図 7には、 本発明のクリ一ユングが適用可能な基板処理装置 5 0 0の構成を示 す。ただし図中、先に説明した部分には同一の参照符号を付し、説明を省略する。 図 7を参照するに、 処理容器 5 0 1內底部には、 支柱 5 0 2により支持され、 内部に加熱手段として例えば抵抗ヒータ 5 0 4が埋設されている載置台 5 0 3が 設置されている。 載置台 5 0 3は、 例えば窒ィ匕アルミニウムなどのアルミニウム ィ匕合物よりなり、 载置台 5 0 3上には、 半導体ウェハ 1 0 1が載置される。 基板処理装置 5 0 0においては、 基板処理装置 6 0 0の場合と異なり、 透過窓 6 0 6のような石英部品は使用されていない。 しかし、 例えば基板処理容器 5 0 1を観察する窓を、 St反処理容器に設ける際に、 石英からなる部材を用いること が可能になる。 これは、 従来のクリ一二ング方法では石英部材を用いることが困 難であるため、 たとえばサファイアなどの高価な部材を使用しなければならない 場合と比べて、 コストダウン効果がある。
さらに、例えば焼結 A 1 Nからなる載置台 5 0 3、クランプリング部材 5 1 1、 また焼結 A 1 203からなるリフタピン 5 0 5および連結棒 5 1 2がエッチングさ れることが無く、 タリ一ユングを行つた後も基板処理容器 5 0 1内に金属汚染物 質が発生を抑える効果がある。 またセラミック部材は A 1 Nおよび A 1 203に限 定されず、 他のセラミック材料に関しても同様の効果がある
[第 6実施例]
また、 基板処理装置 5 0 0は、 以下図 8に示す基板処理装置 5 0 0 Aのように 変更することも可能である。
図 8は、 図 7で示した基板処理装置 5 0 0の変更例である基板処理装置 5 0 0 Aである。 ただし図中、 先に説明した部分には同一の参照符号を付し、 説明を省 略する。 '
図 8を参照するに、 基板処理装置 5 0 O Aでは、 処理容器 5 0 1側部に、 処理 容器 5 0 1に連通するクリ一二ングガス導入路 '5 5 2が設けられ、 クリ一二ング ガス導入路 5 5 2にはリモートプラズマ発生部 1 0 0が設置されている。
本実施例の場合も、 第 1実施例の場合と同様に、 シャワーヘッド部 5 2 8に接 続した、 図示しない成膜ガス供給源から供給される、 WF6, H2, S i H4によつ て、 半導体基板 1 0 1上に W膜の成膜を行うことが可能である。
また、 クリーニングガス導入路 5 5 2に接続した図示しないガス供給源から供 給される、 N F3および A rによって、 第 1実施例の場合と同じ方法でタリー- ングを行うことが可能である。
また本実施例の場合も、 A 1 Nからなるクランプリング部材 5 1 1およぴ载置 台 5 0 3や、 A 1 203からなるリフタピン 5 0 5および連結棒 5 1 2のエツチン グによるダメージを低減して、 処理容器 5 0 1内の A 1化合物汚染量を低減する ことが可能である。
[第 7実施例]
次に、 第 7実施例として、 基板処理装置 3 0 0の構成を図 9に示す。
図 9を参照するに、 基板処理装置 3 0 0は処理容器 3 0 1を有し、 処理容器 3 0 1の底部には、 半導体基板 1 0 1を保持する A 1 Nからなる載置台 3 1 1が設 置されており、 載置台 3 1 1は、 略円筒状であって載置 3 1 1の中心から等配に 配置された複数の载置台支持 3 1 4によって支持され、 載置台 3 1 1の内部には 霞原 3 1 5に接続されたヒータ 3 1 2が設置されている。
また、 載置台 3 1 1上には、 半導体基板 1 0 1を載置台 3 1 1の中心に保持す るための焼結 A 1 Nからなるガイドリング 3 1 3が載置される。
また、 処理容器 3 0 1の上部にはガス導入部 3 0 3が接続されたシャワーへッ ド部 3 0 2が設置されている。 ガス導入部 3 0 3の上部にはクリーニングガス導 入路 3 0 7を介してタリ一ユングガス供給源 3 0 8が接続されたリモートプラズ マ発生部 1 0 0が設置されており、 さらにガス導入部 3 0 3には成膜ガス導入路 3 0 6が接続されている。
成膜ガス導入路 3 0 6には、 それぞれ原料 A供給源 3 0 9および原料 B供給源 3 1 0が接続された原料 Aガス導入路 3 0 4および原料 Bガス導入路 3 0 5が接 続されている。 半導体基板: L ο 1に成膜を行う際は、 原料 A供給源 309および原科 B供給源 310よりシャワーへッド部 302に供給された原料 Aガスおよび原料 Bガスが、 シャワーヘッドの内部空間 302 aにおいて十分拡散、 混合された後、 ガス供給 穴 302 bより処理容器 301内に形成される処理空間 301 aへと供給され、 半導体基板 101上に所望の薄膜が形成される。
また、 クリ一二ングを行う際は、 クリ一二ングガス供給源、 308からリモート プラズマ発生源、 100に供,袷される、 例えば NF3または NF3および Arなどの キャリアガスが、 リモートプラズマ発生部 100にてプラズマ励起によってクリ 一ユングに必要な反応種が生成されて、 シャワーへッド部 302のガス供給穴 3 02 から処理空間 301 aに供給される。
処理空間 301 aは、 処理容器 301底部に設置された排気口 323より排気 通路 316を介して図示しない真空ポンプによりお気される。 その際、 気通路 316に設けられた APC317によって、 処理空間 301 aを所望の圧力に調 整することができる。
本実施例においても、 例えば NF3および A rを用いて、 実施例 1の場合と同 じ方法で、 本発明による 1333Pa (l OTo r r) 以上の圧力領域、 例えば 53. 3 kP a (4 OTo r r) において、 処理容器 301内のタリーエングを 行うことができる。
また、 本実施例の場合においては、 処理容器 301の排気口 323が処理容器 301の中心にあるので、 処理空間 301 aに導入されたガスが载置台 311を 中心に均等に排気されるため、 クリ一ユングを行う際も特定の箇所に残留物が残 ることなく、処理容器 301内において均一なクリーニングを行うことができる。 この場合も、 本発明のクリーニング方法によって基板処理装置の処理容器内の 例えば石英、 A 1 N, A 1203などの部材のダメージを低減することが可能であ る。
[第 8実施例]
次に、 第 8実施例として、 基板処理装置 300 Aの構成を図 10に示す。 ただ し図中、 先に説明した部分には同一の参照符号を付し、 説明を省略する。 図 10を参照するに、 処理容器 301上部にはガス導入部 A 319およびガス 導入部 B 320を有するシャワーへッド部 318が設置されている。 ガス導入部 A319上には、 クリ一ユングガス供給源 308が接続するリモートプラズマ発 生源 100が設置されている。
ガス導入部 A 319は、 原料 Aガス供給路 321を介して原料 A供給源 309 と接続し、 ガス導入部 B 320は、 原料 Bガス供給路 322を介して原料 B供給 源 310と接続されている。
原料 A供給源から供給される原料 Aガスは、 シャワーへッド部 318部の内部 に形成される原料 Aガス拡散室 318 eにおいて十分拡散した後、 原料 Aガス輸 送路 318 f を介して原料 A拡散室 318 eから処理空間 301 aに連通する複 数のガス供給孔 318 gより、 略均一に処理空間 301 aに供給される。
原料 B供給源 310から供給される原料 Bガスは、 シャワーへッド部 318部 の内部に形成される原料 Bガス導入路 318 aから原料 Bガス輸送路 318 bを 介して原料ガス B拡散室 318 cにおいて十分拡散した後、 原料 Bガス拡散室 3 18 cから処理空間 301 aに連通する複数のガス供給孔 318 dを介して処理 空間 301 aに供給される。
上述したように、 本実施例の基板処理装置 30 OAでは、 成膜に用いる原料 A と原料 Bがシャワーへッド部 318内部では混合せず、 処理空間 301 aにて混 合する、 いわゆるポスト ·ミックス方式のガス導入が可能となっており、 原料 A ガスと原料 Bガスを用いたボスト ·ミックス方式のガス混合を行うことで、 所望 の成膜処理を行うことができる。
本実施例においても、 例えば NF3および Arを用いて、 実施例 1の場合と同 じ方法で、 本発明による 1333Pa (l OTo r r) 以上の圧力領域、 例えば 53. 3 k P a (40T o r r ) において、 処理容器 301内のクリーニングを '行うことができる。 ' この場合も、 本発明のクリ一ユング方法によって基板処理装置の処理容器内の 例えば石英、 A 1 N, A 1203などの部材のダメージを低減することが可能であ る。 [第 9実施例]
ところで、 上述した基板処理装置 6 0 0 , 6 0 O A, 5 0 0, 5 0 O A, 3 0 0および 3 0 O Aを、 図 1 1に示すように連続処理が可能なクラスターツール装 置 7 0 0に適用しても良い。
図 1 1に示すクラスターツール装置 7 0 0は、 例えばアルミニウムなどにより 8角形の容器状になされた、 例えば半導体基板 1 0 1などを搬送する共通搬送室 7 0 1をその中心に有しており、 その周辺に第 1およぴ第 2カセット室 7 0 2、 7 0 3、 水分除去処理室 7 0 4 , 1〜4の基板処理室 7 0 5〜 7 0 8およぴ冷 却処理室 7 0 9力 それぞれ開閉可能になされたゲートバルブ G 1〜G 8を介し て連結されている。
分除去室 7 0 4は、 必要が有れば、 半導体基板を加熱してこの表面に付着し ている水分などを除去する処理室である。 冷却処理室は、 必要が有れば、 半導体 基板を冷却してハンドリングが可能な温度まで冷却する処理室である。
第 1および第 2カセット室 7 0 2、 7 0 3には、 例えば 2 5枚の基板を収容し 得るカセット 7 1 1を収納可能である。 カセット室 7 0 2 , 7 0 3には、 搬入' 搬出するゲートドア GD 1、 GD 2がそれぞれ開閉可能に設けられており、 図示 しないカセット台が昇降可能に設けられている。 さらにカセット室 7 0 2、 7 0 3は、 不活性ガス、 例えば N2ガスの供給と、 真空引きが可能になされている。 共通搬送室 7 0 1内には、 内部に取り込んだ基板の位置決めを行う回転位置決 め機構 7 2 1と、 当該基板を保持した状態で屈伸および回転可能になされた多関 節アーム機構よりなる搬送アーム 7 2 2が配置されて、 これを屈伸、 回転させる ことによって各処理室間にわたって当該基板を搬入、搬出する構造となっている。 また共通搬送室 7 0 1も、 不活性ガス、 例えば N2ガスの 給と、 真空引きが可 能になされている。
また、 各処理室の周囲には、 個々の処理室を囲むように気密ボックス 7 3 0が 設けられており、 処理ガスが周囲に漏れないようにされており、 当該気密ボック ス 7 3 0には排気ダクト (図示せず) が設けられて当該気密ボッタス 7 3 0内を 排気している。
第 1〜第 4の処理室のうち、 例えば、 第 3の処理室 7 0 7に、 基板処理装置 6 0 0, 6 0 O A, 5 0 0, 5 0 O A, 3 0 0および 3 0 0 Aのいずれかを適用す ることが可能である。 その場合、 必要に応じて、 第 1の処理室 7 0 5、 第 2の処 理室 7 0 6およぴ第 4の処理室 7 0 8において、 第 3の処理室において行われる 成膜処理の前処理または後処理を行う。 なお、 処理の工程は上述した内容に限定 されるものではなく、 必要に応じて基板処理装置 6 0 0 , 6 0 O A, 5 0 0, 5 0 O A, 3 0 0および 3 0 O Aの適用を第 1〜4の基板処理室いずれかに必要に 応じて変更することが可能であり、 また前処理、 後処理を行う組み合わせも任意 に変更することができる。 次に、 クラスターツール装置 7 0 0による処理の流れの例を以下に説明する。 まず、 外部より未処理の半導体基板 1 0 1をカセット 7 1 1に収容した状態で ゲートドア GD 1を介して例えば第 1カセット室 7 0 2内へ搬入すると、 第 1力 セット室 7 0 2を密閉して真空引きする。 その後、 ゲートバルブ G 1を開放状態 にして、 予め真空引きされている共通搬送室 7 0 1内の搬送アーム 7 2 2を伸ば して未処理の半導体基板 1 0 1を 1枚取り出し、 これを回転位置決め機構 7 2 1 により、半導体基板 1 0 1の位置決めを行う。位置決め後の半導体基板 1 0 1は、 再度搬送アーム 7 2 2を用いて開放状態となっているゲートバルブ G 3を介して 水分除去室 7 0 4へ搬入され、 ここで半導体基板 1 0 1を加熱することにより、 半導体基板 1 0 1表面に付着している水分などを気化させて除去する。 なお、 こ の水分除去処理は必要に応じて行い、 不要な場合は本工程を行わずに次の工程に 移行しても良い。
次に、 半導体基板 1 0 1は、 ゲートバルブ G 6を介して第 3の基板処理室 7 0 7に搬送され、 第 3の基板処理室 7 0 7におレ、て所望の成膜処理を行う。 上述し たように、 第 3の処理室 7 0 7に、 基板処理装置 6 0 0, 6 0 O A, 5 0 0 , 5 0 O A, 3 0 0および 3 0 O Aのいずれかを適用することが可能であり、 第 3の 処理室において、 所望の成膜処理が行われる。
次に、 ゲートバルブ G 6を開放状態とし、 搬送アーム 7 2 2を用いて半導体基 板 1 0 1を取り出し、 開放状態になされたゲートバルブ G 8を介して冷却処理室 7 0 9内に導入され、 ここで所定のハンドリング温度まで冷却され、 冷却した処 理済の半導体基板 1 0 1は、 グートパルプ G 2を介して第 2カセット室 7 0 3内 のカセット 7 1 1に収容する。
また、 上述したように、 必要に応じて第 3の処理室 7 0 7での成膜処理の前処 理を第 1の処理室 7 0 5、 第 2の処理室 7 0 6および第 4の処理室 7 0 8のいず れかで行うことが可能である。 同様に、 必要に応じて第 3の処理室 7 0 7での成 膜処理の後処理を第 1の処理室 7 0 5、 第 2の処理室 7 0 6および第 4の処理室 7 0 8のいずれかで行うことが可能である
このようにして、 本クラスターツール装置 7 0 0によって、 未処理の半導体基 板を順次連続して処理することが可能である。 - また、 第 3の処理室 7 0 7において、 本発明によるクリーニングを行う方法に ついては、 例えば第 3の処理室 7 0 7の成膜処理が 2 5回終了後、 すなわち半導 体基板を 2 5枚処理終了後に、本発明によるタリ一二ングを実行する方法がある。 また、 例えば第 3の処理室 7 0 7の成膜が 1回すなわち半導体基板を 1枚処理 するごとに本発明によるクリーニングを行うことも可能であり、 さらに、 例えば 第 3の処理室 7 0 7における成膜処理での成膜する厚さ、 条件などに応じてクリ 一ニングを行うまでの成膜処理の枚数は、 自由に変更が可能である。
また、 いずれの場合も上述したように、 本発明のクリーニング方法によって基 板処理装置の処理容器内の例えば石英、 A 1 N, A 1 203などの部材のダメージ を低減することが可能である。
以上、 本発明を好ましい実施例について説明したが、 本発明は上記の特定の実 施例に限定されるものではなく、 特許請求の範囲に記載した要旨内において様々 な変形 ·変更が可能である。
例えば、 本発明によるタリ一二ングの対象膜は、 上述したように W膜のみなら ず、 他の金属、 金属窒化物、 金属酸化物、 珪素および珪素化合物に対しても本実 施例に記述した場合と同様の効果があり、 具体的には、 WN, T a , T a N, T a 205, R e , R h , I r , I r 203) S i, S i 02, S i N, T i, T i N, R u , R u 02などのクリーニングに対して適用することが可能であり、 上述し た実施例中に示した場合と同様の効果を得ることができる。
また、 クリーニングに寄与するラジカルを発生せせるためのプラズマ励起方法 は本実施例中に記述した方法に限定されるものではなく、 高周波電力の周波数は 4 0 0 k H z〜3 GH zにおいて用いた場合に、 本実施例中に記述した場合と同 様の効果を得ることが可能である。 また、 クリ一二ング時の部材温度は 3 0 °C〜 6 0 0°Cの範囲でクリーユングすることが可能である。 より好ましくは 1 0 0°C 〜4 5 0°Cの範囲でクリ一ユングを実施することが望ましレ、。 部材温度が 3 0°C より低温になると、 クリーニング対象膜のエッチングレートが著しく低下し、 部 材温度が 6 0 0 °Cより高温になると部材のダメージが顕著になるので好ましくな レ、。
本発明によれば、 基板処理装置のタリーユングにおいて、 従来用いられていた フッ素ラジカル(F *) によるクリーニングに変わって、 当該フッ素ラジカルが再 結合したフッ素分子 (F2) によるクリーニングを行った。 その結果、 Fラジカル による基板処理容器内の部材、 例えば石英のダメージを低減して、 従来の Fラジ カルによるタリ一二ングでは用いることができなかつた石英部材を使用すること が可能になった。 更に A I N, A 1 203などが Fラジカルによってエッチングさ れることが原因で発生する、 薄膜への汚染を低減することが可能となった。 産業上の利用可能性
以上のように、 本発明に従ったクリ一ユング方法は、 例えば半導体基板等の被 処理基板に成膜を行う基板処理装置において用いることができ、 成膜処理に伴う 基板処理容器内での堆積物を除去するのに適している。

Claims

請求の範囲
1 . 被処理基板を処理する基板処理装置の基板処理容器をクリ一ニンク、するク リーニング方法であって:
前記基板処理装置に設置されたリモートブラズマ発生部にガスを導入するガス 導入工程;
前記リモートブラズマ発生部によつて前記ガスを励起して反応種を生成する反 応種生成工程;
前記反応種を前記リモートブラズマ発生部から前記処理容器に供給すると共に、 前記処理容器内の圧力を 1 3 3 3 P a以上の状態とする反応工程;
力 ら構成される基板処理容器のクリ一ユング方法。
2 . 前記リモートプラズマ発生部が前記ガスを高周波で励起する、
ことを特徴とする請求項 1記載の基板処理容器のクリ一二ング方法。
3 . 前記高周波の周波数が 4 0 0 k H z〜 3 GH zである、
ことを特徴とする請求項 2記載の基板処理容器のクリ一二ング方法。
4 . 前記ガスがフッ素化合物を含むガスである、
ことを特徴とする請求項 1記載の基板処理容器のクリ一ユング方法。
5 .前記ガスが C F4, C2F6, C3F8, S F6, N F3からなる群より選ばれる, ことを特徴とする請求項 4記載の基板処理容器のタリーニング方法。
6 . 前記反応種は Fラジカルが再結合した F 2を含む、
ことを特徴とする請求項 4記載の基板処理容器のクリ一二ング方法。
7 . 前記ガスが A rガスを含むガスである、
ことを特徴とする請求項 1記載の基板処理容器のクリ一ユング方法。
8. 前記反応工程にぉレヽて前記反応種によつて前記処理容器内部の露出部分に 堆積した堆積物を除去する、
ことを特徴とする請求項 1記載の基板処理容器のクリ一ユング方法。
9. 前記堆積物が金属、 金属窒化物、 金属酸化物、 珪素および珪素化合物のい ずれかを含む、
ことを特徴とする請求項 8記載の基板処理容器のクリ一ユング方法。
10. 前記堆積物が、 W, WN, T a, T a N, T a 205, Re, Rh, I r, I r203, S i, S i〇2, S i N, T i, T i N, Ru, Ru〇2からなる群より 選ばれる、
ことを特徴とする請求項 9記載の基板処理容器のクリ一二ング方法。
11. 前記露出部分が石英からなる部材を含む、
ことを特徴とする請求項 8記載の基板処理容器のクリ一ユング方法。
12. 前記露出部分が A 1203の焼結材料からなる部材を含む、
ことを特徴とする請求項 8記載の基板処理装置のクリ一ユング方法。
13. 前記露出部分が A 1 Nの焼結材料からなる部材を含む、
ことを特徴とする請求項 8記載の基板処理装置のクリ一二ング方法。
PCT/JP2003/014519 2002-11-27 2003-11-14 基板処理容器のクリーニング方法 WO2004049421A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN2003801044401A CN1717791B (zh) 2002-11-27 2003-11-14 基板处理容器的清洗方法
US10/536,322 US7456109B2 (en) 2002-11-27 2003-11-14 Method for cleaning substrate processing chamber
AU2003280802A AU2003280802A1 (en) 2002-11-27 2003-11-14 Method for cleaning substrate processing chamber

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002-344457 2002-11-27
JP2002344457A JP2004179426A (ja) 2002-11-27 2002-11-27 基板処理装置のクリーニング方法

Publications (1)

Publication Number Publication Date
WO2004049421A1 true WO2004049421A1 (ja) 2004-06-10

Family

ID=32375950

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/014519 WO2004049421A1 (ja) 2002-11-27 2003-11-14 基板処理容器のクリーニング方法

Country Status (6)

Country Link
US (1) US7456109B2 (ja)
JP (1) JP2004179426A (ja)
KR (1) KR100697512B1 (ja)
CN (1) CN1717791B (ja)
AU (1) AU2003280802A1 (ja)
WO (1) WO2004049421A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100461344C (zh) * 2004-07-23 2009-02-11 气体产品与化学公司 从基板上清除含碳的残余物的方法
CN107034446A (zh) * 2017-04-28 2017-08-11 昆山国显光电有限公司 一种具备清洁功能的pecvd设备及清洁方法

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004179426A (ja) 2002-11-27 2004-06-24 Tokyo Electron Ltd 基板処理装置のクリーニング方法
KR100997964B1 (ko) * 2003-06-16 2010-12-02 삼성전자주식회사 박막 트랜지스터 표시판의 제조 방법
JP2006093218A (ja) * 2004-09-21 2006-04-06 Sharp Corp ランプ加熱装置および半導体装置の製造方法
KR101108443B1 (ko) * 2005-05-04 2012-01-31 주성엔지니어링(주) 원격 플라즈마를 이용한 챔버 세정방법
JP4823628B2 (ja) * 2005-09-26 2011-11-24 東京エレクトロン株式会社 基板処理方法および記録媒体
KR100785440B1 (ko) 2006-04-05 2007-12-13 세메스 주식회사 이중 분사판을 구비한 플라즈마 에싱장치
KR100653217B1 (ko) * 2006-05-29 2006-12-04 주식회사 아이피에스 금속 함유막을 증착하는 박막 증착 장치의 건식 세정 방법
KR100794661B1 (ko) * 2006-08-18 2008-01-14 삼성전자주식회사 기판 처리 장치 및 그 장치의 세정 방법
JP4905179B2 (ja) 2007-02-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置及びそのクリーニング方法
US8262800B1 (en) * 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
KR101515544B1 (ko) * 2008-04-18 2015-04-30 주식회사 원익아이피에스 칼코제나이드 박막 형성방법
JP2010166092A (ja) * 2010-04-15 2010-07-29 Tokyo Electron Ltd プラズマエッチング方法
KR20120034341A (ko) * 2010-10-01 2012-04-12 주식회사 원익아이피에스 기판처리장치의 세정방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103088315A (zh) * 2011-11-03 2013-05-08 无锡华润上华科技有限公司 化学气相淀积设备
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10403521B2 (en) * 2013-03-13 2019-09-03 Applied Materials, Inc. Modular substrate heater for efficient thermal cycling
KR20150091769A (ko) * 2014-02-04 2015-08-12 주성엔지니어링(주) 기판처리장치
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP6388552B2 (ja) * 2015-03-03 2018-09-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
CN109308987A (zh) * 2017-07-26 2019-02-05 东芝存储器株式会社 等离子体处理装置、半导体制造装置及半导体装置的制造方法
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7250575B2 (ja) * 2019-03-13 2023-04-03 株式会社アルバック 成膜装置
CN111081524B (zh) * 2019-12-31 2022-02-22 江苏鲁汶仪器有限公司 一种可旋转的法拉第清洗装置及等离子体处理系统
JP2023071064A (ja) 2021-11-10 2023-05-22 東京エレクトロン株式会社 プラズマ源及びプラズマ処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002184765A (ja) * 2000-12-18 2002-06-28 Central Glass Co Ltd クリーニングガス
WO2002078073A1 (fr) * 2001-03-22 2002-10-03 Research Institute Of Innovative Technology For The Earth Procede de nettoyage d'un dispositif cvd et dispositif nettoyant afferent

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
JP2004179426A (ja) 2002-11-27 2004-06-24 Tokyo Electron Ltd 基板処理装置のクリーニング方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002184765A (ja) * 2000-12-18 2002-06-28 Central Glass Co Ltd クリーニングガス
WO2002078073A1 (fr) * 2001-03-22 2002-10-03 Research Institute Of Innovative Technology For The Earth Procede de nettoyage d'un dispositif cvd et dispositif nettoyant afferent

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100461344C (zh) * 2004-07-23 2009-02-11 气体产品与化学公司 从基板上清除含碳的残余物的方法
CN107034446A (zh) * 2017-04-28 2017-08-11 昆山国显光电有限公司 一种具备清洁功能的pecvd设备及清洁方法

Also Published As

Publication number Publication date
US7456109B2 (en) 2008-11-25
AU2003280802A1 (en) 2004-06-18
CN1717791A (zh) 2006-01-04
KR100697512B1 (ko) 2007-03-20
US20060124151A1 (en) 2006-06-15
JP2004179426A (ja) 2004-06-24
CN1717791B (zh) 2011-07-20
KR20050087807A (ko) 2005-08-31

Similar Documents

Publication Publication Date Title
WO2004049421A1 (ja) 基板処理容器のクリーニング方法
JP4191137B2 (ja) 基板処理装置のクリーニング方法
KR101847575B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US6576063B2 (en) Apparatus and method for use in manufacturing a semiconductor device
US9206931B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US7862683B2 (en) Chamber dry cleaning
US9508546B2 (en) Method of manufacturing semiconductor device
US8093072B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
TWI591747B (zh) A substrate processing apparatus, a method of manufacturing the semiconductor apparatus, and a substrate processing method
US8394200B2 (en) Vertical plasma processing apparatus for semiconductor process
KR101149097B1 (ko) 반도체 처리용 성막 장치 및 그 사용 방법
JP2009038155A (ja) プラズマ処理装置
JP5921591B2 (ja) 基板処理装置及び半導体装置の製造方法
KR101669752B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP6095172B2 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
KR20150077318A (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
JP2014192484A (ja) 半導体装置の製造方法及び基板処理装置
JP2009123950A (ja) 基板処理装置
TW201400637A (zh) 成膜設備之元件保護方法及成膜方法
JP2009277899A (ja) 基板処理方法
JP2008211106A (ja) 半導体装置の製造方法、及び基板処理装置

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref document number: 2006124151

Country of ref document: US

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 1020057009559

Country of ref document: KR

Ref document number: 10536322

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 20038A44401

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 1020057009559

Country of ref document: KR

122 Ep: pct application non-entry in european phase
WWP Wipo information: published in national office

Ref document number: 10536322

Country of ref document: US