TW202343668A - 經處理的堆疊晶粒 - Google Patents

經處理的堆疊晶粒 Download PDF

Info

Publication number
TW202343668A
TW202343668A TW112122430A TW112122430A TW202343668A TW 202343668 A TW202343668 A TW 202343668A TW 112122430 A TW112122430 A TW 112122430A TW 112122430 A TW112122430 A TW 112122430A TW 202343668 A TW202343668 A TW 202343668A
Authority
TW
Taiwan
Prior art keywords
die
layer
singulated
bonding
particles
Prior art date
Application number
TW112122430A
Other languages
English (en)
Inventor
賽普里恩 艾米卡 烏佐
桂蓮 高
蘿拉 威爾 麥卡雷米
蓋爾斯 吉爾曼 方譚二世
Original Assignee
美商艾德亞半導體接合科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商艾德亞半導體接合科技有限公司 filed Critical 美商艾德亞半導體接合科技有限公司
Publication of TW202343668A publication Critical patent/TW202343668A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67121Apparatus for making assemblies not otherwise provided for, e.g. package constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02076Cleaning after the substrates have been singulated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3185Partial encapsulation or coating the coating covering also the sidewalls of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/03622Manufacturing methods by patterning a pre-deposited material using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0381Cleaning, e.g. oxide removal step, desmearing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • H01L2224/05557Shape in side view comprising protrusions or indentations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83009Pre-treatment of the layer connector or the bonding area
    • H01L2224/8301Cleaning the layer connector, e.g. oxide removal step, desmearing
    • H01L2224/83013Plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83009Pre-treatment of the layer connector or the bonding area
    • H01L2224/8303Reshaping the layer connector in the bonding apparatus, e.g. flattening the layer connector
    • H01L2224/83031Reshaping the layer connector in the bonding apparatus, e.g. flattening the layer connector by chemical means, e.g. etching, anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected

Abstract

技術及方法之代表性實施方案包括處理單粒化晶粒以準備接合。複數個半導體晶粒構件可自一晶圓構件單粒化,該些半導體晶粒構件各自具有實質上平坦的表面。可將材料之顆粒及碎片自該複數個半導體晶粒構件之邊緣移除。另外,該複數個半導體晶粒構件中之一或多者可經由該實質上平坦的表面接合至製備好的接合表面。

Description

經處理的堆疊晶粒
以下描述係關於積體電路(「IC」)之處理。更詳言之,以下描述係關於用於處理單粒化晶粒以準備接合之技術。 [優先權要求及相關申請案之交叉參考]
本申請案主張在35 U.S.C. §119(e)(1)下2018年4月23日申請之美國專利申請案第15/960,179號及2017年5月11日申請之臨時申請案第62/504,834號的權益,該申請案特此以全文引用之方式併入。
晶粒可以三維配置之形式堆疊以作為各種微電子封裝方案之一部分。此可包括將一或多個晶粒層堆疊於較大基底晶粒上、將多個晶粒堆疊於豎直配置中,及該兩者之各種組合。晶粒亦可堆疊於晶圓上,或晶圓可在單粒化之前堆疊於其他晶圓上。晶粒或晶圓可使用各種接合技術而以堆疊配置之形式接合,包括使用直接介電質接合、非黏著技術,諸如ZiBond®直接接合技術或DBI®混合接合技術,該兩者可購自Invensas Bonding Technologies公司(以前為Ziptronix公司)、Xperi公司之子公司(參見例如美國專利第6,864,585號及第7,485,968號,該兩者之全文併入於本文中)。
當使用直接接合技術接合堆疊晶粒時,需要待接合之晶粒的表面極扁平且平滑。舉例而言,該些表面之表面拓樸應具有極小變化,使得該些表面可緊密地配合以形成持續結合。亦需要表面潔淨且不含雜質、顆粒及/或其他殘餘物。舉例而言,不合需要的顆粒之存在可使得接合在該些顆粒之部位處有缺陷或不可靠。舉例而言,留存於接合表面上之一些顆粒及殘餘物可在堆疊晶粒之間的接合介面處產生空隙。若空隙實質上小於金屬電互連件大小,則其可為可接受的。然而,常常不可容許造成大小接近於或超過電互連件大小之接合缺陷的顆粒,此係因為其可不利地影響互連件之電導率。
因為半導體晶圓(例如,矽晶圓)係脆性的,所以在晶粒之邊緣處產生缺陷或顆粒係常見的,此系因為晶粒被單粒化。作為一實例,矽可能在切割期間破裂,從而形成鬆散顆粒。機械切割或鋸切常常留下粗糙邊緣,且亦可將矽之顆粒或碎片留在切割晶粒之邊緣上或附近。另外,機械鋸切塊(dicing)通常將來自切塊片材之材料傳送至單粒化晶粒之側壁及邊緣。雷射切割還可將顆粒留在晶粒之表面或邊緣上。在切割之後,可使用各種程序來清潔晶粒之表面。然而,該些程序常常可將一些顆粒留在晶粒之周邊處或晶粒之邊緣壁處。即使在晶粒表面被拋光時,碎片仍然可存在於晶粒之邊緣或側壁上。被留下之鬆散顆粒及碎片在形成可靠接合時可成問題。另外,此等鬆散或部分鬆散顆粒可在後續操作中再污染所關注接合表面或接合工具等等。
可使用各種具體實例及技術來處理單粒化晶粒以準備接合。該些具體實例包含補救在晶粒上找到之缺陷之累積的技術,且包括移除、溶解或蝕刻晶粒之邊緣處的顆粒以提供平滑的接合表面。晶粒可包含半導體或非半導體材料。半導體材料可例如包含直接帶隙或間接帶隙半導體及其組合。舉例而言,非半導體材料可包含例如以下各者之介電材料:玻璃、陶瓷、玻璃陶瓷、碳化矽、碳氧化矽、氮化矽或氮氧化矽、金剛石、氧化矽等等或其組合。
一種微電子系統可包括至少一第一微電子構件,該第一微電子構件包含一基底半導體層及介電層,該介電層具有實質上平坦的表面。另外,第二微電子構件可無需黏著劑直接地接合至該第一微電子構件之該介電層,該介電層具有在該介電層之周邊處的底切,使得該介電層之面積小於該第一微電子構件及/或該第二微電子構件之佔據區的面積。替代地,該第二微電子構件可包含至少一第二基底半導體層及第二介電層,該第二介電層具有一實質上平坦的表面。另外,該第二介電層可無需黏著劑直接地接合至該第一介電層,在該第一實質上平坦的表面及該第二實質上平坦的表面處,該第一基底半導體層及該第二基底半導體層分別具有在該第一基底半導體層及該第二基底半導體層之周邊處的底切,使得該第一基底半導體層之佔據區的面積及該第二基底半導體層之一佔據區的面積小於該第一介電層及/或該第二介電層的面積。
在第一具體實例中,該第一微電子構件及/或該第二微電子構件之該基底半導體層之周邊處的底切可對應於該第一微電子構件及/或該第二微電子構件之該介電層之該周邊處的底切。
在第二具體實例中,該第二微電子構件可包括至少一基底半導體層及具有實質上平坦的表面之介電層,該第一微電子構件之該介電層直接地接合至該第二微電子構件之該介電層,且該第二微電子構件之該介電層具有在該第二微電子構件之該介電層之周邊處的底切,使得該第二微電子構件之該介電層的面積小於該第一微電子構件及/或該第二微電子構件之該佔據區的該面積。
一種用於形成微電子系統之方法可包括自晶圓構件單粒化複數個半導體晶粒構件,該些半導體晶粒構件各自具有實質上平坦的表面。可將材料之顆粒及碎片自該複數個半導體晶粒構件之邊緣移除。另外,該複數個半導體晶粒構件中之一或多者可經由該實質上平坦的表面接合至製備好的接合表面。
在第三具體實例中,可藉由蝕刻該複數個半導體晶粒構件之該些邊緣而移除材料之該些顆粒及碎片。在該複數個半導體晶粒構件處於切塊載體(諸如一切塊片材、切塊膠帶等等)上時,可蝕刻該複數個半導體晶粒構件之該些邊緣。另外,可使用化學蝕刻劑來蝕刻該複數個半導體晶粒構件之該些邊緣。在一實施方案中,該化學蝕刻劑可包含具有苯并三唑(BTA)之氫氟酸及硝酸,或抑制Cu在該蝕刻劑中溶解的其他化學物質。此外,可使用電漿蝕刻來蝕刻該複數個半導體晶粒構件之該些邊緣。另外,可蝕刻該複數個半導體晶粒構件之該些邊緣以減小該複數個半導體晶粒構件之厚度,使得在該複數個半導體晶粒構件中之每一者之該些邊緣中的一或多者處產生空間。該些半導體晶粒構件可包括氧化層以作為該實質上平坦的表面,且該蝕刻可包括移除該複數個半導體晶粒構件之該些邊緣處的該氧化層之至少一部分。再者,可蝕刻該複數個半導體晶粒構件之該實質上平坦的表面。可將該實質上平坦的表面蝕刻至預先選擇的深度或維持預先選擇的持續時間。
在第四具體實例中,在蝕刻之前,可將保護塗層塗覆至該複數個半導體晶粒構件之該實質上平坦的表面,以保護該實質上平坦的表面免受該蝕刻劑影響。
在第五具體實例中,在單粒化之後,可加熱該複數個半導體晶粒構件以使得該保護塗層自該複數個半導體晶粒構件之周邊後退。另外,可將該複數個半導體晶粒構件之該周邊蝕刻至預先選擇的深度。此外,該複數個半導體晶粒構件可包括在一基底半導體層之上的介電層。另外,可蝕刻該複數個半導體晶粒構件之該周邊以移除該介電層及曝露該複數個半導體晶粒構件之該周邊處的該基底半導體層。
在第六具體實例中,可藉由一直接接合技術或金屬至金屬擴散接合而無需黏著劑來接合該複數個半導體晶粒構件中之該一或多者。
在第七具體實例中,可將材料之顆粒及碎片自該複數個半導體晶粒構件之側壁移除,其中藉由蝕刻該複數個半導體晶粒構件之該側壁而將該些顆粒及碎片自該側壁移除。
在一個具體實例中,在單粒化步驟之後,可藉助於一或多種鹼性流體中之超音波或超高頻音波輻射而將材料之顆粒及碎片自晶粒之側壁移除。在粒子移除之後,可進一步蝕刻晶粒之側壁以移除側壁之部分及晶粒之平坦介電層的部分。
可使用方塊流程圖說明所揭示之程序中的一些,包括圖形流程圖及/或本文流程圖。並非意欲將描述所揭示之程序的次序視為限制,且可以任何次序組合任何數目個所描述之程序區塊以實施該些程序或替代程序。另外,可在不脫離本文中所描述之主題之精神及範圍的情況下自程序刪除個別區塊。此外,在不脫離本文中所描述之主題的範圍之情況下,所揭示程序可在任何合適之製造或處理裝置或系統,以及任何硬體、軟體、韌體或其組合中實施。
在下文使用複數個實例來更詳細地解釋實施方案。儘管在此處且在下文論述各種實施方案及實例,但另外實施方案及實例可藉由組合個別實施方案及實例之特徵及元件來成為可能。 概述
可使用各種具體實例及技術來處理單粒化晶粒以準備接合。該些具體實例包含補救在晶粒上找到之顆粒之累積的技術,該些顆粒包括在晶粒之單粒化期間產生的顆粒,且包括移除、溶解或蝕刻晶粒之邊緣處的碎片以提供平滑的接合表面。
圖1的(A)係根據一具體實例之展示晶粒之頂部表面上之缺陷的剖面圖。如所示,展示第一晶粒102不具有任何缺陷。與此對比,展示第二晶粒104具有缺陷106。當然,應瞭解,缺陷106可出現在第一晶粒102及/或第二晶粒104之任何表面、側壁及/或邊緣上。
可自晶圓單粒化及/或移除第一晶粒102及/或第二晶粒104,該些晶圓諸如GaAs、經金剛石塗佈基板、碳化矽、氧化矽、氮化矽、矽晶圓、鈮酸鋰、鉭酸鋰、扁平面板、玻璃、陶瓷、電路板、封裝體、插入件、具有或不具有嵌入式金屬層之結構、導電互連件108、一或多個器件等等。在一個具體實例中,缺陷106可包括顆粒及/或碎片,且可由對第一晶粒102及/或第二晶粒104進行晶粒切割、切塊及/或單粒化引起。舉例而言,第一晶粒102及/或第二晶粒104之機械切割(亦即,鋸切)可造成諸如顆粒106之缺陷,特別是在邊緣及/或側壁處。另外,當切割(甚至使用雷射)第一晶粒102及/或第二晶粒104時,第一晶粒102及/或第二晶粒104可破裂及/或產生顆粒106(諸如氧化矽顆粒)。此外,在拋光第一晶粒102及/或第二晶粒104之後,顆粒106之碎片仍然可存在於第一晶粒102及/或第二晶粒104之邊緣及/或側壁上。
圖1的(B)係展示具有諸如顆粒106之缺陷之接合晶粒之區段的剖面圖。如所示,在缺陷106存在於第二晶粒104之接合表面的一部分處的情況下,第一晶粒102無法充分地接合至第二晶粒104。此係由在第一晶粒102與第二晶粒104之間找到的間隙110(或空隙)展示。在接合完整性受損的情況下,或在間隙110足夠大以不利地影響配對導電互連件108(若存在於晶粒102及104之接合表面處)之電導率的情況下,此間隙110可不能忍受。如上文所論述,儘管可在第二晶粒104之接合表面上找到缺陷106,但可沿著第一晶粒102及/或第二晶粒104之另一表面及/或側壁找到額外或其他缺陷(諸如顆粒)。
圖1的(C)係展示不具有缺陷之緊密接合晶粒之區段的剖面圖。如所示,第一晶粒102充分地且完全地接合至第二晶粒104。在晶粒102及104之表面處的任何導電互連件108之間存在可靠電導率的情況下,該些導電互連件108亦會接合。圖1的(C)展示在第一晶粒102及第二晶粒104中之每一者已被恰當地製備以用於接合之後的第一晶粒102及第二晶粒104。舉例而言,可清潔及蝕刻第一晶粒102及/或第二晶粒104之邊緣及側壁,以移除矽之顆粒及碎片。可運用乾式(電漿)蝕刻及/或濕式(化學)蝕刻來蝕刻第一晶粒102及/或第二晶粒104之邊緣,而在單粒化之後,第一晶粒102及/或第二晶粒104仍然存在於載體(例如,切塊片材或膠帶、夾環等等)上。可將保護塗層塗覆至第一晶粒102及/或第二晶粒104之接合表面,以在單粒化及蝕刻期間保護該表面。在一個實例中,可蝕刻第一晶粒102及/或第二晶粒104之表面及側壁,而在另一實例中,該蝕刻可限於第一晶粒102及/或第二晶粒104之側壁。應注意,簡單地且未按比例展示導電互連件108。舉例而言,導電互連件108可包含共同形成導電互連件108之一或多個層。此外,導電互連件108可部分地或完全地延伸穿過晶粒102及104中之任一者或兩者,或甚至可僅提供於晶粒102及104之表面處或沿著晶粒102及104之表面提供以作為晶粒102及104內之痕跡互連器件的圖案。 實例具體實例
圖2說明根據一具體實例之處理堆疊晶粒之實例程序200。在(A)處,基板202(其可為例如矽晶圓)可包括接合層204,接合層204可包含諸如氧化物之絕緣體或介電層,或混合接合層,例如,絕緣材料(諸如氧化物)與導電互連層之組合。此接合層204可形成於基板202之一側或兩側上。接合層204可受第一保護層206及/或第二保護層208保護。替代地,基板202可能會曝露及/或具有任何數目個保護層。
在(B)處,基板202可在載體212上單粒化成複數個單粒化晶粒210。在一個具體實例中,載體212可包括處理片材、切塊片材或膠帶、夾環等等。另外,可使用鋸切塊、濕式蝕刻或乾式蝕刻或雷射方法或其組合來單粒化基板202。在一個具體實例中,單粒化晶粒210可具有實質上平坦的表面。
在(C)處,單粒化晶粒210可曝露於紫外線光(UV)(例如,以固化用作基板202之載體212之膠帶上的黏著層、減小接觸膠帶之晶粒210表面之間的黏著等等)。另外,在一個具體實例中,在準備清潔並進一步處理單粒化晶粒210時,當單粒化晶粒210係在載體212上時,載體212可延展。舉例而言,進一步處理可包括減小單粒化晶粒210之厚度。
在(D)處,可清潔單粒化晶粒210,且可蝕刻單粒化晶粒210之側壁。舉例而言,清潔可移除一或多個保護層,包括第一保護層206及/或第二保護層208。在一具體實例中,蝕刻可溶解氧化矽、氮化矽及/或矽以消除顆粒及/或碎片。化學蝕刻劑211(包括酸)可用以蝕刻晶粒210之表面的周邊,包括接合層204,且亦可用以蝕刻單粒化晶粒210之側壁。在蝕刻單粒化晶粒210之表面及/或側壁的一實例中(例如,對於矽晶粒210),化學蝕刻劑211可包含氫氟酸與合適氧化劑之化學混合物,例如硝酸。在一些應用中,濕蝕刻劑可包含緩衝氫氟酸與合適有機酸以及氧化劑之混合物。在其他應用中,可將合適金屬錯合劑添加至蝕刻溶液以保護晶粒210接合表面上之金屬免受蝕刻劑影響。在一個實例中,金屬錯合或鈍化劑可包含具有三唑部分之分子,例如苯并三唑(BTA)等等。在一個具體實例中,BTA可保護單粒化晶粒210之表面上的銅免受蝕刻溶液侵蝕或溶解影響。
在蝕刻晶粒210之表面(及側壁)及剝去第一保護層206及/或第二保護層208之後,自晶粒210之接合表面清潔錯合劑。作為濕式蝕刻之替代例,亦可使用乾式蝕刻方法來清潔晶粒210之側壁,包括使用與用於蝕刻矽中之程序相似的電漿處理。在乾式側壁蝕刻步驟之後,可自晶粒210之側壁的接合表面剝離第一保護層206。清潔第一保護層206亦可包括清潔由乾式蝕刻產生之任何有機材料殘餘物。在一個具體實例中,可使經處理的晶粒210之側壁上的有機殘餘物完好。牢固黏著之側壁有機殘餘物可最小化自晶粒210脫落之後續顆粒。
另外,單粒化晶粒210之清潔及/或進一步處理可在旋塗夾具214(等等)上進行。化學蝕刻劑211被噴射至經切塊晶圓表面上且在晶粒210之頂部表面之上形成薄層,並且填充晶粒210之間的間隙。在一個具體實例中,蝕刻單粒化晶粒210之側壁可致使移除晶粒210之側壁上的缺陷。
視情況,在一具體實例中,可選擇性地塗佈晶粒210之側壁以塗佈側壁及可能存在於側壁上之任何顆粒及/或碎片。舉例而言,可使用旋塗程序、電塗程序等等將選擇性塗層218塗覆至側壁。將顆粒及/或碎片塗佈至具有塗層218之側壁以將顆粒及/或碎片黏著至側壁,從而防止顆粒及/或碎片污染晶粒210之其他區域,包括晶粒210之接合表面。在各種具體實例中,塗層218包含黏著至側壁之矽且通常不會黏著至任何其他表面的材料,諸如玻璃、硼摻雜玻璃、磷摻雜玻璃等等。
在各種具體實例中,塗層218包含大致為50 nm或小於50 nm之層,其將顆粒及碎片截獲至晶粒210之側壁且防止顆粒及碎片自側壁脫落。可將塗層218熱固化至晶粒210,以在預定義溫度(例如,大致為80℃等等)下穩定預定義持續時間。雖然可在如所論述之清潔晶粒210之後添加塗層218,但在各種具體實例中,在程序200中之其他步驟處,可將塗層218沈積至側壁。
在(E)處,單粒化晶粒210可經歷電漿程序(諸如灰化)以移除第一保護層206之任何殘餘物。在(F)處,可清潔單粒化晶粒210以移除由步驟(E)產生之殘渣之任何殘餘物或顆粒。在(G)處,可將單粒化晶粒210(包括接合層204中之一者或兩者)電漿活化(表面活化)以使單粒化晶粒210準備直接接合。在(H)處,可清潔經電漿活化單粒化晶粒210。在(I)處,單粒化晶粒210中之一或多者可接合至第二基板216之製備好的表面。詳言之,單粒化晶粒210之接合層204(例如,具有或不具有導電層之氧化層或介電層)可直接接合至第二基板216之製備好的表面。在一個具體實例中,單粒化晶粒210(經由接合層204)可使用ZIBOND®直接接合或DBI®混合接合、技術等等接合至第二基板216,其中單粒化晶粒210直接接合(且在一些情況下,電連接)至第二基板216之表面的部分而無需使用黏著劑。
在各種實施方案中,第二基板216可包含以下各者之另一製備好的表面:矽晶圓、GaAs、金剛石塗佈基板、碳化矽、氧化矽、氮化矽、鈮酸鋰、鉭酸鋰、平板、玻璃、陶瓷、電路板、封裝體、插入件、具有或不具有嵌入式器件之結構等等。在一個具體實例中,製備好的第二基板216包含另一晶粒210或另一接合晶粒304之表面,如下文進一步論述。
圖3說明根據一具體實例之處理堆疊晶粒之實例程序300。如上文所描述,程序300之步驟(A)至(D)以與程序200之步驟(A)至(D)一致的方式起作用。此包括蝕刻晶粒210之表面及周邊(在相同或單獨的程序步驟中)以移除來自晶粒210之表面及周邊的矽或氧化物的顆粒及碎片。
視情況,在一具體實例中,可選擇性地塗佈晶粒210之側壁以塗佈側壁及可能存在於側壁上之任何顆粒及/或碎片,如上文所描述。舉例而言,可使用旋塗程序、電塗程序等等將選擇性塗層218塗覆至側壁。將顆粒及/或碎片塗佈至具有塗層218之側壁以將顆粒及/或碎片黏著至側壁,從而防止顆粒及/或碎片污染晶粒210之其他區域,包括晶粒210之接合表面。在各種具體實例中,塗層218包含黏著至側壁之矽且通常不會黏著至任何其他表面的材料,諸如玻璃、硼摻雜玻璃、磷摻雜玻璃等等。
在各種具體實例中,塗層218包含大致為50 nm或小於50 nm之層,其將顆粒及碎片截獲至晶粒210之側壁且防止顆粒及碎片自側壁脫落。可將塗層218熱固化至晶粒210,以在預定義溫度(例如,大致為80℃等等)下穩定預定義持續時間。雖然可在如所論述之清潔晶粒210之後添加塗層218,但在各種具體實例中,在程序300中之其他步驟處,可將塗層218沈積至側壁。
繼續參看程序300,在(E)處,針對所描述之程序步驟中之全部(在一些具體實例中,包括單粒化),可將單粒化晶粒210轉移至旋塗夾具214(等等),且當單粒化晶粒210在單一載體(諸如旋塗夾具214等等)上時進行處理/清潔。替代地,針對每一站處的一或多個程序,可在不同載體(諸如旋塗板302)之間轉移單粒化晶粒210。在(F)處,單粒化晶粒210可經歷電漿處理,以在仍然處於旋塗板302上時移除第一保護層206之任何殘餘物(以程序200之步驟(E)相似的方式)。
在(G)處,可清潔單粒化晶粒210以移除由(F)處之電漿程序產生的殘餘物。在(H)處,可將單粒化晶粒210電漿活化(表面活化)以使單粒化晶粒210(包括接合層204)準備直接接合。在(I)處,可清潔經電漿活化單粒化晶粒210。
在(J)處,單粒化晶粒210中之一或多者可接合至第二基板216之製備好的表面。詳言之,接合層204(例如,具有或不具有導電層之氧化層或介電層)可接合至第二基板216之製備好的表面。在一個具體實例中,單粒化晶粒210(經由接合層204)可使用ZIBOND®直接接合或DBI®混合接合、技術等等直接接合至第二基板216(例如,無需黏著或介入層)。
在(K)處,一或多個額外單粒化晶粒304(其相似於單粒化晶粒210(例如,晶粒304亦可自基板202單粒化)而製備)可接合至單粒化晶粒210中之一或多者的經曝露第二表面,從而形成一或多個晶粒堆疊。詳言之,單粒化晶粒304之接合層306(例如,具有或不具有導電層之氧化層或介電層)可直接接合至單粒化晶粒210之第二表面,單粒化晶粒210亦已製備用於接合。準備接合可按需要包括一或多個清潔、表面平坦化及電漿處理程序步驟。另外,亦可蝕刻晶粒210之第二表面(包括周邊)以移除不合需要的顆粒及碎片等等。
可以相同方式添加額外單粒化晶粒304以與所要量之晶粒層形成晶粒堆疊。在一些具體實例中,可在接合之後對單粒化晶粒210及第二基板216熱處理,其中在每一單粒化晶粒304層之後添加額外熱處理。替代地,一旦若干或所有堆疊晶粒(210、304)層處於適當位置且接合,就對單粒化晶粒210、單粒化晶粒304及第二基板216熱處理。
圖4說明根據一具體實例之處理堆疊晶粒的另一實例程序400。在(A)處,將抗蝕劑層402塗佈於單粒化晶粒210上,單粒化晶粒210包括接合層204(例如,具有或不具有導電層或結構之絕緣層或介電層)及基板區202(例如,矽)。在一實施方案中,可圖案化抗蝕劑層402,例如以曝露單粒化晶粒210之周邊,同時保護單粒化晶粒210之表面的其餘部分。在各種具體實例中,可使用切塊及/或劃線來使單粒化晶粒210單粒化。
在(B)處,可清潔及蝕刻單粒化晶粒210之經曝露邊緣及側壁,從而在單粒化晶粒210之周邊處產生底切或凹口。舉例而言,可藉由蝕刻而使單粒化晶粒210之粗糙切割邊緣平滑。另外,可使單粒化晶粒210之周邊凹進,以使單粒化晶粒210在周邊處之總厚度縮減,從而在單粒化晶粒210之邊緣處產生空間。舉例而言,可蝕刻具有基板202上之接合層204(例如,介電質、氧化物等等)的單粒化晶粒210以移除單粒化晶粒210之周邊處的接合層204之氧化物中的一些,及在一些狀況下,亦移除基板202之矽的一部分。該蝕刻使得接合層204之介電氧化物自單粒化晶粒210之邊緣反向凹進,從而曝露凹口下方基板202之矽。在一個具體實例中,由凹口形成之空間可考慮到在直接接合期間接合表面之某容差,以改良直接接合技術之可靠性且移除來自接合之應力。
在一個具體實例中,可在升高溫度(例如,120℃)下處理單粒化晶粒210,使得安置於接合層204上之抗蝕劑層402自單粒化晶粒210之邊緣流動且撤回。當蝕刻單粒化晶粒210之邊緣時,可移除接合層204之經曝露部分。另外,取決於用於蝕刻之持續時間及處方集,可另外移除基板202之矽中的一些。舉例而言,持續時間愈長,可移除愈大量之基板202。在一些狀況下,由於單粒化晶粒210之蝕刻,接合層204可具有傾斜剖面。在執行蝕刻至基板202之深度的情況下,此傾斜剖面可延伸至基板202(例如,矽)中。
在一些具體實例中,可視需要使用微影方法以及乾式蝕刻、濕式蝕刻或該兩者來執行回蝕接合層204之程序。舉例而言,可圖案化晶粒210之表面,及藉由乾式蝕刻方法移除之接合層204的非想要部分,以及藉由濕式蝕刻方法移除之任何非想要曝露導電特徵。在其他應用中,在單段操作中移除非想要介電質及導電部分可為較佳的。在一個實例中,可將含有鹵素離子之濕蝕刻劑(例如,緩衝氫氟酸及含有可使導電特徵氧化之過氧化氫或硝酸(等等)的處方集)塗覆至晶粒210之表面以移除非想要介電質及導電特徵。在移除非想要介電質及導電特徵之後,可塗覆保護層以用於單粒化操作。
在(C)處,可自單粒化晶粒210之表面移除抗蝕劑層402。另外,在(D)處,可清潔單粒化晶粒210。
在(E)及(F)處,單粒化晶粒210可接合至基板404(諸如另一晶粒210或304、第二基板216等等),基板404已準備接合,如上文所論述。在一個具體實例中,單粒化晶粒210可使用ZIBOND®或混合DBI®技術等等(例如,無需黏著或介入層)接合至基板404之製備好的表面。在(E)及(F)處對圖4之說明中,晶粒210僅展示具有接合層204。然而,在一些具體實例中,待接合之兩個構件(例如,晶粒210、晶粒304或第二基板216)可包括接合表面處之氧化區(諸如接合層204)。換言之,該些構件在各別氧化區處接合。在一些應用中,晶粒210及基板202之製備好的表面之接合層204可包括導電特徵(圖中未示)。晶粒210及基板202之製備好的表面之介電部分可首先在較低溫度下接合。任何導電特徵可在介於150℃至350℃之間的較高溫度下接合。在其他應用中,介電部分及導電特徵接合在相同溫度下形成。
在(E)處所示之實施方案中,由於步驟(D)之蝕刻,單粒化晶粒210之接合層204的邊緣可包括底切408。在該實施方案中,單粒化晶粒210可包括單粒化晶粒210之周邊處的底切408,使得接合層204之面積小於基板202及/或基板404之佔據區的面積。另外或替代地,在(F)處所示之實施方案中,由於步驟(D)之蝕刻,基板202及基板404之邊緣可包括底切410。在此實施方案中,單粒化晶粒210可包括單粒化晶粒210之周邊處的底切410,使得接合層204之面積大於基板202及/或基板404之佔據區的面積。在該實施方案中,基板202及基板404可分別與第一及第二經接合微電子構件相對應。
根據各種具體實例,本文中所描述之邊緣或側壁蝕刻技術可降低用於高量製造單粒化晶粒210之直接接合程序的複雜度及成本。另外,自單粒化晶粒210之周邊及/或邊緣移除切塊顆粒及碎片可縮減晶圓間、晶粒至晶圓、晶粒間及晶粒至系統封裝中之程序相關缺陷。此外,藉由使堆疊式單粒化晶粒210之邊緣圓化,可縮減以三維配置形式堆疊之經封裝單粒化晶粒210中的應力。本文中所描述之技術亦可引起用於較少ZiBond®及直接接合互連(DBI®)製造器件之晶粒處理步驟、較高製造產出率及改良式利潤邊際。所揭示技術之其他優點對於熟習此項技術者亦將係顯而易見的。
圖5的(A)係根據一具體實例之具有凹進接合層204(例如,具有或不具有導電層之絕緣或介電層)的實例晶粒210之一部分的剖面圖。另外,圖5的(B)係具有凹進接合層204(例如,氧化區)之晶粒210之剖面圖的放大視圖。如所示,晶粒210可包括自基板202反向凹進之接合層204。舉例而言,圖5的(B)之剖面圖可與圖4之步驟(D)中所示的剖面圖相對應。另外,圖5的(B)包括接合層204之一側上的凹口,然而,如圖4之步驟(D)中及圖5的(C)處所示,凹口亦可位於接合層204之兩側(或其他側)。
詳言之,由於蝕刻,接合層204之傾斜剖面502可延伸至基板202中(例如,如參考圖4之步驟(D)所描述)。另外,甚至在基板202之周邊處存在任何顆粒的情況下,傾斜剖面502可提供基板202之外圍處的間隙,使得可在例如單粒化晶粒210與第二基板216之製備好的表面(等等)之間達成密切且緊密的接合。
舉例而言,此在圖5的(C)中進行說明,其中將實例晶粒210示出接合至另一實例晶粒210',從而形成實例晶粒堆疊或實例微電子總成500(等等)。如對圖5的(C)之說明中所示,接合層204(其包括諸如氧化物之絕緣或介電材料且亦可包括一或多個導電層或結構504)直接接合至接合層204'(其亦包括諸如氧化物之絕緣或介電材料且亦可包括一或多個導電層或結構504')。導電特徵504及504'可僅延伸至各別接合層204及204'中或可部分地或完全延伸穿過晶粒201及210'。接合層204處之凹口及接合層204'(若存在)處之凹口可在微電子總成500之周邊處形成間隙506,其中晶粒210接合至晶粒210'。在各種具體實例中,間隙506之大小可使得間隙506中殘留的任何顆粒508可能不會妨礙在接合層204與204'之間形成密切且緊密的接合,包括導電結構504與504'之間密切且導電可靠的接合。在各種具體實例中,可按需要例如用囊封物、介電材料、底填充材料等等來填充間隙506。在其他具體實例中,間隙506可保持未填充,或可按需要用其他惰性或活性材料填充。如圖5的(A)及圖5的(B)中所示之相似剖面可在晶粒210及210'之背側上產生,且多於兩個晶粒可堆疊在一起。
圖6係根據一具體實例之說明用於處理堆疊晶粒之實例程序的流程圖600。在602處,程序包括自晶圓構件(諸如基板202)單粒化複數個半導體晶粒構件(諸如單粒化晶粒210或單粒化晶粒304))。在一具體實例中,該些半導體晶粒構件中之每一者具有實質上平坦的表面。在另一具體實例中,程序包括將保護塗層(諸如第一保護層206)沈積於半導體晶粒構件之實質上平坦的表面之上(在單粒化之前抑或之後)。
在一個具體實例中,程序包括在單粒化之後,加熱複數個半導體晶粒構件以使得保護塗層(諸如第一保護層206)自複數個半導體晶粒構件之周邊後退。另外,可將複數個半導體晶粒構件之周邊及/或複數個半導體晶粒構件之實質上平坦的表面蝕刻至預先選擇之深度。
替代地,複數個半導體晶粒構件可包括在基底半導體層之上的介電層。另外,介電層可具有實質上平坦的表面,且如上文所描述,介電層可包括一或多個導電特徵。在一個具體實例中,程序包括蝕刻複數個半導體晶粒構件之周邊,使得移除介電層之至少一部分且曝露複數個半導體晶粒構件之周邊處的基底半導體層。
在604處,程序包括將材料之顆粒及碎片自複數個半導體晶粒構件之邊緣移除。替代地,可將顆粒及碎片自複數個半導體晶粒構件之側壁移除。在一個具體實例中,可藉由蝕刻複數個半導體晶粒構件之邊緣及/或側壁而移除顆粒及碎片。視情況,在複數個半導體晶粒構件處於切塊載體上時,進行對邊緣及/或側壁之蝕刻。另外,該蝕刻可使用電漿蝕刻及/或化學蝕刻劑,化學蝕刻劑包含具有苯并三唑(BTA)之氫氟酸及硝酸。在一替代實施方案中,可將保護塗層(諸如第一保護層206)塗覆至複數個半導體晶粒構件之實質上平坦的表面以保護實質上平坦的表面免受蝕刻劑影響。
在606處,程序包括經由實質上平坦的表面而將複數個半導體晶粒構件中之一或多者接合至製備好的接合表面。舉例而言,可使用ZIBOND®或DBI®接合技術等等藉由直接接合進行接合而無需黏著或介入層。接合可包括在晶粒之接合表面及製備好的接合表面處電耦合相對的導電特徵。
使用方塊流程圖說明本文中所描述之所揭示程序。並非意欲將描述所揭示之程序的次序視為限制,且可以任何次序組合任何數目個所描述之程序區塊以實施該些程序或替代程序。另外,可在不脫離本文中所描述之主題之精神及範圍的情況下自程序刪除個別區塊。此外,在不脫離本文中所描述之主題的範圍之情況下,所揭示程序可在任何合適之製造或處理裝置或系統,以及任何硬體、軟體、韌體或其組合中實施。
儘管本文中論述了各種實施方案及實例,但藉由組合個別實施方案及實例之特徵及元件,另外實施方案及實例可為可能的。 結論
儘管已以特定針對於結構特徵及/或方法行動之語言描述本發明之實施方案,但應理解,實施方案不一定限於所描述之特定特徵或行動。確切而言,將特定特徵及行動揭示為實施實例器件及技術之代表性形式。
本文之每項技術方案構成單獨具體實例,且組合不同技術方案及/或不同具體實例之具體實例在本發明之範圍內,且將在查閱本發明之後即刻對於一般熟習此項技術者顯而易見。
102:第一晶粒 104:第二晶粒 106:缺陷/顆粒 108:導電互連件 110:間隙 200:程序 202:基板/基板區 204:接合層 204':接合層 206:第一保護層 208:第二保護層 210:晶粒 210':晶粒 211:化學蝕刻劑 212:載體 214:旋塗夾具 216:第二基板 218:塗層 300:程序 302:旋塗板 304:晶粒 306:接合層 400:程序 402:抗蝕劑層 404:基板 408:底切 410:底切 500:微電子總成 502:傾斜剖面 504:導電層或結構/導電特徵 504':導電層或結構/導電特徵 506:間隙 508:顆粒 600:流程圖 602:步驟 604:步驟 606:步驟
參考附圖闡述詳細描述。在該些圖中,參考編號之最左側數位識別首次出現該參考編號之圖。在不同圖中使用相同參考編號指示相似或相同物件。 對此論述,在圖中所說明之器件及系統展示為具有大量構件。如本文中所描述,器件及/或系統之各種實施方案可包括更少構件且保持在本發明之範圍內。替代地,器件及/或系統之其他實施方案可包括額外構件或所描述構件之各種組合,且保持在本發明之範圍內。 [圖1]的(A)係根據一具體實例之展示晶粒之頂部表面上之缺陷的剖面圖。圖1的(B)係展示具有缺陷之接合晶粒之區段的剖面圖。圖1的(C)係展示不具有缺陷之接合晶粒之區段的剖面圖。 [圖2]係根據一具體實例之說明處理堆疊晶粒之實例程序的圖形流程圖。 [圖3]係根據另一具體實例之說明處理堆疊晶粒之實例程序的圖形流程圖。 [圖4]係根據另外具體實例之說明處理堆疊晶粒之實例程序的圖形流程圖。 [圖5]的(A)係根據一具體實例之具有凹進氧化區之晶粒的剖面圖。圖5的(B)係具有凹進氧化區之晶粒之剖面圖的放大視圖。圖5的(C)係具有凹進氧化區之接合晶粒配置的實例。 [圖6]係根據一具體實例之說明用於處理堆疊晶粒之實例程序的流程圖。
200:程序
202:基板/基板區
204:接合層
206:第一保護層
208:第二保護層
210:晶粒
211:化學蝕刻劑
212:載體
214:旋塗夾具
216:第二基板
218:塗層

Claims (1)

  1. 一種微電子系統,其包含: 第一微電子構件,其包含第一半導體層和第一介電層,所述第一介電層具有第一實質上平坦的表面; 晶粒,其包含第二半導體層和第二介電層,所述第二介電層具有第二實質上平坦的表面;以及 其中所述第二介電層直接地接合至所述第一介電層而無黏著劑。
TW112122430A 2017-05-11 2018-04-27 經處理的堆疊晶粒 TW202343668A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762504834P 2017-05-11 2017-05-11
US62/504,834 2017-05-11
US15/960,179 2018-04-23
US15/960,179 US10879212B2 (en) 2017-05-11 2018-04-23 Processed stacked dies

Publications (1)

Publication Number Publication Date
TW202343668A true TW202343668A (zh) 2023-11-01

Family

ID=64097487

Family Applications (3)

Application Number Title Priority Date Filing Date
TW112122430A TW202343668A (zh) 2017-05-11 2018-04-27 經處理的堆疊晶粒
TW107114594A TWI749220B (zh) 2017-05-11 2018-04-27 經處理的堆疊晶粒
TW110144066A TWI809576B (zh) 2017-05-11 2018-04-27 經處理的堆疊晶粒

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW107114594A TWI749220B (zh) 2017-05-11 2018-04-27 經處理的堆疊晶粒
TW110144066A TWI809576B (zh) 2017-05-11 2018-04-27 經處理的堆疊晶粒

Country Status (7)

Country Link
US (3) US10879212B2 (zh)
EP (1) EP3635775A4 (zh)
JP (2) JP7129427B2 (zh)
KR (1) KR102320674B1 (zh)
CN (2) CN117497456A (zh)
TW (3) TW202343668A (zh)
WO (1) WO2018208500A1 (zh)

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10762420B2 (en) 2017-08-03 2020-09-01 Xcelsis Corporation Self repairing neural network
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
EP3563411B1 (en) 2016-12-28 2021-04-14 Invensas Bonding Technologies, Inc. Method of processing a substrate on a temporary substrate
WO2018126052A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10522499B2 (en) 2017-02-09 2019-12-31 Invensas Bonding Technologies, Inc. Bonded structures
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11171117B2 (en) 2018-06-12 2021-11-09 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
KR20210104742A (ko) 2019-01-14 2021-08-25 인벤사스 본딩 테크놀로지스 인코포레이티드 접합 구조체
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
CN115088068A (zh) 2019-12-23 2022-09-20 伊文萨思粘合技术公司 用于接合结构的电冗余
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
JP7453874B2 (ja) 2020-07-30 2024-03-21 芝浦メカトロニクス株式会社 基板処理方法、および基板処理装置
CN111968944A (zh) * 2020-08-24 2020-11-20 浙江集迈科微电子有限公司 一种射频模组超薄堆叠工艺
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US20220139869A1 (en) * 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
WO2024089907A1 (ja) * 2022-10-27 2024-05-02 東京エレクトロン株式会社 基板処理装置、及び基板処理方法

Family Cites Families (331)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5019673A (en) 1990-08-22 1991-05-28 Motorola, Inc. Flip-chip package for integrated circuits
JPH04337694A (ja) 1991-05-15 1992-11-25 Nec Yamagata Ltd 電子部品保護用樹脂膜
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
JPH07193294A (ja) 1993-11-01 1995-07-28 Matsushita Electric Ind Co Ltd 電子部品およびその製造方法
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
KR100274333B1 (ko) 1996-01-19 2001-01-15 모기 쥰이찌 도체층부착 이방성 도전시트 및 이를 사용한 배선기판
US5872046A (en) * 1996-04-10 1999-02-16 Texas Instruments Incorporated Method of cleaning wafer after partial saw
US5956605A (en) 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
KR19990085633A (ko) * 1998-05-20 1999-12-15 윤종용 초음파를 이용한 웨이퍼세척방법
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6500694B1 (en) 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
JP2001313350A (ja) 2000-04-28 2001-11-09 Sony Corp チップ状電子部品及びその製造方法、並びにその製造に用いる疑似ウエーハ及びその製造方法
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
JP3420748B2 (ja) 2000-12-14 2003-06-30 松下電器産業株式会社 半導体装置及びその製造方法
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
TWI309074B (en) * 2002-02-07 2009-04-21 Advanced Epitaxy Technology Method of forming semiconductor device
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
JP4579489B2 (ja) 2002-09-02 2010-11-10 新光電気工業株式会社 半導体チップ製造方法及び半導体チップ
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
JP2004193493A (ja) 2002-12-13 2004-07-08 Nec Machinery Corp ダイピックアップ方法および装置
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
TW586677U (en) 2003-01-22 2004-05-01 Via Tech Inc Stack structure of chip package
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
TWI239629B (en) 2003-03-17 2005-09-11 Seiko Epson Corp Method of manufacturing semiconductor device, semiconductor device, circuit substrate and electronic apparatus
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US6873049B2 (en) 2003-07-31 2005-03-29 The Boeing Company Near hermetic power chip on board device and manufacturing method therefor
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
KR100538158B1 (ko) 2004-01-09 2005-12-22 삼성전자주식회사 웨이퍼 레벨 적층 칩 접착 방법
US20050161808A1 (en) * 2004-01-22 2005-07-28 Anderson Douglas G. Wafer, intermediate wafer assembly and associated method for fabricating a silicon on insulator wafer having an improved edge profile
WO2006019156A1 (ja) 2004-08-20 2006-02-23 Zycube Co., Ltd. 三次元積層構造を持つ半導体装置の製造方法
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
US7262492B2 (en) 2004-09-28 2007-08-28 Intel Corporation Semiconducting device that includes wirebonds
FR2880184B1 (fr) * 2004-12-28 2007-03-30 Commissariat Energie Atomique Procede de detourage d'une structure obtenue par assemblage de deux plaques
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
JP4275096B2 (ja) * 2005-04-14 2009-06-10 パナソニック株式会社 半導体チップの製造方法
US7354862B2 (en) 2005-04-18 2008-04-08 Intel Corporation Thin passivation layer on 3D devices
JP4983049B2 (ja) 2005-06-24 2012-07-25 セイコーエプソン株式会社 半導体装置および電子機器
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7682937B2 (en) * 2005-11-25 2010-03-23 Advanced Laser Separation International B.V. Method of treating a substrate, method of processing a substrate using a laser beam, and arrangement
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US7781309B2 (en) 2005-12-22 2010-08-24 Sumco Corporation Method for manufacturing direct bonded SOI wafer and direct bonded SOI wafer manufactured by the method
US20070158024A1 (en) 2006-01-11 2007-07-12 Symbol Technologies, Inc. Methods and systems for removing multiple die(s) from a surface
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
KR100809696B1 (ko) 2006-08-08 2008-03-06 삼성전자주식회사 사이즈가 상이한 복수의 반도체 칩이 적층된 멀티 칩패키지 및 그 제조방법
JP5011981B2 (ja) * 2006-11-30 2012-08-29 富士通株式会社 デバイス素子製造方法およびダイシング方法
US8178964B2 (en) 2007-03-30 2012-05-15 Advanced Chip Engineering Technology, Inc. Semiconductor device package with die receiving through-hole and dual build-up layers over both side-surfaces for WLP and method of the same
US8178963B2 (en) 2007-01-03 2012-05-15 Advanced Chip Engineering Technology Inc. Wafer level package with die receiving through-hole and method of the same
US20080165521A1 (en) 2007-01-09 2008-07-10 Kerry Bernstein Three-dimensional architecture for self-checking and self-repairing integrated circuits
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
JP2008258383A (ja) 2007-04-04 2008-10-23 Spansion Llc 半導体装置及びその製造方法
DE102007020656B4 (de) 2007-04-30 2009-05-07 Infineon Technologies Ag Werkstück mit Halbleiterchips, Halbleiterbauteil und Verfahren zur Herstellung eines Werkstücks mit Halbleiterchips
US20090001599A1 (en) 2007-06-28 2009-01-01 Spansion Llc Die attachment, die stacking, and wire embedding using film
US20090029274A1 (en) * 2007-07-25 2009-01-29 3M Innovative Properties Company Method for removing contamination with fluorinated compositions
JP5342772B2 (ja) * 2007-10-12 2013-11-13 浜松ホトニクス株式会社 加工対象物切断方法
JP2009135348A (ja) * 2007-12-03 2009-06-18 Panasonic Corp 半導体チップと半導体装置およびそれらの製造方法
US7871902B2 (en) 2008-02-13 2011-01-18 Infineon Technologies Ag Crack stop trenches
CN102113089B (zh) 2008-03-05 2014-04-23 伊利诺伊大学评议会 可拉伸和可折叠的电子器件
WO2009114345A1 (en) 2008-03-07 2009-09-17 3M Innovative Properties Company Dicing tape and die attach adhesive with patterned backing
KR20090106822A (ko) 2008-04-07 2009-10-12 삼성전자주식회사 웨이퍼 본딩 방법 및 그 방법에 의해 본딩된 웨이퍼 구조체
US8253230B2 (en) 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
WO2010024678A1 (en) 2008-09-01 2010-03-04 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Chip die clamping device and transfer method
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
JP2010073964A (ja) * 2008-09-19 2010-04-02 Fujitsu Microelectronics Ltd 半導体装置の製造方法
US7843052B1 (en) 2008-11-13 2010-11-30 Amkor Technology, Inc. Semiconductor devices and fabrication methods thereof
WO2010059781A1 (en) 2008-11-19 2010-05-27 Semprius, Inc. Printing semiconductor elements by shear-assisted elastomeric stamp transfer
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
JP2010245383A (ja) 2009-04-08 2010-10-28 Elpida Memory Inc 半導体装置および半導体装置の製造方法
JP5304536B2 (ja) 2009-08-24 2013-10-02 ソニー株式会社 半導体装置
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
US9202769B2 (en) 2009-11-25 2015-12-01 Stats Chippac, Ltd. Semiconductor device and method of forming thermal lid for balancing warpage and thermal management
EP2339614A1 (en) * 2009-12-22 2011-06-29 Imec Method for stacking semiconductor chips
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
US8138014B2 (en) 2010-01-29 2012-03-20 Stats Chippac, Ltd. Method of forming thin profile WLCSP with vertical interconnect over package footprint
JP2011171614A (ja) 2010-02-22 2011-09-01 Casio Computer Co Ltd 半導体装置及び半導体装置の製造方法
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
US8481406B2 (en) 2010-07-15 2013-07-09 Soitec Methods of forming bonded semiconductor structures
US8415808B2 (en) 2010-07-28 2013-04-09 Sandisk Technologies Inc. Semiconductor device with die stack arrangement including staggered die and efficient wire bonding
US8361842B2 (en) 2010-07-30 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded wafer-level bonding approaches
US8288201B2 (en) 2010-08-25 2012-10-16 Stats Chippac, Ltd. Semiconductor device and method of forming FO-WLCSP with discrete semiconductor components mounted under and over semiconductor die
KR20120032254A (ko) 2010-09-28 2012-04-05 삼성전자주식회사 반도체 적층 패키지 및 이의 제조 방법
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8735260B2 (en) * 2010-12-13 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method to prevent metal pad damage in wafer level package
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
JP5659033B2 (ja) 2011-02-04 2015-01-28 株式会社東芝 半導体装置の製造方法
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
US8623702B2 (en) 2011-02-24 2014-01-07 Stats Chippac, Ltd. Semiconductor device and method of forming conductive THV and RDL on opposite sides of semiconductor die for RDL-to-RDL bonding
US20120235283A1 (en) * 2011-03-16 2012-09-20 Memc Electronic Materials, Inc. Silicon on insulator structures having high resistivity regions in the handle wafer
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
KR20120123919A (ko) 2011-05-02 2012-11-12 삼성전자주식회사 칩 적층 반도체 패키지 제조 방법 및 이에 의해 제조된 칩 적층 반도체 패키지
KR102235927B1 (ko) 2011-05-24 2021-04-05 소니 주식회사 반도체 장치
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8710648B2 (en) 2011-08-09 2014-04-29 Alpha & Omega Semiconductor, Inc. Wafer level packaging structure with large contact area and preparation method thereof
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
KR101906408B1 (ko) 2011-10-04 2018-10-11 삼성전자주식회사 반도체 패키지 및 그 제조 방법
US9123830B2 (en) 2011-11-11 2015-09-01 Sumitomo Bakelite Co., Ltd. Manufacturing method for semiconductor device
TWI467736B (zh) 2012-01-04 2015-01-01 Univ Nat Chiao Tung 立體積體電路裝置
US8698308B2 (en) 2012-01-31 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structural designs to minimize package defects
JP5994274B2 (ja) 2012-02-14 2016-09-21 ソニー株式会社 半導体装置、半導体装置の製造方法、及び、電子機器
US8652940B2 (en) * 2012-04-10 2014-02-18 Applied Materials, Inc. Wafer dicing used hybrid multi-step laser scribing process with plasma etch
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8723309B2 (en) 2012-06-14 2014-05-13 Stats Chippac Ltd. Integrated circuit packaging system with through silicon via and method of manufacture thereof
US8759961B2 (en) 2012-07-16 2014-06-24 International Business Machines Corporation Underfill material dispensing for stacked semiconductor chips
US8963336B2 (en) 2012-08-03 2015-02-24 Samsung Electronics Co., Ltd. Semiconductor packages, methods of manufacturing the same, and semiconductor package structures including the same
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20140070405A1 (en) 2012-09-13 2014-03-13 Globalfoundries Inc. Stacked semiconductor devices with a glass window wafer having an engineered coefficient of thermal expansion and methods of making same
US9368404B2 (en) 2012-09-28 2016-06-14 Plasma-Therm Llc Method for dicing a substrate with back metal
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9054063B2 (en) 2013-04-05 2015-06-09 Infineon Technologies Ag High power single-die semiconductor package
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
FR3007403B1 (fr) * 2013-06-20 2016-08-05 Commissariat Energie Atomique Procede de realisation d'un dispositif microelectronique mecaniquement autonome
KR102077153B1 (ko) 2013-06-21 2020-02-14 삼성전자주식회사 관통전극을 갖는 반도체 패키지 및 그 제조방법
JP2015012244A (ja) 2013-07-01 2015-01-19 株式会社東芝 半導体発光素子
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
CN112442374A (zh) * 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
US9324698B2 (en) 2013-08-13 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip structure and method of forming same
WO2015040798A1 (ja) 2013-09-20 2015-03-26 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
KR102143518B1 (ko) 2013-10-16 2020-08-11 삼성전자 주식회사 칩 적층 반도체 패키지 및 그 제조 방법
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
US9530730B2 (en) 2013-11-08 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Configurable routing for packaging applications
JP6441025B2 (ja) 2013-11-13 2018-12-19 株式会社東芝 半導体チップの製造方法
US9570421B2 (en) 2013-11-14 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking of multiple dies for forming three dimensional integrated circuit (3DIC) structure
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9768038B2 (en) 2013-12-23 2017-09-19 STATS ChipPAC, Pte. Ltd. Semiconductor device and method of making embedded wafer level chip scale packages
US9653442B2 (en) 2014-01-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and methods of forming same
US9343433B2 (en) 2014-01-28 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with stacked dies and methods of forming the same
US9293437B2 (en) 2014-02-20 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Functional block stacked 3DIC and method of making same
US20150255349A1 (en) 2014-03-07 2015-09-10 JAMES Matthew HOLDEN Approaches for cleaning a wafer during hybrid laser scribing and plasma etching wafer dicing processes
US9355997B2 (en) 2014-03-12 2016-05-31 Invensas Corporation Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9418924B2 (en) 2014-03-20 2016-08-16 Invensas Corporation Stacked die integrated circuit
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9076860B1 (en) * 2014-04-04 2015-07-07 Applied Materials, Inc. Residue removal from singulated die sidewall
US8975163B1 (en) * 2014-04-10 2015-03-10 Applied Materials, Inc. Laser-dominated laser scribing and plasma etch hybrid wafer dicing
US9601463B2 (en) 2014-04-17 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out stacked system in package (SIP) and the methods of making the same
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9601437B2 (en) * 2014-09-09 2017-03-21 Nxp B.V. Plasma etching and stealth dicing laser process
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9673096B2 (en) * 2014-11-14 2017-06-06 Infineon Technologies Ag Method for processing a semiconductor substrate and a method for processing a semiconductor wafer
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US9899442B2 (en) 2014-12-11 2018-02-20 Invensas Corporation Image sensor device
JP6305355B2 (ja) * 2015-01-28 2018-04-04 株式会社東芝 デバイスの製造方法
US9508660B2 (en) 2015-02-10 2016-11-29 Intel Corporation Microelectronic die having chamfered corners
DE102015103274A1 (de) 2015-03-06 2016-09-08 HARTING Electronics GmbH Kabelabdichtung
JP6738591B2 (ja) 2015-03-13 2020-08-12 古河電気工業株式会社 半導体ウェハの処理方法、半導体チップおよび表面保護テープ
US10068862B2 (en) 2015-04-09 2018-09-04 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming a package in-fan out package
KR101664411B1 (ko) 2015-06-04 2016-10-14 주식회사 에스에프에이반도체 웨이퍼 레벨의 팬 아웃 패키지 제조방법
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US10352991B2 (en) 2015-07-21 2019-07-16 Fermi Research Alliance, Llc Edgeless large area ASIC
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9754891B2 (en) 2015-09-23 2017-09-05 International Business Machines Corporation Low-temperature diffusion doping of copper interconnects independent of seed layer composition
WO2017052652A1 (en) 2015-09-25 2017-03-30 Intel Corporation Combination of semiconductor die with another die by hybrid bonding
US10032751B2 (en) 2015-09-28 2018-07-24 Invensas Corporation Ultrathin layer for forming a capacitive interface between joined integrated circuit components
US9666560B1 (en) 2015-11-25 2017-05-30 Invensas Corporation Multi-chip microelectronic assembly with built-up fine-patterned circuit structure
JP2017098452A (ja) * 2015-11-26 2017-06-01 株式会社ディスコ 洗浄方法
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US20170200659A1 (en) 2016-01-08 2017-07-13 International Business Machines Corporation Porous underfill enabling rework
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US11373990B2 (en) * 2016-02-29 2022-06-28 Semtech Corporation Semiconductor device and method of stacking semiconductor die for system-level ESD protection
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
KR102521881B1 (ko) 2016-06-15 2023-04-18 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9818729B1 (en) 2016-06-16 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure and method
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
KR102570582B1 (ko) 2016-06-30 2023-08-24 삼성전자 주식회사 반도체 패키지 및 그 제조 방법
US9859254B1 (en) 2016-06-30 2018-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and a manufacturing method thereof
US9966360B2 (en) 2016-07-05 2018-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10672741B2 (en) 2016-08-18 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with thermal-electrical-mechanical chips and methods of forming the same
KR102649471B1 (ko) 2016-09-05 2024-03-21 삼성전자주식회사 반도체 패키지 및 그의 제조 방법
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10762420B2 (en) 2017-08-03 2020-09-01 Xcelsis Corporation Self repairing neural network
US9722098B1 (en) 2016-10-18 2017-08-01 Ase Electronics (M) Sdn Bhd Semiconductor device package and method of manufacturing the same
US10304801B2 (en) 2016-10-31 2019-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layers in semiconductor packages and methods of forming same
US20180130768A1 (en) 2016-11-09 2018-05-10 Unisem (M) Berhad Substrate Based Fan-Out Wafer Level Packaging
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
EP3563411B1 (en) 2016-12-28 2021-04-14 Invensas Bonding Technologies, Inc. Method of processing a substrate on a temporary substrate
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
WO2018126052A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
US9865567B1 (en) 2017-02-02 2018-01-09 Xilinx, Inc. Heterogeneous integration of integrated circuit device and companion device
US10522499B2 (en) 2017-02-09 2019-12-31 Invensas Bonding Technologies, Inc. Bonded structures
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10658335B2 (en) 2017-06-16 2020-05-19 Futurewei Technologies, Inc. Heterogenous 3D chip stack for a mobile processor
US10707145B2 (en) 2017-09-08 2020-07-07 Kemet Electronics Corporation High density multi-component packages
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US10332899B2 (en) 2017-09-29 2019-06-25 Intel Corporation 3D package having edge-aligned die stack with direct inter-die wire connections
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10672820B2 (en) 2017-11-23 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonded structure
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US11127738B2 (en) 2018-02-09 2021-09-21 Xcelsis Corporation Back biasing of FD-SOI circuit blocks
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US10991804B2 (en) 2018-03-29 2021-04-27 Xcelsis Corporation Transistor level interconnection methodologies utilizing 3D interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US10937743B2 (en) 2018-04-30 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mixing organic materials into hybrid packages
US10403577B1 (en) 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11469138B2 (en) 2018-05-04 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via for coupling attached component upper electrode to substrate
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10510629B2 (en) 2018-05-18 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method of forming same
US10727204B2 (en) 2018-05-29 2020-07-28 Advances Micro Devices, Inc. Die stacking for multi-tier 3D integration
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
US11171117B2 (en) 2018-06-12 2021-11-09 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US10333623B1 (en) 2018-06-25 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Optical transceiver
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US20200035641A1 (en) 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US10868353B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic device and manufacturing method thereof
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11158607B2 (en) 2018-11-29 2021-10-26 Apple Inc. Wafer reconstitution and die-stitching
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
KR20210104742A (ko) 2019-01-14 2021-08-25 인벤사스 본딩 테크놀로지스 인코포레이티드 접합 구조체
US11387202B2 (en) 2019-03-01 2022-07-12 Invensas Llc Nanowire bonding interconnect for fine-pitch microelectronics
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10770430B1 (en) 2019-03-22 2020-09-08 Xilinx, Inc. Package integration for memory devices
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11094613B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US20210118864A1 (en) 2019-10-21 2021-04-22 Invensas Corporation Non-Volatile Dynamic Random Access Memory
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
CN115088068A (zh) 2019-12-23 2022-09-20 伊文萨思粘合技术公司 用于接合结构的电冗余
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
CN115943489A (zh) 2020-03-19 2023-04-07 隔热半导体粘合技术公司 用于直接键合结构的尺寸补偿控制
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US20220139869A1 (en) 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
WO2022094587A1 (en) 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
TW202243181A (zh) 2020-12-28 2022-11-01 美商英帆薩斯邦德科技有限公司 具有直通基板穿孔的結構以及形成此結構的方法
JP2024501016A (ja) 2020-12-28 2024-01-10 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 基板貫通ビアを有する構造体及びそれを形成する方法
WO2022147459A1 (en) 2020-12-30 2022-07-07 Invensas Bonding Technologies, Inc. Structure with conductive feature and method of forming same
US20220208723A1 (en) 2020-12-30 2022-06-30 Invensas Bonding Technologies, Inc. Directly bonded structures
JP2024513304A (ja) 2021-03-03 2024-03-25 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 直接接合のためのコンタクト構造
KR20230164153A (ko) 2021-03-31 2023-12-01 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 캐리어의 직접 결합 및 분리
US20220320035A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
KR20230163554A (ko) 2021-03-31 2023-11-30 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 캐리어의 직접 결합 및 분리
US20230005850A1 (en) 2021-06-30 2023-01-05 Invensas Bonding Technologies, Inc. Element with routing structure in bonding layer
CN117859202A (zh) 2021-07-16 2024-04-09 美商艾德亚半导体接合科技有限公司 用于接合结构的光学阻塞保护元件

Also Published As

Publication number Publication date
TW202209560A (zh) 2022-03-01
US20210104487A1 (en) 2021-04-08
EP3635775A1 (en) 2020-04-15
JP2022163235A (ja) 2022-10-25
TWI749220B (zh) 2021-12-11
CN117497456A (zh) 2024-02-02
WO2018208500A1 (en) 2018-11-15
US20230282610A1 (en) 2023-09-07
TWI809576B (zh) 2023-07-21
US20180331066A1 (en) 2018-11-15
KR20190140967A (ko) 2019-12-20
KR102320674B1 (ko) 2021-11-01
JP2020520118A (ja) 2020-07-02
EP3635775A4 (en) 2021-05-26
CN110574151B (zh) 2023-12-15
US10879212B2 (en) 2020-12-29
CN110574151A (zh) 2019-12-13
JP7129427B2 (ja) 2022-09-01
US11652083B2 (en) 2023-05-16
TW201907505A (zh) 2019-02-16

Similar Documents

Publication Publication Date Title
TWI809576B (zh) 經處理的堆疊晶粒
TWI778223B (zh) 用於處理裝置的技術
TWI809092B (zh) 用於簡化的輔具晶圓的dbi至矽接合
TWI756476B (zh) 用於互連的擴散障壁環
TWI744443B (zh) 堆疊基板的處理
TW202236439A (zh) 直接接合方法及結構
KR101784655B1 (ko) 반도체 디바이스 및 방법
WO2022057013A1 (zh) 晶圆键合方法
TWI836575B (zh) 用於處理裝置的技術
TWI836062B (zh) 用於低密度矽氧化物的熔融接合與脫接方法及結構
TW202414562A (zh) 基板接合方法及接合基板
CN111863704A (zh) 用于熔接和剥离的低密度硅氧化物的方法和结构
KR20070003006A (ko) 반도체 장치의 제조방법