JP2020520118A - 処理された積層ダイ - Google Patents

処理された積層ダイ Download PDF

Info

Publication number
JP2020520118A
JP2020520118A JP2019562412A JP2019562412A JP2020520118A JP 2020520118 A JP2020520118 A JP 2020520118A JP 2019562412 A JP2019562412 A JP 2019562412A JP 2019562412 A JP2019562412 A JP 2019562412A JP 2020520118 A JP2020520118 A JP 2020520118A
Authority
JP
Japan
Prior art keywords
microelectronic
component
dielectric layer
semiconductor die
die
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019562412A
Other languages
English (en)
Other versions
JP2020520118A5 (ja
JP7129427B2 (ja
Inventor
シプリアン エメカ ウゾー
シプリアン エメカ ウゾー
ギリアン ガオ
ギリアン ガオ
ローラ ウィルズ ミルカリミ
ローラ ウィルズ ミルカリミ
ジュニア ガイウス ギルマン ファウンテン
ジュニア ガイウス ギルマン ファウンテン
Original Assignee
インヴェンサス ボンディング テクノロジーズ インコーポレイテッド
インヴェンサス ボンディング テクノロジーズ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インヴェンサス ボンディング テクノロジーズ インコーポレイテッド, インヴェンサス ボンディング テクノロジーズ インコーポレイテッド filed Critical インヴェンサス ボンディング テクノロジーズ インコーポレイテッド
Publication of JP2020520118A publication Critical patent/JP2020520118A/ja
Publication of JP2020520118A5 publication Critical patent/JP2020520118A5/ja
Priority to JP2022131656A priority Critical patent/JP2022163235A/ja
Application granted granted Critical
Publication of JP7129427B2 publication Critical patent/JP7129427B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02076Cleaning after the substrates have been singulated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67121Apparatus for making assemblies not otherwise provided for, e.g. package constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3185Partial encapsulation or coating the coating covering also the sidewalls of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/03622Manufacturing methods by patterning a pre-deposited material using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0381Cleaning, e.g. oxide removal step, desmearing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • H01L2224/05557Shape in side view comprising protrusions or indentations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83009Pre-treatment of the layer connector or the bonding area
    • H01L2224/8301Cleaning the layer connector, e.g. oxide removal step, desmearing
    • H01L2224/83013Plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83009Pre-treatment of the layer connector or the bonding area
    • H01L2224/8303Reshaping the layer connector in the bonding apparatus, e.g. flattening the layer connector
    • H01L2224/83031Reshaping the layer connector in the bonding apparatus, e.g. flattening the layer connector by chemical means, e.g. etching, anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Dicing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Liquid Crystal Substances (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Die Bonding (AREA)

Abstract

技術及び方法の代表的な実装形態は、接合の準備のために個片化されたダイを処理することを含む。複数の半導体ダイ構成要素は、ウェハ構成要素から個片化され得、半導体ダイ構成要素は各々、実質的に平坦な表面を有する。材料の粒子及び破片は、複数の半導体ダイ構成要素の縁部から除去され得る。加えて、複数の半導体ダイ構成要素のうちの1つ以上は、実質的に平坦な表面を介して、準備された接合表面に接合され得る。【選択図】図5

Description

(優先権主張及び関連出願の相互参照)
本出願は、2018年4月23日に出願された米国特許出願第15/960,179号、及び2017年5月11日に出願された米国特許仮出願第62/504,834号の米国特許法第119条(e)(1)の利益を主張するものであり、その全体が参照により本明細書に組み込まれる。
(発明の分野)
以下の説明は、集積回路(integrated circuit、「IC」)の処理に関する。より具体的には、以下の説明は、接合の準備において個片化されたダイを処理するための技術に関する。
ダイは、様々な超小型電子パッケージングスキームの一部として、三次元配置で積層させることができる。このことは、より大きなベースダイ上に1つ以上のダイの層を積層することと、複数のダイを縦方向配置で積層することと、両方の様々な組み合わせと、を含むことができる。ダイはまた、ウェハ上に積層されてもよく、又はウェハが個片化の前に他のウェハ上に積層されてもよい。いくつかの場合、ダイ又はウェハは、両方ともInvensas Bonding Technologies,Inc.(以前のZiptronix,Inc.)、Xperi Corpから入手可能である、ZiBond(登録商標)直接誘電接合技術又はDBI(登録商標)ハイブリッド接合技術などの直接誘電接合、非接着技術の使用を含む、様々な接合技術を使用して、積層配置で接合されてもよい(例えば、その全体が本明細書に組み込まれる米国特許第6,864,585号及び同第7,485,968号を参照されたい)。
直接接合技術を使用してスタックドダイを接合するとき、接合されるダイの表面は、極めて平坦かつ平滑であることが望ましい。例えば、表面が緊密に嵌合して持続する接合を形成することができるように、表面の表面トポロジー変動は非常に小さくするべきである。表面は清浄であり、不純物、粒子及び/又は他の残渣がないことも望ましい。望ましくない粒子の存在は、例えば、粒子の位置において接合に欠陥があるか、又は信頼性を有しないものにする場合がある。例えば、接合表面上に残っているいくらかの粒子及び残渣は、積層ダイ間の接合界面に空隙をもたらす場合がある。空隙が金属電気相互接続寸法よりも実質的に小さい場合、それらは許容可能であり得る。しかしながら、電気相互接続寸法に近い又はそれを超える寸法の接合欠陥を引き起こす粒子は、相互接続の導電性に悪影響を及ぼす可能性があるため、許容し得ない。
半導体ウェハ(例えばシリコンウェハなど)は脆いため、個片化されたダイの縁部に欠陥又は粒子が発生するのが一般的である。例として、シリコンは切断中に割れて、遊離粒子を形成する場合がある。機械的切断又はソーイングは、しばしば粗い縁部を残し、シリコンの粒子又は破片をカットダイの縁部の上又は近くに残す場合もある。加えて、機械的ソーダイシングは、典型的には、ダイシングシートから個片化されたダイの側壁及び縁部に材料を転写する。レーザ切断はまた、ダイの表面又は縁部上に粒子を残す場合がある。様々なプロセスを使用して、切断後のダイの表面を洗浄することができる。しかしながら、このプロセスは、多くの場合、ダイの周辺部に、又はダイの縁壁にいくらかの粒子を残す場合がある。ダイの表面が研磨されている場合でも、ダイの縁部又は側壁に破片が存在する場合がある。残された遊離粒子と破片は、信頼できる接合を形成する上で問題になり得る。加えて、これらの遊離粒子又は部分的遊離粒子は、後続の動作で対象の接合表面又は接合ツールなどを再汚染する場合がある。
詳細な説明は、添付の図を参照して説明される。図において、参照番号の左端の数字(複数可)は、最初に参照番号が現れる図を特定する。異なる図における同じ参照番号の使用は、類似又は同一の項目を示す。
この説明では、図に図示されるデバイス及びシステムは、多数の構成要素を有するものとして示されている。本明細書に記載されるようなデバイス及び/又はシステムの様々な実装形態は、より少ない構成要素を含んでもよく、本開示の範囲内にとどまり得る。あるいは、デバイス及び/又はシステムの他の実装形態は、追加の構成要素、又は記載された構成要素の様々な組み合わせを含んでもよく、本開示の範囲内にとどまる。
(A)は一実施形態による、ダイの上面の欠陥を示すプロファイル図である。(B)は欠陥のある接合ダイの断面を示すプロファイル図である。(C)は欠陥のない接合ダイの断面を示すプロファイル図である。 一実施形態による、積層ダイを処理する例示的なプロセスを示すグラフィカルフロー図である。 別の実施形態による、積層ダイを処理する例示的なプロセスを示すグラフィカルフロー図である。 更なる実施形態による、積層ダイを処理する例示的なプロセスを示すグラフィカルフロー図である。 (A)は一実施形態による、陥凹酸化物領域を備えたダイのプロファイル図である。(B)は陥凹酸化物領域を備えたダイのプロファイル図の拡大図である。(C)は陥凹酸化物領域を有する接合ダイ配置の例である。 一実施形態による、積層ダイを処理するための例示的なプロセスを示すフロー図である。
接合の準備において個片化されたダイを処理するために、様々な実施形態及び技術を使用することができる。実施形態は、ダイ上に見出される欠陥の蓄積を再測定するための技術を含み、ダイの縁部において粒子を除去、溶解、又はエッチングして、平滑な接合表面を提供することを含む。ダイは、半導体又は非半導体材料で構成されていてもよい。半導体材料は、例えば、直接バンドギャップ又は間接バンドギャップ半導体、及びそれらの組み合わせを含んでもよい。非半導体材料は、例えば、ガラス、セラミック、ガラスセラミック、炭化ケイ素、シリコンオキシカーバイド、シリコンナイトライド又はシリコンオキシナイトライド、ダイヤモンド、シリコン酸化物など、又はそれらの組み合わせなどの誘電体材料を含んでもよい。
超小型電子システムは、少なくとも、ベース半導体層及び誘電体層を含む第1の超小型電子構成要素を含み得、誘電体層は、実質的に平坦な表面を有する。加えて、第2の超小型電子構成要素は、第1の超小型電子構成要素の誘電体層に接着剤を用いずに直接接合されてもよく、誘電体層が、誘電体層の面積が第1及び/又は第2の超小型電子構成要素の占有面積よりも小さいように、誘電体層の周辺部にアンダーカットを有する。あるいは、第2の超小型電子構成要素は、少なくとも第2のベース半導体層及び第2の誘電体層を含んでもよく、第2の誘電体層は、実質的に平坦な表面を有する。加えて、第2の誘電体層は、第1の誘電体層に接着剤を用いずに直接接合され得、第1及び第2の実質的に平坦な表面において、第1のベース半導体層及び第2のベース半導体層が、それぞれ、第1のベース半導体層の占有面積及び第2のベース半導体層の占有面積が第1及び/又は第2の誘電体層の面積よりも小さいように、第1及び第2のベース半導体層の周辺部にアンダーカットを有する。
第1の実施形態では、第1の超小型電子構成要素及び/又は第2の超小型電子構成要素のベース半導体層の周辺部におけるアンダーカットは、第1の超小型電子構成要素及び/又は第2の超小型電子構成要素の誘電体層の周辺部におけるアンダーカットに対応してもよい。
第2の実施形態では、第2の超小型電子構成要素は、少なくとも、ベース半導体層及び実質的に平坦な表面を有する誘電体層を含んでもよく、第1の超小型電子構成要素の誘電体層が、第2の超小型電子構成要素の誘電体層に直接接合され、第2の超小型電子構成要素の誘電体層が、第2の超小型電子構成要素の誘電体層の面積が第1及び/又は第2の超小型電子構成要素の占有面積よりも小さいように、第2の超小型電子構成要素の誘電体層の周辺部にアンダーカットを有する。
超小型電子システムを形成するための方法は、ウェハ構成要素から複数の半導体ダイ構成要素を個片化することを含み得、半導体ダイ構成要素は各々、実質的に平坦な表面を有する。材料の粒子及び破片は、複数の半導体ダイ構成要素の縁部から除去され得る。加えて、複数の半導体ダイ構成要素のうちの1つ以上は、実質的に平坦な表面を介して、準備された接合表面に接合され得る。
第3の実施形態では、材料の粒子及び破片は、複数の半導体ダイ構成要素の縁部をエッチングすることによって除去され得る。複数の半導体ダイ構成要素がダイシングキャリア(例えばダイシングシート、ダイシングテープなど)上にある間に、複数の半導体ダイ構成要素の縁部がエッチングされてもよい。加えて、複数の半導体ダイ構成要素の縁部は、化学エッチング液を使用してエッチングされてもよい。一実施態様では、化学エッチング液は、ベンゾトリアゾール(Benzotriazole、BTA)と共にフッ化水素酸及び硝酸を含み、又はエッチング液中のCu溶出を阻害する他の化学物質を含むことができる。更に、複数の半導体ダイ構成要素の縁部は、プラズマエッチングを使用してエッチングされてもよい。また、複数の半導体ダイ構成要素の各々の縁部のうちの1つ以上において空間が創られるように、複数の半導体ダイ構成要素の縁部をエッチングして複数の半導体ダイ構成要素の厚さを低減することができる。半導体ダイ構成要素は、実質的に平坦な表面として酸化物層を含み、エッチングすることは、複数の半導体ダイ構成要素の縁部において酸化物層の少なくとも一部分を除去することを含んでもよい。それでもなお、複数の半導体ダイ構成要素の実質的に平坦な表面がエッチングされてもよい。実質的に平坦な表面は、事前選択された深さ又は事前選択された持続時間にエッチングされてもよい。
第4の実施形態では、エッチング前に、複数の半導体ダイ構成要素の実質的に平坦な表面に保護コーティングを塗布して、実質的に平坦な表面をエッチング液から保護することができる。
第5の実施形態では、個片化の後に複数の半導体ダイ構成要素を加熱して、複数の半導体ダイ構成要素の周辺部から保護コーティングを後退させてもよい。更に、複数の半導体ダイ構成要素の周辺部は、事前選択された深さまでエッチングされてもよい。また、複数の半導体ダイ構成要素は、ベース半導体層上に誘電体層を含んでもよい。また、複数の半導体ダイ構成要素の周辺部をエッチングして誘電体層を除去し、複数の半導体ダイ構成要素の周辺部にベース半導体層を露出させることができる。
第6の実施形態では、複数の半導体ダイ構成要素のうちの1つ以上は、接着剤を用いない直接接合技術又は金属間拡散接合のいずれかによって接合されてもよい。
第7の実施形態では、材料の粒子及び破片は、複数の半導体ダイ構成要素の側壁から除去されてもよく、粒子及び破片は、複数の半導体ダイ構成要素の側壁をエッチングすることによって側壁から除去される。
一実施形態では、個片化工程の後、材料の粒子及び破片は、1つ以上のアルカリ性流体中の超音波又はメガソニック放射によってダイの側壁から除去されてもよい。粒子の除去に続いて、ダイの側壁を更にエッチングして、側壁の部分及びダイの平坦な誘電体層の部分を除去することができる。
開示されたプロセスのいくつかは、グラフィックフロー図及び/又はテキストフロー図を含むブロックフロー図を使用して例示され得る。開示されたプロセスが記載される順序は、限定として解釈されることを意図するものではなく、任意の数の記載されたプロセスブロックを、プロセス、又は代替プロセスを実施するための任意の順序で組み合わせることができる。更に、個々のブロックは、本明細書に記載される主題の趣旨及び範囲から逸脱することなく、プロセスから削除されてもよい。更に、開示されるプロセスは、本明細書に記載される主題の範囲から逸脱することなく、任意のハードウェア、ソフトウェア、ファームウェア、又はそれらの組み合わせと共に、任意の好適な製造又は処理装置又はシステムに実装することができる。
実装形態は、複数の実施例を使用して以下でより詳細に説明される。様々な実装形態及び実施例が本明細書で以下に記載されているが、個々の実装形態及び実施例の特徴及び要素を組み合わせることによって、更なる実装形態及び実施例が可能であり得る。
概要
接合の準備において個片化されたダイを処理するために、様々な実施形態及び技術を使用することができる。本実施形態は、ダイの個片化中に発生する粒子を含む、ダイ上に見出される粒子の蓄積を再測定するための技術を含み、ダイの縁部において破片を除去、溶解、又はエッチングして、平滑な接合表面を提供することを含む。
図1(A)は、一実施形態による、ダイの上面上の欠陥を示すプロファイル図である。示されるように、第1のダイ102は、いかなる欠陥も伴わずに示されている。対照的に、欠陥106を有する第2のダイ104が示されている。当然のことながら、欠陥106は、第1のダイ102及び/又は第2のダイ104の任意の表面、側壁、及び/又は縁部上に生じ得ることを理解されたい。
第1のダイ102及び/又は第2のダイ104は、GaAs、ダイヤモンドコーティング基板、炭化ケイ素、酸化ケイ素、窒化ケイ素、シリコンウェハ、ニオブ酸リチウム、リチウムタンタル、フラットパネル、ガラス、セラミックス、回路基板、パッケージ、インターポーザ、埋め込まれた金属層を有する又は有しない構造体、導電相互接続108、デバイス(複数可)などから個片化及び/又は除去することができる。一実施形態では、欠陥106は、粒子及び/又は破片を含んでもよく、第1のダイ102及び/又は第2のダイ104をダイ切断、ダイシング、及び/又は個片化することによって生じ得る。例えば、第1のダイ102及び/又は第2のダイ104の機械的切断(すなわち、ソーイング)は、特に縁部及び/又は側壁における粒子106などの欠陥を引き起こし得る。加えて、第1のダイ102及び/又は第2のダイ104が切断されると(レーザを使用しても)、第1のダイ102及び/又は第2のダイ104は、クラックを生じ得る、及び/又は粒子106(酸化ケイ素粒子など)を生成し得る。更に、粒子106の第1のダイ102及び/又は第2のダイ104の破片を研磨した後、第1のダイ102及び/又は第2のダイ104の縁部及び/又は側壁上に依然として存在し得る。
図1(B)は、粒子106などの欠陥を有する接合されたダイの断面を示すプロファイル図である。示されるように、第2のダイ104の接合表面の一部分に欠陥106が存在する場合、第1のダイ102は第2のダイ104に完全に接合することができない。これは、第1のダイ102と第2のダイ104との間に見出される間隙110(又は空隙)によって示される。この間隙110は、接合の完全性が損なわれる場合、又は間隙110がダイ102及び104の接合表面に存在する場合、嵌合する電気相互接続108の導電率に悪影響を及ぼすほど十分に大きい場合、許容不可能であり得る。上述のように、欠陥106は、第2のダイ104の接合表面上に見出され得るが、追加又は他の欠陥(粒子など)は、第1のダイ102及び/又は第2のダイ104の別の表面及び/又は側壁に沿って見出され得る。
図1(C)は、欠陥なく、緊密に接合されたダイの断面を示すプロファイル図である。図示のように、第1のダイ102は、第2のダイ104に完全かつ純然に接合されている。ダイ102及び104の表面における任意の導電相互接続108も同様に接合され、相互接続108間の信頼性の高い導電性を有する。図1(C)は、接合のために各々が適切に準備された後の第1のダイ102及び第2のダイ104を示す。例えば、第1のダイ102及び/又は第2のダイ104の縁部及び側壁は、シリコンの粒子及び破片を除去するために、洗浄及びエッチングされてもよい。第1のダイ102及び/又は第2のダイ104の縁部は、ドライ(プラズマ)エッチング及び/又はウェット(化学)エッチングでエッチングされてもよく、一方で、第1のダイ102及び/又は第2のダイ104は、個片化後も依然としてキャリア(例えば、ダイシングシート又はテープ、グリップリングなど)上にある。第1のダイ102及び/又は第2のダイ104の接合表面に保護コーティングを適用して、個片化及びエッチング中に表面を保護することができる。一例では、第1のダイ102及び/又は第2のダイ104の表面及び側壁はエッチングされてもよく、一方、別の例では、エッチングは、第1のダイ102及び/又は第2のダイ104の側壁に限定されてもよい。相互接続108は、単純化して示され、縮尺どおりに示されていないことに留意されたい。例えば、相互接続108は、相互接続108を一緒に形成する1つ以上の層を含んでもよい。更に、相互接続108は、ダイ102及び104のいずれか若しくは両方を通って部分的若しくは純然に延在してもよく、又はダイ102及び104内のトレース相互接続デバイスのパターンとしてダイ102及び104の表面(複数可)にのみ、若しくはそれに沿って提供されてもよい。
実施形態例
図2は、一実施形態による、積層ダイを処理する例示的プロセス200を示す。(A)において、基板202(例えばシリコンウェハであってもよい)は、酸化物などの絶縁体若しくは誘電体層、又は複合接合層、例えば絶縁材料(酸化物など)と導電相互接続層との組み合わせを含み得る接合層204を含んでもよい。この接合層204は、基板202の片面又は両面に形成されてもよい。層(複数可)204は、第1の保護層206及び/又は第2の保護層208によって保護されてもよい。あるいは、基板202は、露出していてもよく、及び/又は任意の数の保護層を有してもよい。
(B)において、基板202は、キャリア212上で複数の個片化されたダイ210に個片化されてもよい。一実施形態では、キャリア212は、処理シート、ダイシングシート又はテープ、グリップリングなどを含んでもよい。加えて、基板202は、ソーダイシング、ウェットエッチング、又はドライエッチング若しくはレーザ方法、又はこれらの組み合わせを使用して個片化されてもよい。一実施形態では、個片化されたダイ210は、実質的に平坦な表面を有してもよい。
(C)において、個片化されたダイ210は、紫外線(ultra-violet light、UV)に曝露されてもよい(例えば、基板202のキャリア212として使用されるテープ上の接着剤層を硬化させて、テープなどに接触するダイ210表面間の接着を低減してもよい)。加えて、一実施形態では、個片化されたダイ210がキャリア212上にある間に、個片化されたダイ210を洗浄し、更に処理する準備として、キャリア212上に伸張させることができる。更なる処理は、例えば、個片化されたダイ210の厚さを低減することを含んでもよい。
(D)において、個片化されたダイ210を洗浄することができ、個片化されたダイ210の側壁をエッチングすることができる。例えば、洗浄は、保護層206及び/又は保護層208を含む1つ以上の保護層を除去してもよい。一実施形態では、エッチングは、酸化ケイ素、窒化ケイ素、及び/又はシリコンを溶解して、粒子及び/又は破片を除去することができる。酸を含む化学エッチング液211を使用して、接合層204を含むダイ210の表面の周辺部をエッチングすることができ、また、個片化されたダイ210の側壁をエッチングするために使用されてもよい。個片化されたダイ210の表面及び/又は側壁がエッチングされる(例えば、シリコンダイ210に関して)例では、エッチング液211は、フッ化水素酸と好適な酸化剤、例えば硝酸との化学混合物を含んでもよい。一部の用途では、ウェットエッチング液は、酸化剤と組み合わせた緩衝フッ化水素酸と好適な有機酸との混合物から構成されてもよい。他の用途では、エッチング溶液に好適な金属錯化剤を追加して、エッチング液からダイ210接合表面上の金属を保護することができる。一実施例では、金属錯化剤又は不動態化剤は、トリアゾール部分、例えばベンゾトリアゾール(BTA)などを有する分子から構成されてもよい。一実施形態では、BTAは、エッチング溶液による腐食又は溶解から、個片化されたダイ210の表面上の銅を保護することができる。
ダイ210の表面(及び側壁)をエッチングし、保護層206及び/又は208から剥離した後、錯化剤はダイ210の接合表面から除去される。ウェットエッチングの代替として、ダイ210の側壁はまた、シリコンエッチングに使用されるプロセスと同様のプラズマプロセスを使用することを含めて、ドライエッチング法を使用して洗浄されてもよい。ドライ側壁エッチング工程の後、保護層206は、ダイ210の側壁の接合表面から剥離され得る。保護層206を洗浄することはまた、ドライエッチングに起因する任意の有機材料残渣を洗浄することを含んでもよい。一実施形態では、加工されたダイ210の側壁上の有機残渣は、そのまま残されてもよい。強力に接着した側壁有機残渣は、ダイ210から脱落する後続粒子を最小限に抑えることができる。
加えて、個片化されたダイ210の洗浄及び/又は更なる処理は、スピン固定具214(又は同様のもの)上で生じ得る。化学エッチング液211は、ダイシングされたウェハ表面上に噴霧され、ダイ210の上面上に薄層を形成し、ダイ210間の間隙を埋める。一実施形態では、個片化されたダイ210の側壁をエッチングすることにより、ダイ210の側壁上の欠陥を除去することができる。
任意選択的に、一実施形態において、ダイ210の側壁は、側壁及び側壁上に存在し得る任意の粒子及び/又は破片にコーティングするように選択的にコーティングされてもよい。例えば、選択的コーティング218は、スピンコーティングプロセス、エレクトロコーティングプロセスなどを使用して、側壁に適用されてもよい。粒子及び/又は破片は、コーティング218で側壁にコーティングされて、粒子及び/又は破片を側壁に付着させ、粒子及び/又は破片が、ダイ210の接合表面を含むダイ210の他の領域を汚染することを防止する。様々な実施形態では、コーティング層218は、側壁のシリコンに付着し、一般にいずれの他の表面にも付着しないガラス、ホウ素ドープガラス、リンドープガラスなどの材料を含む。
様々な実施形態において、コーティング層218は、粒子及び破片をダイ210の側壁に捕捉し、それらが側壁から脱落するのを防ぐ、約50nm以下の層を含む。コーティング層218は、所定の温度(例えば、約80℃など)で所定の持続時間にわたって、安定化のためにダイ210に熱硬化されてもよい。コーティング層218は、上述のようにダイ210を洗浄した後に追加することができるが、様々な実施形態では、コーティング層218は、プロセス200の他の工程で側壁に堆積されてもよい。
(E)において、個片化されたダイ210は、プラズマプロセス(例えば、アッシングなど)を受けて、保護層206の任意の残渣を除去することができる。(F)において、個片化されたダイ210を洗浄して、工程(E)から得られる残留物の任意の残渣又は粒子を除去することができる。(G)において、個片化されたダイ210(酸化物層204の一方又は両方を含む)は、プラズマ活性化(表面活性化)されて、直接接合のために個片化されたダイ210を準備することができる。(H)において、プラズマ活性化個片化されたダイ210を洗浄することができる。(I)において、個片化されたダイ210のうちの1つ以上は、第2の基板216の準備された表面に接合されてもよい。具体的には、個片化されたダイ210の接合層204(例えば、導電層を有する又は有しない酸化物又は誘電体層)は、第2の基板216の準備された表面に直接接合されてもよい。一実施形態では、(接合層204を介して)個片化されたダイ210は、ZIBOND(登録商標)直接接合、又はDBI(登録商標)ハイブリッド接合、技術などを使用して、第2の基板216に接合されてもよく、個片化されたダイ210は、接着剤を使用することなく、第2の基板216の表面の部分に直接接合される(及び、場合によっては、電気的に接続される)。
様々な実装形態では、基板216は、シリコンウェハ、GaAsダイヤモンドコーティング基板、炭化ケイ素、酸化ケイ素、窒化ケイ素、ニオブ酸リチウム、タンタルタンタル酸リチウム、フラットパネル、ガラス、セラミック、回路基板、パッケージ、インターポーザ、埋め込みデバイスを有する又は有しない構造などの別の準備された表面を含んでもよい。一実施形態では、準備された基板216は、以下で更に論じるように、別のダイ210又は別の接合ダイ304の表面を含む。
図3は、一実施形態による、積層ダイを処理する例示的プロセス300を示す。上述したように、プロセス300の工程(A)〜(D)は、プロセス200の工程(A)〜(D)と一致する方法で機能する。これは、ダイ210の表面及び周辺部(同じ又は別個のプロセス工程で)をエッチングして、ダイ210の表面及び周辺部からシリコン又は酸化物の粒子及び破片を除去することを含む。
上述のように、任意選択的に、一実施形態において、ダイ210の側壁は、側壁及び側壁上に存在し得る任意の粒子及び/又は破片にコーティングするように選択的にコーティングされてもよい。例えば、選択的コーティング218は、スピンコーティングプロセス、エレクトロコーティングプロセスなどを使用して、側壁に適用されてもよい。粒子及び/又は破片は、コーティング218で側壁にコーティングされて、粒子及び/又は破片を側壁に付着させ、粒子及び/又は破片が、ダイ210の接合表面を含むダイ210の他の領域を汚染することを防止する。様々な実施形態では、コーティング層218は、側壁のシリコンに付着し、一般にいずれの他の表面にも付着しないガラス、ホウ素ドープガラス、リンドープガラスなどの材料を含む。
様々な実施形態において、コーティング層218は、粒子及び破片をダイ210の側壁に捕捉し、それらが側壁から脱落するのを防ぐ、約50nm以下の層を含む。コーティング層218は、所定の温度(例えば、約80℃など)で所定の持続時間にわたって、安定化のためにダイ210に熱硬化されてもよい。コーティング層218は、上述のようにダイ210を洗浄した後に追加することができるが、様々な実施形態では、コーティング層218は、プロセス300の他の工程で側壁に堆積されてもよい。
プロセス300を(E)で引き続き参照すると、個片化されたダイ210は、スピン固定具214(又は同様のもの)に移送され、スピンプレート214などの単一のキャリア上で処理/洗浄されてもよい(いくつかの実施形態では個片化を含む)。あるいは、個片化されたダイ210は、各ステーションにおける1つ以上のプロセスのために、異なるキャリア(スピンプレート302など)間で移送することができる。(F)において、個片化されたダイ210は、プラズマ処理を受けて、スピンプレート302上にある間に(プロセス200の工程(E)と同様の方法で)保護層206の任意の残渣を除去することができる。
(G)において、個片化されたダイ210を洗浄して、(F)でのプラズマプロセスから得られる残渣を除去することができる。(H)において、個片化されたダイ210は、プラズマ活性化(表面活性化)されて、直接接合のために個片化されたダイ210(接合層(複数可)204を含む)を準備することができる。(I)において、プラズマ活性化個片化されたダイ210を洗浄することができる。
(J)において、個片化されたダイ210のうちの1つ以上は、第2の基板216の準備された表面に接合されてもよい。具体的には、接合層204(例えば、導電層を有する又は有しない酸化物又は誘電体層)は、第2の基板216の準備された表面に接合されてもよい。一実施形態では、(酸化物層204を介して)個片化されたダイ210は、ZIBOND(登録商標)直接接合、又はDBI(登録商標)ハイブリッド接合、技術などを使用して、(例えば、接着剤又は介在層を有さずに)第2の基板216に直接接合されてもよい。
(K)において、個片化されたダイ210と同様に準備された1つ以上の追加の個片化されたダイ304(例えば、ダイ304もまた基板202から個片化されてもよい)は、1つ以上のダイ積層体を形成する個片化されたダイ210のうちの1つ以上の露出した第2の表面に接合されてもよい。具体的には、個片化されたダイ304の接合層306(例えば、導電層を有する又は有しない酸化物又は誘電体層)は、接合のために準備された個片化されたダイ210の第2の表面に直接接合されてもよい。接合の準備は、所望に応じて、1つ以上の洗浄工程、表面平坦化工程、及びプラズマ処理プロセス工程を含むことができる。加えて、ダイ210の第2の表面(周辺部を含む)もまた、望ましくない粒子及び破片などを除去するためにエッチングされてもよい。
更なる個片化されたダイ304は、所望の量のダイ層を有するダイ積層体を形成するように、同様の方法で追加されてもよい。いくつかの実施形態では、個片化されたダイ210及び第2の基板216は、接合後に熱処理されてもよく、個片化されたダイ304の各層が追加された後に追加の熱処理を施してもよい。あるいは、個片化されたダイ210、個片化されたダイ304及び第2の基板216は、積層ダイ(210、304)のいくつか又は全ての層が所定の位置にあり、接合されると熱処理される。
図4は、一実施形態による、積層ダイを処理する別の例示的プロセス400を示す。(A)において、レジスト層402は、接合層204(例えば、導電層又は構造体を有する又は有しない絶縁層又は誘電体層)及び基板領域202(例えば、シリコン)を含む、個片化されたダイ210上にコーティングされる。一実装形態では、レジスト層402は、例えば個片化されたダイ210の残りの表面を保護しながら、個片化されたダイ210の周辺部を露出させるようにパターン化されてもよい。様々な実施形態において、個片化されたダイ210は、ダイシング及び/又はスクライブを使用して個片化されてもよい。
(B)において、個片化されたダイ210の露出した縁部及び側壁は、洗浄及びエッチングされて、個片化されたダイ210の周辺部にアンダーカット又は陥凹部が生じてもよい。例えば、個片化されたダイ210の粗面化縁部は、エッチングによって平滑化されてもよい。加えて、個片化されたダイ210の周辺部は、陥凹させ、周辺部において個片化されたダイ210の全体的な厚さを減少させて、個片化されたダイ210の縁部に空間を創ることができる。例えば、基板202(例えばシリコン)上の接合層204(例えば、誘電体、酸化物など)を有する個片化されたダイ210は、個片化されたダイ210の周辺部で接合層204の酸化物の一部を除去するために、場合によっては、基板202のシリコンの一部も同様にエッチングされてもよい。エッチングにより、接合層204の誘電酸化物は、個片化されたダイ210の縁部から陥凹部に戻ることにより、以下の基板202のシリコンを陥凹部に露出させる。一実施形態では、陥凹部によって形成された空間は、直接接合中に接合表面に対するいくらかの耐性を可能にし、直接接合技術の信頼性を改善し、接合から応力を除去することができる。
一実施形態では、個片化されたダイ210は、酸化物層204上に配設されたレジスト層402が、個片化されたダイ210の縁部から流れ、引き戻されるように、高温(例えば、120℃)で処理されてもよい。個片化されたダイ210の縁部がエッチングされると、酸化物層204の露出部分を除去することができる。加えて、エッチングに使用される持続時間及び配合に応じて、基板202のシリコンの一部を更に除去することができる。例えば、持続時間が長くなるほど、より多くの基板202の量が除去され得る。場合によっては、誘電酸化物層204は、個片化されたダイ210のエッチングの結果として傾斜プロファイルを有してもよい。この傾斜プロファイルは、エッチングが基板202の深さに対して実行される場合、基板202(例えばシリコン)内に延在してもよい。
いくつかの実施形態では、誘電体層204をエッチバックするプロセスは、必要に応じて、ドライエッチング、ウェットエッチング又はその両方と組み合わせて、リソグラフィ方法を使用して実行することができる。例えば、ダイ210の表面はパターン化されてもよく、誘電体層204の望ましくない部分は、ドライエッチングによって除去され、任意の望ましくない露出した導電性特徴部が、例えばウェットエッチングによって除去されてもよい。他の用途では、1つの動作において望ましくない誘電体部分及び導電部分を除去することが好ましい場合がある。一実施例では、例えば、導電性特徴部を酸化し得る過酸化水素又は硝酸(又は同様のもの)を含有する、ハロゲン化物イオン、例えば緩衝フッ化水素酸及び配合物を含有するウェットエッチング液を、ダイ210の表面に適用して、望ましくない誘電体及び導電性特徴部を除去することができる。望ましくない誘電体及び導電性特徴部を除去した後、個片化動作のために保護層を適用することができる。
(C)において、レジスト層402は、個片化されたダイ210の表面から除去されてもよい。加えて、(D)において、個片化されたダイ210を洗浄することができる。
(E)及び(F)において、個片化されたダイ210は、上述のように接合するために準備された第2の基板404(別のダイ210又は304の第2の基板216など)に接合されてもよい。一実施形態では、個片化されたダイ210は、ZIBOND(登録商標)又はハイブリッドDBI(登録商標)技術などを使用して、(例えば、接着剤又は介在層を有さずに)基板404の準備された表面に接合することができる。図4の(E)及び(F)の図では、ダイ210のみが酸化物層204と共に示されている。しかしながら、いくつかの実施形態では、接合される両方の構成要素(例えば、ダイ210、ダイ304又は基板216)は、接合表面に酸化物領域(例えば、酸化物層204など)を含んでもよい。換言すれば、構成要素は、それぞれの酸化物領域で接合される。いくつかの用途では、ダイ210の誘電体又は酸化物層204、及び基板202の準備された表面は、導電性特徴部(図示せず)を含み得る。ダイ210及び基板202の準備された表面の誘電体部分は、最初に低温で接合することができる。任意の導電性特徴部は、150〜350℃の高温で連結することができる。他の用途では、誘電体部分及び導電性特徴部の接合は、同じ温度で形成される。
工程(D)のエッチングの結果として、(E)に示す実装形態では、個片化されたダイ210の酸化物層204の縁部はアンダーカット408を含んでもよい。実装形態において、個片化されたダイ210は、酸化物層204の面積が基板202及び/又は基板404の占有面積よりも小さいように、個片化されたダイ210の周辺部にアンダーカット408を含んでもよい。加えて、又は代替的に、(F)に示す実装形態では、工程(D)のエッチングの結果として、基板202及び基板404の縁部はアンダーカット410を含んでもよい。この実装形態では、個片化されたダイ210は、酸化物層204の面積が基板202及び/又は基板404の占有面積よりも大きいように、個片化されたダイ210の周辺部にアンダーカット410を含んでもよい。実装形態では、基板202及び基板404は、それぞれ、第1及び第2の接合された超小型電子構成要素に対応してもよい。
様々な実施形態によれば、本明細書に記載の縁部又は側壁エッチング技術は、個片化されたダイ210の大量製造のための直接接合プロセスの複雑性及びコストの低減を提供することができる。加えて、個片化されたダイ210の周辺部及び/又は縁部からダイシング粒子及び破片を除去することにより、ウェハ・ウェハ間、ダイ・ウェハ間、ダイ・ダイ間、及びダイ・システム間のパッケージングにおけるプロセスに関連する欠陥を低減することができる。更に、積層された個片化されたダイ210の縁部を丸めることによって、三次元配置で積層されたパッケージ化された個片化されたダイ210において応力が低減されてもよい。本明細書に記載される技術はまた、ZiBond(登録商標)及び直接接合相互接続(DBI(登録商標))製造デバイスのための、より少ないダイ加工工程、より高い製造スループット、及び改善されたプロフィットマージンをもたらし得る。開示された技術の他の利点も、当業者には明らかであろう。
図5(A)は、一実施形態による、陥凹した接合層204(例えば、導電層を有する又は有しない絶縁層又は誘電体層)を有する例示的なダイ210の一部分のプロファイル図である。加えて、図5(B)は、陥凹接合層204(例えば、酸化物領域)を有するダイ210のプロファイル図の拡大図である。図示のように、ダイ210は、基板202から陥凹して戻る接合層204を含んでもよい。図5(B)のプロファイル図は、例えば、図4の工程(D)に示すプロファイル図に対応してもよい。加えて、図5(B)は、接合層204の片側に陥凹部を含むが、図4の工程(D)及び図5(C)に示すように、陥凹部はまた、接合層204の両側(又は他の)側に位置してもよい。
具体的には、酸化物層204の傾斜プロファイル502は、エッチング(例えば、図4の工程(D)を参照して説明されるように)基板202内に延在してもよい。加えて、傾斜プロファイル502は、例えば、基板202の周囲に隙間を提供することができ、基板202の周囲に粒子が存在する場合でも、例えば、個片化されたダイ210と第2の基板216(又は同様のもの)の準備された表面との間に緊密かつ密接な接合が達成され得る。
例えば、これは図5(C)に示されており、例示的なダイ210は、例示的なダイ積層体又は例示的な超小型電子アセンブリ500(又は同様のもの)を形成する別のダイ210’に接合されて示されている。図5(C)の図に示されるように、酸化物などの絶縁材料又は誘電材料を含み、1つ以上の導電層又は構造504も含む接合層204は、酸化物などの絶縁又は誘電材料を含む接合層204’に直接接合され、また、1つ以上の導電層又は構造504’を含んでもよい。導電性特徴部504及び504’は、それぞれの接合層204及び204’内にのみ延在してもよく、又はダイ201及び210’を通って部分的若しくは全体的に延在してもよい。接合層204の陥凹部及び接合層204’の陥凹部(存在する場合)は、ダイ210がダイ210’に接合されるアセンブリ500の周辺部に間隙506を形成してもよい。様々な実施形態では、間隙506は、間隙506内に残っている任意の粒子508が、導電性構造体504と504’との間に緊密かつ導電性の信頼性のある接合を含む接合表面204と204’との間の緊密かつ密接な接合の形成を妨げないようなサイズであり得る。様々な実施形態において、間隙506は、所望に応じて、例えば、封止材、誘電材料、アンダーフィル材料などで充填されてもよい。他の実施形態では、間隙506は、充填されていないままであってもよく、又は所望に応じて他の不活性若しくは活性物質で充填されてもよい。図に示されるような同様のプロファイル5(A)及び5(B)は、ダイ210及び210’の裏面上に形成されてもよく、2つ以上のダイが一緒に積層されてもよい。
図6は、一実施形態による、積層ダイを処理するための例示的なプロセスを示すフローチャート600である。602において、プロセスは、ウェハ構成要素(例えば、基板202など)から複数の半導体ダイ構成要素(例えば、個片化されたダイ210又は個片化されたダイ304など)を個片化することを含む。一実施形態では、半導体ダイ構成要素の各々は、実質的に平坦な表面を有する。別の実施形態では、このプロセスは、(個片化の前又は後のいずれかで)半導体ダイ構成要素の実質的に平坦な表面上に保護コーティング(例えば、保護コーティング206など)を堆積させることを含む。
一実施形態では、このプロセスは、個片化の後に複数の半導体ダイ構成要素を加熱して、複数の半導体ダイ構成要素の周辺部から保護コーティング(保護コーティング206など)を後退させることを含む。加えて、複数の半導体ダイ構成要素の周辺部及び/又は複数の半導体ダイ構成要素の実質的に平坦な表面は、事前選択された深さまでエッチングされてもよい。
あるいは、複数の半導体ダイ構成要素は、ベース半導体層の上に誘電体層を含んでもよい。加えて、誘電体層は、実質的に平坦な表面を有してもよく、上記のように、誘電体層は、1つ以上の導電性特徴部を含み得る。一実施形態では、このプロセスは、誘電体層の少なくとも一部分が除去され、複数の半導体ダイ構成要素の周辺部のベース半導体層が露出するように、複数の半導体ダイ構成要素の周辺部をエッチングすることを含む。
604において、プロセスは、複数の半導体ダイ構成要素の縁部から材料の粒子及び破片を除去することを含む。あるいは、粒子及び破片は、複数の半導体ダイ構成要素の側壁から除去されてもよい。一実施形態では、複数の半導体ダイ構成要素の縁部及び/又は側壁をエッチングすることによって、粒子及び破片を除去することができる。任意選択的に、縁部及び/又は側壁のエッチングは、複数の半導体ダイ構成要素がダイシングキャリア上にある間に生じる。加えて、エッチングは、プラズマエッチング及び/又はベンゾトリアゾール(BTA)と共にフッ化水素酸及び硝酸を含む化学エッチング液使用してもよい。代替的な実装形態では、複数の半導体ダイ構成要素の実質的に平坦な表面に保護コーティング(保護コーティング206など)を塗布して、実質的に平坦な表面をエッチング液から保護することができる。
606において、このプロセスは、複数の半導体ダイ構成要素のうちの1つ以上を、実質的に平坦な表面を介して、準備された接合表面に接合することを含む。例えば、接合は、例えば、接着剤又は介在層を有さずにZIBOND(登録商標)又はDBI(登録商標)接合技術などを使用した直接接合によって生じ得る。接合は、ダイの接合表面(複数可)及び準備された接合表面において、対向する導電性特徴部を電気的に結合することを含んでもよい。
本明細書に記載される開示されたプロセスは、ブロックフロー図を使用して例示される。開示されたプロセスが記載される順序は、限定として解釈されることを意図するものではなく、任意の数の記載されたプロセスブロックを、プロセス、又は代替プロセスを実施するための任意の順序で組み合わせることができる。加えて、個々のブロックは、本明細書に記載される主題の趣旨及び範囲から逸脱することなく、プロセスから削除されてもよい。更に、開示されるプロセスは、本明細書に記載される主題の範囲から逸脱することなく、任意のハードウェア、ソフトウェア、ファームウェア、又はそれらの組み合わせと共に、任意の好適な製造又は処理装置又はシステムに実装することができる。
様々な実装形態及び実施例が本明細書で論じられているが、個々の実装形態及び実施例の特徴及び要素を組み合わせることによって、更なる実装形態及び実施例が可能であり得る。
結論
本開示の実装形態は、構造的特徴及び/又は方法論的行為に特有の言語で説明されてきたが、実装形態は、記載されている特定の特徴又は行為に必ずしも限定されないことを理解されたい。むしろ、特定の特徴及び行為は、例示的なデバイス及び技術を実装する代表的な形態として開示されている。
本文書の各請求項は、別個の実施形態を構成し、異なる請求項及び/又は異なる実施形態を組み合わせる実施形態は、本開示の範囲内であり、本開示を再検討すると当業者には明らかとなるであろう。

Claims (31)

  1. 超小型電子システムであって、
    少なくともベース半導体層及び誘電体層から構成される第1の超小型電子構成要素であって、前記誘電体層が、実質的に平坦な表面を有する、第1の超小型電子構成要素と、
    前記第1の超小型電子構成要素の前記誘電体層に接着剤を用いずに直接接合された第2の超小型電子構成要素であって、前記誘電体層が、前記誘電体層の面積が前記第1及び/又は第2の超小型電子構成要素の占有面積よりも小さいように、前記誘電体層の周辺部にアンダーカットを有する、第2の超小型電子構成要素と、を備える、超小型電子システム。
  2. 前記第1の超小型電子構成要素の前記ベース半導体層が、前記第1の超小型電子構成要素の前記誘電体層の前記周辺部における前記アンダーカットに対応する前記ベース半導体層の周辺部にアンダーカットを有する、請求項1に記載の超小型電子システム。
  3. 前記第2の超小型電子構成要素が、少なくとも、ベース半導体層及び実質的に平坦な表面を有する誘電体層から構成され、前記第1の超小型電子構成要素の前記誘電体層が、前記第2の超小型電子構成要素の前記誘電体層に直接接合され、前記第2の超小型電子構成要素の前記誘電体層が、前記第2の超小型電子構成要素の前記誘電体層の面積が前記第1及び/又は第2の超小型電子構成要素の前記占有面積よりも小さいように、前記第2の超小型電子構成要素の前記誘電体層の周辺部にアンダーカットを有する、請求項1に記載の超小型電子システム。
  4. 前記第1の超小型電子構成要素及び/又は前記第2の超小型電子構成要素の前記ベース半導体層の周辺部におけるアンダーカットが、前記第1の超小型電子構成要素及び/又は前記第2の超小型電子構成要素の前記誘電体層の前記周辺部におけるアンダーカットに対応する、請求項3に記載の超小型電子システム。
  5. 超小型電子システムであって、
    少なくとも第1のベース半導体層及び第1の誘電体層から構成される第1の超小型電子構成要素であって、前記第1の誘電体層が、第1の実質的に平坦な表面を有する、第1の超小型電子構成要素と、
    少なくとも第2のベース半導体層及び第2の誘電体層から構成される第2の超小型電子構成要素であって、前記第2の誘電体層が、第2の実質的に平坦な表面を有し、前記第2の誘電体層は、前記第1の誘電体層に接着剤を用いずに直接接合され、前記第1及び第2の実質的に平坦な表面において、前記第1のベース半導体層及び前記第2のベース半導体層が、それぞれ、前記第1のベース半導体層の占有面積及び前記第2のベース半導体層の占有面積が前記第1及び/又は第2の誘電体層の面積よりも小さいように、前記第1及び第2のベース半導体層の周辺部にアンダーカットを有する、第2の超小型電子構成要素と、を備える、超小型電子システム。
  6. 前記アンダーカットが、前記第1の超小型電子構成要素及び/又は前記第2の超小型電子構成要素の前記第1及び/又は第2の誘電体層の前記周辺部におけるアンダーカットに対応する、請求項5に記載の超小型電子システム。
  7. 超小型電子システムを形成するための方法であって、
    ウェハ構成要素から複数の半導体ダイ構成要素を個片化することであって、前記半導体ダイ構成要素が各々、実質的に平坦な表面を有する、個片化することと、
    前記複数の半導体ダイ構成要素の縁部から材料の粒子及び破片を除去することと、
    前記複数の半導体ダイ構成要素のうちの1つ以上を、前記実質的に平坦な表面を介して、準備された接合表面に接合することと、を含む、方法。
  8. 前記複数の半導体ダイ構成要素の前記縁部をエッチングして、前記複数の半導体ダイ構成要素の前記縁部から前記粒子及び破片を除去することを更に含む、請求項7に記載の超小型電子システムを形成するための方法。
  9. 前記複数の半導体ダイ構成要素がダイシングキャリア上にある間に、前記複数の半導体ダイ構成要素の前記縁部をエッチングすることを更に含む、請求項8に記載の超小型電子システムを形成するための方法。
  10. ベンゾトリアゾール(BTA)と共にフッ化水素酸及び硝酸を含む化学エッチング液を使用して、前記複数の半導体ダイ構成要素の前記縁部をエッチングすることを更に含む、請求項8に記載の超小型電子システムを形成するための方法。
  11. プラズマエッチングを使用して、前記複数の半導体ダイ構成要素の前記縁部をエッチングすることを更に含む、請求項8に記載の超小型電子システムを形成するための方法。
  12. 前記複数の半導体ダイ構成要素の各々の前記縁部のうちの1つ以上において空間が創られるように、前記複数の半導体ダイ構成要素の前記縁部をエッチングして前記複数の半導体ダイ構成要素の厚さを低減することを更に含む、請求項8に記載の超小型電子システムを形成するための方法。
  13. 前記半導体ダイ構成要素が、前記実質的に平坦な表面として酸化物層を含み、前記エッチングすることが、前記複数の半導体ダイ構成要素の前記縁部において前記酸化物層の少なくとも一部分を除去することを含む、請求項8に記載の超小型電子システムを形成するための方法。
  14. 前記エッチングの前に、前記複数の半導体ダイ構成要素の前記実質的に平坦な表面に保護コーティングを塗布して、前記実質的に平坦な表面をエッチング液から保護することを更に含む、請求項8に記載の超小型電子システムを形成するための方法。
  15. 個片化の後に前記複数の半導体ダイ構成要素を加熱して、前記複数の半導体ダイ構成要素の周辺部から前記保護コーティングを後退させることと、
    前記複数の半導体ダイ構成要素の前記周辺部を事前選択された深さまでエッチングすることと、を更に含む、請求項14に記載の超小型電子システムを形成するための方法。
  16. 前記複数の半導体ダイ構成要素が、ベース半導体層の上に誘電体層を含み、前記複数の半導体ダイ構成要素の前記周辺部をエッチングすることが、前記誘電体層を除去することと、前記複数の半導体ダイ構成要素の前記周辺部に前記ベース半導体層を露出させることと、を含む、請求項15に記載の超小型電子システムを形成するための方法。
  17. 前記複数の半導体ダイ構成要素の前記実質的に平坦な表面をエッチングすることを更に含む、請求項7に記載の超小型電子システムを形成するための方法。
  18. 前記実質的に平坦な表面を事前選択された深さまでエッチングすること、又は事前選択された持続時間にわたってエッチングすることを更に含む、請求項17に記載の超小型電子システムを形成するための方法。
  19. 前記複数の半導体ダイ構成要素のうちの前記1つ以上が、接着剤を用いない直接接合技術又は金属間拡散接合のいずれかを使用して接合される、請求項7に記載の超小型電子システムを形成するための方法。
  20. 前記複数の半導体ダイ構成要素の側壁から材料の粒子及び破片を除去することを更に含み、前記粒子及び破片が、前記複数の半導体ダイ構成要素の前記側壁をエッチングすることによって、前記側壁から除去される、請求項7に記載の超小型電子システムを形成するための方法。
  21. 前記複数の半導体ダイ構成要素の側壁に材料の粒子及び破片をコーティングすることを更に含み、前記粒子及び破片が、前記複数の半導体ダイ構成要素の前記側壁上にコーティング層を堆積させることによって、前記側壁にコーティングされる、請求項7に記載の超小型電子システムを形成するための方法。
  22. 前記複数の半導体ダイ構成要素の前記側壁を、ガラス、ホウ素ドープガラス、又はリンドープガラスでスピンコーティング又はエレクトロコーティングすることを更に含む、請求項21に記載の超小型電子システムを形成するための方法。
  23. 前記複数の半導体ダイ構成要素の前記側壁に、前記ガラス、前記ホウ素ドープガラス、又は前記リンドープガラスを、熱硬化することを更に含む、請求項22に記載の超小型電子システムを形成するための方法。
  24. 機器であって、
    少なくとも誘電体層から構成される第1の超小型電子構成要素であって、前記誘電体層が、実質的に平坦な表面を有する、第1の超小型電子構成要素と、
    前記第1の超小型電子構成要素の前記誘電体層に接着剤を用いずに直接接合された第2の超小型電子構成要素であって、前記誘電体層が、前記誘電体層の面積が前記第1及び/又は第2の超小型電子構成要素の占有面積よりも小さいように、前記第1の超小型電子構成要素の周辺部にアンダーカットを有する、第2の超小型電子構成要素と、を備える、機器。
  25. 前記第1の超小型電子構成要素又は前記第2の超小型電子構成要素が、直接バンドギャップ又は間接バンドギャップ半導体を含む、請求項21に記載の機器。
  26. 機器であって、
    少なくとも平坦な誘電体層から構成される第1の構成要素と、
    前記第1の構成要素の前記誘電体層に接着剤を用いずに直接接合された第2の構成要素であって、前記誘電体層が、前記第1の構成要素の周辺部にアンダーカットを有する、第2の構成要素と、を備える、機器。
  27. 前記第1の構成要素又は前記第2の構成要素が、直接バンドギャップ又は間接バンドギャップ半導体を含む、請求項26に記載の機器。
  28. 前記第1の構成要素又は前記第2の構成要素が、非半導体材料を含む、請求項26に記載の機器。
  29. 前記第1の構成要素及び前記第2の構成要素が、超小型電子ダイを含む、請求項26に記載の機器。
  30. 機器の形成方法であって、
    実質的に平坦な表面を有する超小型電子構成要素を複数のサブ構成要素に個片化することと、
    前記サブ構成要素の縁部から材料の粒子及び破片を除去することと、
    前記サブ構成要素のうちの1つ以上を、実質的に平坦な表面を有する準備された接合表面に接合することと、を含む、方法。
  31. 機器であって、
    少なくとも平坦な誘電体層から構成される第1の構成要素と、
    前記誘電体層の面積が前記第1及び/又は第2の超小型電子構成要素の占有面積よりも小さいように、前記第1の構成要素の前記誘電体層に接着剤を用いずに直接接合された第2の構成要素と、を備える、機器。
JP2019562412A 2017-05-11 2018-04-24 処理された積層ダイ Active JP7129427B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022131656A JP2022163235A (ja) 2017-05-11 2022-08-22 処理された積層ダイ

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762504834P 2017-05-11 2017-05-11
US62/504,834 2017-05-11
US15/960,179 2018-04-23
US15/960,179 US10879212B2 (en) 2017-05-11 2018-04-23 Processed stacked dies
PCT/US2018/029094 WO2018208500A1 (en) 2017-05-11 2018-04-24 Processed stacked dies

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022131656A Division JP2022163235A (ja) 2017-05-11 2022-08-22 処理された積層ダイ

Publications (3)

Publication Number Publication Date
JP2020520118A true JP2020520118A (ja) 2020-07-02
JP2020520118A5 JP2020520118A5 (ja) 2021-05-27
JP7129427B2 JP7129427B2 (ja) 2022-09-01

Family

ID=64097487

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019562412A Active JP7129427B2 (ja) 2017-05-11 2018-04-24 処理された積層ダイ
JP2022131656A Pending JP2022163235A (ja) 2017-05-11 2022-08-22 処理された積層ダイ

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022131656A Pending JP2022163235A (ja) 2017-05-11 2022-08-22 処理された積層ダイ

Country Status (7)

Country Link
US (3) US10879212B2 (ja)
EP (1) EP3635775A4 (ja)
JP (2) JP7129427B2 (ja)
KR (1) KR102320674B1 (ja)
CN (2) CN110574151B (ja)
TW (3) TWI749220B (ja)
WO (1) WO2018208500A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7453874B2 (ja) 2020-07-30 2024-03-21 芝浦メカトロニクス株式会社 基板処理方法、および基板処理装置
WO2024089907A1 (ja) * 2022-10-27 2024-05-02 東京エレクトロン株式会社 基板処理装置、及び基板処理方法

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10762420B2 (en) 2017-08-03 2020-09-01 Xcelsis Corporation Self repairing neural network
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
WO2018125673A2 (en) 2016-12-28 2018-07-05 Invensas Bonding Technologies, Inc Processing stacked substrates
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
TWI738947B (zh) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 接合結構與形成接合結構的方法
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
WO2019241367A1 (en) 2018-06-12 2019-12-19 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
CN112585740A (zh) 2018-06-13 2021-03-30 伊文萨思粘合技术公司 作为焊盘的tsv
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
CN115943489A (zh) 2020-03-19 2023-04-07 隔热半导体粘合技术公司 用于直接键合结构的尺寸补偿控制
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
CN111968944A (zh) * 2020-08-24 2020-11-20 浙江集迈科微电子有限公司 一种射频模组超薄堆叠工艺
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
CN116635998A (zh) * 2020-10-29 2023-08-22 美商艾德亚半导体接合科技有限公司 直接键合方法和结构

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006295067A (ja) * 2005-04-14 2006-10-26 Matsushita Electric Ind Co Ltd 半導体チップの製造方法
US20070123061A1 (en) * 2005-11-25 2007-05-31 Advanced Laser Separation International B.V. Method of treating a substrate, method of processing a substrate using a laser beam, and arrangement
JP2008140818A (ja) * 2006-11-30 2008-06-19 Fujitsu Ltd デバイス素子製造方法およびダイシング方法
JP2009135348A (ja) * 2007-12-03 2009-06-18 Panasonic Corp 半導体チップと半導体装置およびそれらの製造方法
JP2010073964A (ja) * 2008-09-19 2010-04-02 Fujitsu Microelectronics Ltd 半導体装置の製造方法
JP2010534358A (ja) * 2007-07-25 2010-11-04 スリーエム イノベイティブ プロパティズ カンパニー フッ素化組成物を用いて汚染を除去する方法
JP2012164739A (ja) * 2011-02-04 2012-08-30 Toshiba Corp 半導体装置の製造方法
JP2015012244A (ja) * 2013-07-01 2015-01-19 株式会社東芝 半導体発光素子
JP2015026829A (ja) * 2013-06-20 2015-02-05 コミッサリア ア レネルジー アトミーク エ オ ゼネルジ ザルタナテイヴ 機械的自律型マイクロエレクトロニクスデバイスの製造方法
JP2015119170A (ja) * 2013-11-13 2015-06-25 株式会社東芝 半導体チップの製造方法、半導体チップ、及び半導体装置

Family Cites Families (321)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5019673A (en) 1990-08-22 1991-05-28 Motorola, Inc. Flip-chip package for integrated circuits
JPH04337694A (ja) 1991-05-15 1992-11-25 Nec Yamagata Ltd 電子部品保護用樹脂膜
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
JPH07193294A (ja) 1993-11-01 1995-07-28 Matsushita Electric Ind Co Ltd 電子部品およびその製造方法
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
KR100274333B1 (ko) 1996-01-19 2001-01-15 모기 쥰이찌 도체층부착 이방성 도전시트 및 이를 사용한 배선기판
US5872046A (en) * 1996-04-10 1999-02-16 Texas Instruments Incorporated Method of cleaning wafer after partial saw
US5956605A (en) 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
KR19990085633A (ko) * 1998-05-20 1999-12-15 윤종용 초음파를 이용한 웨이퍼세척방법
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6500694B1 (en) 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
JP2001313350A (ja) 2000-04-28 2001-11-09 Sony Corp チップ状電子部品及びその製造方法、並びにその製造に用いる疑似ウエーハ及びその製造方法
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
JP3420748B2 (ja) 2000-12-14 2003-06-30 松下電器産業株式会社 半導体装置及びその製造方法
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
TWI309074B (en) * 2002-02-07 2009-04-21 Advanced Epitaxy Technology Method of forming semiconductor device
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
JP4579489B2 (ja) 2002-09-02 2010-11-10 新光電気工業株式会社 半導体チップ製造方法及び半導体チップ
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
JP2004193493A (ja) 2002-12-13 2004-07-08 Nec Machinery Corp ダイピックアップ方法および装置
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
TW586677U (en) 2003-01-22 2004-05-01 Via Tech Inc Stack structure of chip package
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
TWI239629B (en) 2003-03-17 2005-09-11 Seiko Epson Corp Method of manufacturing semiconductor device, semiconductor device, circuit substrate and electronic apparatus
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US6873049B2 (en) 2003-07-31 2005-03-29 The Boeing Company Near hermetic power chip on board device and manufacturing method therefor
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
KR100538158B1 (ko) 2004-01-09 2005-12-22 삼성전자주식회사 웨이퍼 레벨 적층 칩 접착 방법
US20050161808A1 (en) * 2004-01-22 2005-07-28 Anderson Douglas G. Wafer, intermediate wafer assembly and associated method for fabricating a silicon on insulator wafer having an improved edge profile
TWI427700B (zh) 2004-08-20 2014-02-21 Kamiyacho Ip Holdings 三維積層構造之半導體裝置之製造方法
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
US7262492B2 (en) 2004-09-28 2007-08-28 Intel Corporation Semiconducting device that includes wirebonds
FR2880184B1 (fr) * 2004-12-28 2007-03-30 Commissariat Energie Atomique Procede de detourage d'une structure obtenue par assemblage de deux plaques
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
US7354862B2 (en) 2005-04-18 2008-04-08 Intel Corporation Thin passivation layer on 3D devices
JP4983049B2 (ja) 2005-06-24 2012-07-25 セイコーエプソン株式会社 半導体装置および電子機器
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US7781309B2 (en) 2005-12-22 2010-08-24 Sumco Corporation Method for manufacturing direct bonded SOI wafer and direct bonded SOI wafer manufactured by the method
US20070158024A1 (en) 2006-01-11 2007-07-12 Symbol Technologies, Inc. Methods and systems for removing multiple die(s) from a surface
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
KR100809696B1 (ko) 2006-08-08 2008-03-06 삼성전자주식회사 사이즈가 상이한 복수의 반도체 칩이 적층된 멀티 칩패키지 및 그 제조방법
US8178963B2 (en) 2007-01-03 2012-05-15 Advanced Chip Engineering Technology Inc. Wafer level package with die receiving through-hole and method of the same
US8178964B2 (en) 2007-03-30 2012-05-15 Advanced Chip Engineering Technology, Inc. Semiconductor device package with die receiving through-hole and dual build-up layers over both side-surfaces for WLP and method of the same
US20080165521A1 (en) 2007-01-09 2008-07-10 Kerry Bernstein Three-dimensional architecture for self-checking and self-repairing integrated circuits
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
JP2008258383A (ja) 2007-04-04 2008-10-23 Spansion Llc 半導体装置及びその製造方法
DE102007020656B4 (de) 2007-04-30 2009-05-07 Infineon Technologies Ag Werkstück mit Halbleiterchips, Halbleiterbauteil und Verfahren zur Herstellung eines Werkstücks mit Halbleiterchips
US20090001599A1 (en) 2007-06-28 2009-01-01 Spansion Llc Die attachment, die stacking, and wire embedding using film
JP5342772B2 (ja) * 2007-10-12 2013-11-13 浜松ホトニクス株式会社 加工対象物切断方法
US7871902B2 (en) 2008-02-13 2011-01-18 Infineon Technologies Ag Crack stop trenches
TWI723953B (zh) 2008-03-05 2021-04-11 美國伊利諾大學理事會 可延展且可折疊的電子裝置
JP2011513995A (ja) 2008-03-07 2011-04-28 スリーエム イノベイティブ プロパティズ カンパニー 模様付き裏材を備えるダイシングテープ及びダイアタッチ接着剤
KR20090106822A (ko) 2008-04-07 2009-10-12 삼성전자주식회사 웨이퍼 본딩 방법 및 그 방법에 의해 본딩된 웨이퍼 구조체
US8253230B2 (en) 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
WO2010024678A1 (en) 2008-09-01 2010-03-04 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Chip die clamping device and transfer method
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US7843052B1 (en) 2008-11-13 2010-11-30 Amkor Technology, Inc. Semiconductor devices and fabrication methods thereof
US8506867B2 (en) 2008-11-19 2013-08-13 Semprius, Inc. Printing semiconductor elements by shear-assisted elastomeric stamp transfer
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
JP2010245383A (ja) 2009-04-08 2010-10-28 Elpida Memory Inc 半導体装置および半導体装置の製造方法
JP5304536B2 (ja) 2009-08-24 2013-10-02 ソニー株式会社 半導体装置
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
US9202769B2 (en) 2009-11-25 2015-12-01 Stats Chippac, Ltd. Semiconductor device and method of forming thermal lid for balancing warpage and thermal management
EP2339614A1 (en) * 2009-12-22 2011-06-29 Imec Method for stacking semiconductor chips
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
US8138014B2 (en) 2010-01-29 2012-03-20 Stats Chippac, Ltd. Method of forming thin profile WLCSP with vertical interconnect over package footprint
JP2011171614A (ja) 2010-02-22 2011-09-01 Casio Computer Co Ltd 半導体装置及び半導体装置の製造方法
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
US8481406B2 (en) 2010-07-15 2013-07-09 Soitec Methods of forming bonded semiconductor structures
US8415808B2 (en) 2010-07-28 2013-04-09 Sandisk Technologies Inc. Semiconductor device with die stack arrangement including staggered die and efficient wire bonding
US8361842B2 (en) 2010-07-30 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded wafer-level bonding approaches
US8288201B2 (en) 2010-08-25 2012-10-16 Stats Chippac, Ltd. Semiconductor device and method of forming FO-WLCSP with discrete semiconductor components mounted under and over semiconductor die
KR20120032254A (ko) 2010-09-28 2012-04-05 삼성전자주식회사 반도체 적층 패키지 및 이의 제조 방법
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8735260B2 (en) * 2010-12-13 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method to prevent metal pad damage in wafer level package
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
US8623702B2 (en) 2011-02-24 2014-01-07 Stats Chippac, Ltd. Semiconductor device and method of forming conductive THV and RDL on opposite sides of semiconductor die for RDL-to-RDL bonding
WO2012125632A1 (en) * 2011-03-16 2012-09-20 Memc Electronic Materials, Inc. Silicon on insulator structures having high resistivity regions in the handle wafer and methods for producing such structures
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
KR20120123919A (ko) 2011-05-02 2012-11-12 삼성전자주식회사 칩 적층 반도체 패키지 제조 방법 및 이에 의해 제조된 칩 적층 반도체 패키지
CN105938825B (zh) 2011-05-24 2019-04-05 索尼公司 半导体图像接收装置
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8710648B2 (en) 2011-08-09 2014-04-29 Alpha & Omega Semiconductor, Inc. Wafer level packaging structure with large contact area and preparation method thereof
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
KR101906408B1 (ko) 2011-10-04 2018-10-11 삼성전자주식회사 반도체 패키지 및 그 제조 방법
WO2013069798A1 (ja) 2011-11-11 2013-05-16 住友ベークライト株式会社 半導体装置の製造方法
TWI467736B (zh) 2012-01-04 2015-01-01 Univ Nat Chiao Tung 立體積體電路裝置
US8698308B2 (en) 2012-01-31 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structural designs to minimize package defects
JP5994274B2 (ja) 2012-02-14 2016-09-21 ソニー株式会社 半導体装置、半導体装置の製造方法、及び、電子機器
US8652940B2 (en) * 2012-04-10 2014-02-18 Applied Materials, Inc. Wafer dicing used hybrid multi-step laser scribing process with plasma etch
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8723309B2 (en) 2012-06-14 2014-05-13 Stats Chippac Ltd. Integrated circuit packaging system with through silicon via and method of manufacture thereof
US8759961B2 (en) 2012-07-16 2014-06-24 International Business Machines Corporation Underfill material dispensing for stacked semiconductor chips
US8963336B2 (en) 2012-08-03 2015-02-24 Samsung Electronics Co., Ltd. Semiconductor packages, methods of manufacturing the same, and semiconductor package structures including the same
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20140070405A1 (en) 2012-09-13 2014-03-13 Globalfoundries Inc. Stacked semiconductor devices with a glass window wafer having an engineered coefficient of thermal expansion and methods of making same
US9368404B2 (en) 2012-09-28 2016-06-14 Plasma-Therm Llc Method for dicing a substrate with back metal
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9054063B2 (en) 2013-04-05 2015-06-09 Infineon Technologies Ag High power single-die semiconductor package
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
KR102077153B1 (ko) 2013-06-21 2020-02-14 삼성전자주식회사 관통전극을 갖는 반도체 패키지 및 그 제조방법
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
CN112442374A (zh) * 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
US9324698B2 (en) 2013-08-13 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip structure and method of forming same
JP6212720B2 (ja) 2013-09-20 2017-10-18 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
KR102143518B1 (ko) 2013-10-16 2020-08-11 삼성전자 주식회사 칩 적층 반도체 패키지 및 그 제조 방법
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
US9530730B2 (en) 2013-11-08 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Configurable routing for packaging applications
US9570421B2 (en) 2013-11-14 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking of multiple dies for forming three dimensional integrated circuit (3DIC) structure
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9768038B2 (en) 2013-12-23 2017-09-19 STATS ChipPAC, Pte. Ltd. Semiconductor device and method of making embedded wafer level chip scale packages
US9653442B2 (en) 2014-01-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and methods of forming same
US9343433B2 (en) 2014-01-28 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with stacked dies and methods of forming the same
US9293437B2 (en) 2014-02-20 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Functional block stacked 3DIC and method of making same
US20150255349A1 (en) 2014-03-07 2015-09-10 JAMES Matthew HOLDEN Approaches for cleaning a wafer during hybrid laser scribing and plasma etching wafer dicing processes
US9355997B2 (en) 2014-03-12 2016-05-31 Invensas Corporation Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9418924B2 (en) 2014-03-20 2016-08-16 Invensas Corporation Stacked die integrated circuit
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9076860B1 (en) * 2014-04-04 2015-07-07 Applied Materials, Inc. Residue removal from singulated die sidewall
US8975163B1 (en) * 2014-04-10 2015-03-10 Applied Materials, Inc. Laser-dominated laser scribing and plasma etch hybrid wafer dicing
US9601463B2 (en) 2014-04-17 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out stacked system in package (SIP) and the methods of making the same
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9601437B2 (en) * 2014-09-09 2017-03-21 Nxp B.V. Plasma etching and stealth dicing laser process
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US9673096B2 (en) * 2014-11-14 2017-06-06 Infineon Technologies Ag Method for processing a semiconductor substrate and a method for processing a semiconductor wafer
US9899442B2 (en) 2014-12-11 2018-02-20 Invensas Corporation Image sensor device
JP6305355B2 (ja) * 2015-01-28 2018-04-04 株式会社東芝 デバイスの製造方法
US9508660B2 (en) 2015-02-10 2016-11-29 Intel Corporation Microelectronic die having chamfered corners
DE102015103274A1 (de) 2015-03-06 2016-09-08 HARTING Electronics GmbH Kabelabdichtung
JP6738591B2 (ja) 2015-03-13 2020-08-12 古河電気工業株式会社 半導体ウェハの処理方法、半導体チップおよび表面保護テープ
US10068862B2 (en) 2015-04-09 2018-09-04 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming a package in-fan out package
KR101664411B1 (ko) 2015-06-04 2016-10-14 주식회사 에스에프에이반도체 웨이퍼 레벨의 팬 아웃 패키지 제조방법
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10352991B2 (en) 2015-07-21 2019-07-16 Fermi Research Alliance, Llc Edgeless large area ASIC
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9754891B2 (en) 2015-09-23 2017-09-05 International Business Machines Corporation Low-temperature diffusion doping of copper interconnects independent of seed layer composition
WO2017052652A1 (en) 2015-09-25 2017-03-30 Intel Corporation Combination of semiconductor die with another die by hybrid bonding
US10032751B2 (en) 2015-09-28 2018-07-24 Invensas Corporation Ultrathin layer for forming a capacitive interface between joined integrated circuit components
US9666560B1 (en) 2015-11-25 2017-05-30 Invensas Corporation Multi-chip microelectronic assembly with built-up fine-patterned circuit structure
JP2017098452A (ja) * 2015-11-26 2017-06-01 株式会社ディスコ 洗浄方法
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US20170200659A1 (en) 2016-01-08 2017-07-13 International Business Machines Corporation Porous underfill enabling rework
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US11373990B2 (en) * 2016-02-29 2022-06-28 Semtech Corporation Semiconductor device and method of stacking semiconductor die for system-level ESD protection
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
KR102521881B1 (ko) 2016-06-15 2023-04-18 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9818729B1 (en) 2016-06-16 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure and method
US9859254B1 (en) 2016-06-30 2018-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and a manufacturing method thereof
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
KR102570582B1 (ko) 2016-06-30 2023-08-24 삼성전자 주식회사 반도체 패키지 및 그 제조 방법
US9966360B2 (en) 2016-07-05 2018-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10672741B2 (en) 2016-08-18 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with thermal-electrical-mechanical chips and methods of forming the same
KR102649471B1 (ko) 2016-09-05 2024-03-21 삼성전자주식회사 반도체 패키지 및 그의 제조 방법
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10762420B2 (en) 2017-08-03 2020-09-01 Xcelsis Corporation Self repairing neural network
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US9722098B1 (en) 2016-10-18 2017-08-01 Ase Electronics (M) Sdn Bhd Semiconductor device package and method of manufacturing the same
US10304801B2 (en) 2016-10-31 2019-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layers in semiconductor packages and methods of forming same
US20180130768A1 (en) 2016-11-09 2018-05-10 Unisem (M) Berhad Substrate Based Fan-Out Wafer Level Packaging
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
WO2018125673A2 (en) 2016-12-28 2018-07-05 Invensas Bonding Technologies, Inc Processing stacked substrates
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
US9865567B1 (en) 2017-02-02 2018-01-09 Xilinx, Inc. Heterogeneous integration of integrated circuit device and companion device
TWI738947B (zh) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 接合結構與形成接合結構的方法
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10658335B2 (en) 2017-06-16 2020-05-19 Futurewei Technologies, Inc. Heterogenous 3D chip stack for a mobile processor
US10707145B2 (en) 2017-09-08 2020-07-07 Kemet Electronics Corporation High density multi-component packages
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US10332899B2 (en) 2017-09-29 2019-06-25 Intel Corporation 3D package having edge-aligned die stack with direct inter-die wire connections
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10672820B2 (en) 2017-11-23 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonded structure
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US11127738B2 (en) 2018-02-09 2021-09-21 Xcelsis Corporation Back biasing of FD-SOI circuit blocks
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US10991804B2 (en) 2018-03-29 2021-04-27 Xcelsis Corporation Transistor level interconnection methodologies utilizing 3D interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US10937743B2 (en) 2018-04-30 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mixing organic materials into hybrid packages
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US10403577B1 (en) 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11469138B2 (en) 2018-05-04 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via for coupling attached component upper electrode to substrate
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10510629B2 (en) 2018-05-18 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method of forming same
US10727204B2 (en) 2018-05-29 2020-07-28 Advances Micro Devices, Inc. Die stacking for multi-tier 3D integration
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
WO2019241367A1 (en) 2018-06-12 2019-12-19 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
CN112585740A (zh) 2018-06-13 2021-03-30 伊文萨思粘合技术公司 作为焊盘的tsv
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US10333623B1 (en) 2018-06-25 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Optical transceiver
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US20200035641A1 (en) 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US10868353B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic device and manufacturing method thereof
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11158607B2 (en) 2018-11-29 2021-10-26 Apple Inc. Wafer reconstitution and die-stitching
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11387202B2 (en) 2019-03-01 2022-07-12 Invensas Llc Nanowire bonding interconnect for fine-pitch microelectronics
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10770430B1 (en) 2019-03-22 2020-09-08 Xilinx, Inc. Package integration for memory devices
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11094613B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US20210118864A1 (en) 2019-10-21 2021-04-22 Invensas Corporation Non-Volatile Dynamic Random Access Memory
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
CN115943489A (zh) 2020-03-19 2023-04-07 隔热半导体粘合技术公司 用于直接键合结构的尺寸补偿控制
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
CN116635998A (zh) 2020-10-29 2023-08-22 美商艾德亚半导体接合科技有限公司 直接键合方法和结构
WO2022094587A1 (en) 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
KR20230125309A (ko) 2020-12-28 2023-08-29 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 기판-관통 비아를 가지는 구조체 및 이를 형성하기위한 방법
JP2024501017A (ja) 2020-12-28 2024-01-10 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 基板貫通ビアを有する構造体及びそれを形成する方法
KR20230126736A (ko) 2020-12-30 2023-08-30 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 전도성 특징부를 갖는 구조 및 그 형성방법
EP4272250A1 (en) 2020-12-30 2023-11-08 Adeia Semiconductor Bonding Technologies Inc. Directly bonded structures
CN117256047A (zh) 2021-03-03 2023-12-19 美商艾德亚半导体接合科技有限公司 用于直接接合的接触结构
US20220320036A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding and debonding of carrier
US20220320035A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
WO2022212595A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding and debonding of carrier
CN117716488A (zh) 2021-06-30 2024-03-15 美商艾德亚半导体接合科技有限公司 结合层中具有布线结构的元件
US20230019869A1 (en) 2021-07-16 2023-01-19 Invensas Bonding Technologies, Inc. Optically occlusive protective element for bonded structures

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006295067A (ja) * 2005-04-14 2006-10-26 Matsushita Electric Ind Co Ltd 半導体チップの製造方法
US20070123061A1 (en) * 2005-11-25 2007-05-31 Advanced Laser Separation International B.V. Method of treating a substrate, method of processing a substrate using a laser beam, and arrangement
JP2008140818A (ja) * 2006-11-30 2008-06-19 Fujitsu Ltd デバイス素子製造方法およびダイシング方法
JP2010534358A (ja) * 2007-07-25 2010-11-04 スリーエム イノベイティブ プロパティズ カンパニー フッ素化組成物を用いて汚染を除去する方法
JP2009135348A (ja) * 2007-12-03 2009-06-18 Panasonic Corp 半導体チップと半導体装置およびそれらの製造方法
JP2010073964A (ja) * 2008-09-19 2010-04-02 Fujitsu Microelectronics Ltd 半導体装置の製造方法
JP2012164739A (ja) * 2011-02-04 2012-08-30 Toshiba Corp 半導体装置の製造方法
JP2015026829A (ja) * 2013-06-20 2015-02-05 コミッサリア ア レネルジー アトミーク エ オ ゼネルジ ザルタナテイヴ 機械的自律型マイクロエレクトロニクスデバイスの製造方法
JP2015012244A (ja) * 2013-07-01 2015-01-19 株式会社東芝 半導体発光素子
JP2015119170A (ja) * 2013-11-13 2015-06-25 株式会社東芝 半導体チップの製造方法、半導体チップ、及び半導体装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7453874B2 (ja) 2020-07-30 2024-03-21 芝浦メカトロニクス株式会社 基板処理方法、および基板処理装置
WO2024089907A1 (ja) * 2022-10-27 2024-05-02 東京エレクトロン株式会社 基板処理装置、及び基板処理方法

Also Published As

Publication number Publication date
CN117497456A (zh) 2024-02-02
CN110574151A (zh) 2019-12-13
CN110574151B (zh) 2023-12-15
US10879212B2 (en) 2020-12-29
US11652083B2 (en) 2023-05-16
KR20190140967A (ko) 2019-12-20
TWI749220B (zh) 2021-12-11
JP2022163235A (ja) 2022-10-25
EP3635775A4 (en) 2021-05-26
TW202343668A (zh) 2023-11-01
US20210104487A1 (en) 2021-04-08
US20230282610A1 (en) 2023-09-07
JP7129427B2 (ja) 2022-09-01
EP3635775A1 (en) 2020-04-15
KR102320674B1 (ko) 2021-11-01
TWI809576B (zh) 2023-07-21
TW201907505A (zh) 2019-02-16
TW202209560A (zh) 2022-03-01
US20180331066A1 (en) 2018-11-15
WO2018208500A1 (en) 2018-11-15

Similar Documents

Publication Publication Date Title
JP7129427B2 (ja) 処理された積層ダイ
US11037919B2 (en) Techniques for processing devices
KR102609290B1 (ko) 상호연결부를 위한 확산 배리어 칼라
TWI744443B (zh) 堆疊基板的處理
KR20230164716A (ko) 직접 결합 방법 및 구조
TW202004976A (zh) 用於簡化的輔具晶圓的dbi至矽接合
US11688639B2 (en) Semiconductor device and method
CN114628250A (zh) 晶圆划片方法
JP6062254B2 (ja) ウエーハの加工方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210412

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210412

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20210412

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210705

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211005

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211208

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220307

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220404

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220622

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220722

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220822

R150 Certificate of patent or registration of utility model

Ref document number: 7129427

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350