CN110574151B - 用于形成微电子系统或器具的方法 - Google Patents

用于形成微电子系统或器具的方法 Download PDF

Info

Publication number
CN110574151B
CN110574151B CN201880028854.7A CN201880028854A CN110574151B CN 110574151 B CN110574151 B CN 110574151B CN 201880028854 A CN201880028854 A CN 201880028854A CN 110574151 B CN110574151 B CN 110574151B
Authority
CN
China
Prior art keywords
semiconductor die
forming
die
die components
components
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880028854.7A
Other languages
English (en)
Other versions
CN110574151A (zh
Inventor
C·E·尤佐
G·高
L·W·米卡里米
G·G·小方丹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Insulation Semiconductor Bonding Technology Co
Original Assignee
Insulation Semiconductor Bonding Technology Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Insulation Semiconductor Bonding Technology Co filed Critical Insulation Semiconductor Bonding Technology Co
Priority to CN202311589853.9A priority Critical patent/CN117497456A/zh
Publication of CN110574151A publication Critical patent/CN110574151A/zh
Application granted granted Critical
Publication of CN110574151B publication Critical patent/CN110574151B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67121Apparatus for making assemblies not otherwise provided for, e.g. package constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02076Cleaning after the substrates have been singulated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3185Partial encapsulation or coating the coating covering also the sidewalls of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/03622Manufacturing methods by patterning a pre-deposited material using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0381Cleaning, e.g. oxide removal step, desmearing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • H01L2224/05557Shape in side view comprising protrusions or indentations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83009Pre-treatment of the layer connector or the bonding area
    • H01L2224/8301Cleaning the layer connector, e.g. oxide removal step, desmearing
    • H01L2224/83013Plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83009Pre-treatment of the layer connector or the bonding area
    • H01L2224/8303Reshaping the layer connector in the bonding apparatus, e.g. flattening the layer connector
    • H01L2224/83031Reshaping the layer connector in the bonding apparatus, e.g. flattening the layer connector by chemical means, e.g. etching, anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Dicing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Die Bonding (AREA)
  • Liquid Crystal Substances (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

技术和方法的代表性实施方式包括加工分割的管芯以准备用于键合。可以从晶圆部件分割多个半导体管芯部件,该半导体管芯部件各自具有基本平面的表面。可以从多个半导体管芯部件的边缘移除材料的颗粒和碎片。另外,多个半导体管芯部件中的一个或多个可以经由基本平面的表面键合到准备好的键合表面。

Description

用于形成微电子系统或器具的方法
优先权要求和相关申请的交叉引用
本申请要求2018年4月23日提交的美国专利申请号15/960,179和2017年5月11日提交的临时申请号62/504,834根据35 U.S.C.§119(e)(1)所享有的权益,这些申请据此以引用方式全文并入。
技术领域
以下描述涉及集成电路(“IC”)的加工。更具体地说,以下描述涉及用于加工准备键合的已分割管芯的技术。
背景技术
管芯可以作为各种微电子封装方案的一部分以三维布置堆叠。这可以包括在较大的基础管芯上堆叠一个或多个管芯的层、以竖直布置堆叠多个管芯以及两者的各种组合。管芯也可以堆叠在晶圆上,或者晶圆可以在分割之前堆叠在其他晶圆上。管芯或晶圆可以使用各种键合技术以堆叠布置来键合,包括使用直接电介质键合、非粘合技术,诸如直接键合技术或/>混合键合技术,这两种技术均可从Xperi Corp的子公司Invensas Bonding Technologies,Inc.(以前的Ziptronix,Inc.)获得(例如,参见美国专利号6,864,585和7,485,968,其以引用方式全文并入本文中)。
当使用直接键合技术键合堆叠的管芯时,希望待键合管芯的表面极其平坦和光滑。例如,表面应具有非常小的表面拓扑变化,使得表面可以紧密配合,以形成持久的键合。还希望表面清洁并且没有杂质、颗粒和/或其他残留物。例如,不期望的颗粒的存在可导致在颗粒的位置处的键合有缺陷或不可靠。例如,残留在键合表面上的一些颗粒和残留物可在堆叠管芯之间的键合界面处产生空隙。如果空隙显著小于金属电互连尺寸,它们可能是可接受的。然而,导致尺寸接近或超过电互连尺寸的键合缺陷的颗粒通常是不能容忍的,因为它们可能对互连的导电性产生负面影响。
因为半导体晶圆(例如,硅晶圆)是易碎的,所以当它们被分割时,在管芯的边缘处产生缺陷或颗粒是常见的。作为示例,硅在切割期间可能破裂,形成松散的颗粒。机械切割或锯切通常留下粗糙的边缘,并且还可能在切割的管芯的边缘上或附近留下硅颗粒或碎片。此外,机械锯切割通常将材料从划片片转移到分割的管芯的侧壁和边缘。激光切割也可能在管芯的表面或边缘上留下颗粒。在切割后,可以使用各种工艺来清洁管芯的表面。然而,这些工艺通常会在管芯的外围或管芯的边缘壁处留下一些颗粒。即使在管芯表面被抛光时,碎片仍可能存在于管芯的边缘或侧壁上。留下的松散颗粒和碎片可能会给形成可靠的键合带来麻烦。另外,这些松散或部分松散的颗粒可能会在后续操作中再次污染感兴趣的键合表面或键合工具等。
附图说明
参考附图阐述了详细描述。在这些图中,参考标号的一个或多个最左边的数字标识首次出现参考标号的图。在不同图中使用相同的附图标记表示相似或相同的项目。
对于该讨论,图中所示的装置和系统被示出为具有多个部件。如本文所述,装置和/或系统的各种具体实施可以包括较少的部件并且仍然在本公开的范围内。另选地,装置和/或系统的其他具体实施可以包括附加部件或所描述部件的各种组合,并且仍然在本公开的范围内。
图1(A)是显示根据实施方案的管芯的顶表面上的缺陷的剖面图。图1(B)是显示具有缺陷的键合管芯的截面的剖面图。图1(C)是显示没有缺陷的键合管芯的截面的剖面图。
图2是示出根据实施方案的加工堆叠管芯的示例性过程的图形流程图。
图3是示出根据另一个实施方案的加工堆叠管芯的示例性过程的图形流程图。
图4是示出根据又一个实施方案的加工堆叠管芯的示例性过程的图形流程图。
图5(A)是根据实施方案的具有凹进氧化物区域的管芯的剖面图。图5(B)是具有凹进氧化物区域的管芯的剖面图的放大图。图5(C)是具有凹进氧化物区域的键合管芯布置的示例。
图6是示出根据实施方案的用于加工堆叠管芯的示例性过程的流程图。
发明内容
可以使用各种实施方案和技术来加工准备用于键合的分割的管芯。这些实施方案包括补救在管芯上发现的缺陷累积的技术,并且包括移除、溶解或蚀刻在管芯的边缘处的颗粒以提供平滑的键合表面。管芯可以由半导体或非半导体材料构成。半导体材料可以例如包括直接带隙半导体或间接带隙半导体及其组合。非半导体材料可以包括例如电介质材料,例如玻璃、陶瓷、玻璃陶瓷、碳化硅、碳氧化硅、氮化硅或氮氧化硅、金刚石、氧化硅等,或者它们的组合。
微电子系统可以包括至少第一微电子部件,该第一微电子部件包括基础半导体层和电介质层,该电介质层具有基本平面的表面。另外,第二微电子部件可以在没有粘合剂的情况下直接键合到第一微电子部件的电介质层,该电介质层在电介质层的外围处具有底切,使得电介质层的面积小于第一微电子部件和/或第二微电子部件的覆盖区的面积。另选地,第二微电子部件可以包括至少第二基础半导体层和第二电介质层,第二电介质层具有基本平面的表面。另外,第二电介质层可以在第一基本平面的表面和第二基本平面的表面处在没有粘合剂的情况下直接键合到第一电介质层,第一基础半导体层和第二基础半导体层分别在第一基础半导体层和第二基础半导体层的外围处具有底切,使得第一基础半导体层的覆盖区的面积和第二基础半导体层的覆盖区的面积小于第一电介质层和/或第二电介质层的面积。
在第一实施方案中,在第一微电子部件和/或第二微电子部件的基础半导体层的外围处的底切可以对应于在第一微电子部件和/或第二微电子部件的电介质层的外围处的底切。
在第二实施方案中,第二微电子部件可以包括至少基础半导体层和具有基本平面表面的电介质层,第一微电子部件的电介质层直接键合到第二微电子部件的电介质层,并且第二微电子部件的电介质层在第二微电子部件的电介质层的外围处具有底切,使得第二微电子部件的电介质层的面积小于第一微电子部件和/或第二微电子部件的覆盖区的面积。
用于形成微电子系统的方法可以包括从晶圆部件中分割多个半导体管芯部件,半导体管芯部件各自具有基本平面的表面。可以从多个半导体管芯部件的边缘移除材料的颗粒和碎片。另外,多个半导体管芯部件中的一个或多个可以经由基本平面的表面键合到准备好的键合表面。
在第三实施方案中,可以通过蚀刻多个半导体管芯部件的边缘来移除材料的颗粒和碎片。当多个半导体管芯部件在切割载体(诸如划片片、划片带等)上时,多个半导体管芯部件的边缘可以被蚀刻。另外,多个半导体管芯部件的边缘可以使用化学蚀刻剂蚀刻。在实施方式中,化学蚀刻剂可以包括氢氟酸和硝酸,其具有苯并三唑(BTA)或抑制铜在蚀刻剂中溶解的其他化学品。此外,多个半导体管芯部件的边缘可以使用等离子体蚀刻来蚀刻。另外,多个半导体管芯部件的边缘可以被蚀刻以减小多个半导体管芯部件的厚度,使得在多个半导体管芯部件中的每一个的一个或多个边缘处产生空间。半导体管芯部件可以包括作为基本平面的表面的氧化物层,并且蚀刻可以包括移除在多个半导体管芯部件的边缘处的氧化物层的至少一部分。多个半导体管芯部件的基本平面的表面仍然可以被蚀刻。基本平面的表面可以被蚀刻到预选深度或蚀刻达预选持续时间。
在第四实施方案中,在蚀刻之前,可以将保护涂层施加到多个半导体管芯部件的基本平面的表面,以保护基本平面的表面免受蚀刻剂的影响。
在第五实施方案中,可以在分割后加热多个半导体管芯部件,以使保护涂层从多个半导体管芯部件的外围后退。另外,多个半导体管芯部件的外围可以被蚀刻到预选深度。此外,多个半导体管芯部件可以包括在基础半导体层上方的电介质层。另外,多个半导体管芯部件的外围可以被蚀刻,以移除电介质层并暴露在多个半导体管芯部件的外围处的基础半导体层。
在第六实施方案中,多个半导体管芯部件中的一个或多个可以通过没有粘合剂的直接键合技术或者金属对金属扩散键合来键合。
在第七实施方案中,可以从多个半导体管芯部件的侧壁移除材料的颗粒和碎片,其中通过蚀刻多个半导体管芯部件的侧壁来从侧壁移除颗粒和碎片。
在一个实施方案中,在分割步骤之后,可以通过在一种或多种碱性流体中的超声波或兆频超声波辐射从管芯的侧壁移除材料的颗粒和碎片。在颗粒移除之后,可以进一步蚀刻管芯的侧壁,以移除管芯的侧壁的部分和平面电介质层的部分。
一些公开的过程可以使用方框流程图来示出,包括图形流程图和/或文本流程图。描述所公开的过程的顺序不旨在被解释为限制,并且可以以任何顺序组合任何数量的所描述的过程框以实现该过程或另选过程。另外,在不脱离本文描述的主题的实质和范围的情况下,可以从这些过程中删除各个框。此外,在不脱离本文描述的主题的范围的情况下,所公开的过程可以在任何合适的制造或加工设备或系统中连同任何硬件、软件、固件或它们的组合一起实现。
下面使用多个示例更详细地解释具体实施。尽管在此处和下文讨论了各种具体实施和示例,但是通过组合各个具体实施和示例的特征和元素,其他具体实施和示例也是可能的。
具体实施方式
概述
可以使用各种实施方案和技术来加工准备用于键合的分割的管芯。这些实施方案包括补救在管芯上发现的颗粒累积(包括在管芯的分割期间产生的颗粒)的技术,并且包括移除、溶解或蚀刻在管芯的边缘处的碎片以提供平滑的键合表面。
图1(A)是显示根据实施方案的管芯的顶表面上的缺陷的剖面图。如图所示,第一管芯102被示出为没有任何缺陷。相比之下,第二管芯104被示出为具有缺陷106。当然,应当理解,缺陷106可以出现在第一管芯102和/或第二管芯104的任何表面、侧壁和/或边缘上。
第一管芯102和/或第二管芯104可以从晶圆、电路板、封装、内插器、具有或不具有嵌入金属层的结构、导电互连108、一个或多个器件等上分割和/或移除,所述晶圆为诸如GaAs、金刚石涂覆衬底、碳化硅、氧化硅、氮化硅、硅晶圆、铌酸锂、钽酸锂、平板、玻璃、陶瓷。在一个实施方案中,缺陷106可以包括颗粒和/或碎片,并且可以通过管芯切割、划片和/或分割第一管芯102和/或第二管芯104而产生。例如,第一管芯102和/或第二管芯104的机械切割(即锯切)可能导致诸如颗粒106的缺陷,特别是在边缘和/或侧壁处。另外,当第一管芯102和/或第二管芯104被切割时(甚至使用激光),第一管芯102和/或第二管芯104可能破裂和/或产生颗粒106(诸如氧化硅颗粒)。此外,在抛光第一管芯102和/或第二管芯104之后,颗粒106的碎片可能仍然存在于第一管芯102和/或第二管芯104的边缘和/或侧壁上。
图1(B)是显示具有诸如颗粒106的缺陷的键合管芯的截面的剖面图。如图所示,在缺陷106存在于第二管芯104的键合表面的一部分上的情况下,第一管芯102不能完全键合到第二管芯104。这由第一管芯102和第二管芯104之间发现的间隙110(或空隙)示出。如果键合的完整性受到损害,或者如果间隙110大到足以负面影响在管芯102和104的键合表面处的匹配电互连108(如果存在)的导电性,则该间隙110可能是不可容忍的。如上所述,尽管缺陷106可能在第二管芯104的键合表面上发现,但是可以沿着第一管芯102和/或第二管芯104的另一表面和/或侧壁发现附加的或其他的缺陷(诸如颗粒)。
图1(C)是显示没有缺陷的紧密键合管芯的截面的剖面图。如图所示,第一管芯102完全和完整地键合到第二管芯104。在管芯102和104的表面处的任何导电互连108也被键合,在互连108之间具有可靠的导电性。图1(C)显示了在各自已经被适当地准备以用于键合之后的第一管芯102和第二管芯104。例如,第一管芯102和/或第二管芯104的边缘和侧壁可以被清洁和蚀刻,以移除硅的颗粒和碎片。当第一管芯102和/或第二管芯104在分割之后仍然在载体(例如,划片片或带、夹环等)上时,可以用干(等离子体)蚀刻和/或湿(化学)蚀刻来蚀刻第一管芯102和/或第二管芯104的边缘。保护涂层可以施加到第一管芯102和/或第二管芯104的键合表面,以在分割和蚀刻期间保护该表面。在一个示例中,第一管芯102和/或第二管芯104的表面和侧壁可以被蚀刻,而在另一个示例中,蚀刻可以限于第一管芯102和/或第二管芯104的侧壁。应注意,互连108被简单地而不是按比例示出。例如,互连108可以包括一起形成互连108的一个或多个层。此外,互连108可以部分或完全延伸穿过管芯102和104中的一个或两个,或者甚至可以仅在管芯102和104的表面处或沿着管芯102和104的表面提供,作为管芯102和104内的互连器件的迹线图案。
示例性实施方案
图2示出了根据实施方案的加工堆叠管芯的示例性过程200。在(A)中,衬底202(可以是例如硅晶圆)可以包括键合层204,键合层204可以包括诸如氧化物的绝缘体或电介质层,或者混合键合层,例如绝缘材料(诸如氧化物)和导电互连层的组合。该键合层204可以形成在衬底202的一侧或两侧上。层204可以由第一保护层206和/或第二保护层208保护。另选地,衬底202可以暴露和/或具有任意数量的保护层。
在(B)中,衬底202可以在载体212上被分割成多个分割的管芯210。在一个实施方案中,载体212可以包括加工片、划片片或带、夹环等。另外,衬底202可以使用锯划片、湿蚀刻或干蚀刻或激光方法或其组合来分割。在一个实施方案中,分割的管芯210可以具有基本平面的表面。
在(C)中,分割的管芯210可以暴露于紫外光(UV)(例如,以固化在用作衬底202的载体212的带上的粘合剂层,以减少管芯210与接触带的表面之间的粘合力等)。另外,在一个实施方案中,载体212可以在分割的管芯210在载体212上时被拉伸,以准备清洁和进一步加工分割的管芯210。例如,进一步的加工可以包括减小分割的管芯210的厚度。
在(D)中,分割的管芯210可以被清洁,并且分割的管芯210的侧壁可以被蚀刻。例如,清洁可以移除一个或多个保护层,包括保护层206和/或保护层208。在实施方案中,蚀刻可以溶解氧化硅、氮化硅和/或硅,以消除颗粒和/或碎片。包括酸在内的化学蚀刻剂211可以用于蚀刻管芯210的表面的外围,包括键合层204,并且也可以用于蚀刻分割的管芯210的侧壁。在分割的管芯210的表面和/或侧壁被蚀刻的示例中(例如,对于硅管芯210),蚀刻剂211可以包括氢氟酸和合适氧化剂(例如硝酸)的化学混合物。在一些应用中,湿蚀刻剂可以由缓冲氢氟酸和合适有机酸的混合物与氧化剂结合构成。在其他应用中,可以将合适的金属络合剂添加到蚀刻溶液中,以保护管芯210键合表面上的金属免受蚀刻剂的影响。在一个示例中,金属络合剂或钝化剂可以由具有三唑部分的分子构成,例如苯并三唑(BTA)等。在一个实施方案中,BTA可以保护分割的管芯210的表面上的铜免受蚀刻溶液的腐蚀或溶解。
在蚀刻管芯210的表面(和侧壁)并剥离保护层206和/或208之后,络合剂从管芯210的键合表面上被清除。作为湿蚀刻的替代,也可以使用干蚀刻方法清洁管芯210的侧壁,包括使用与蚀刻硅中使用的过程类似的等离子体加工。在干侧壁蚀刻步骤之后,保护层206可以从管芯210的侧壁的键合表面被剥离。清洁保护层206还可以包括清洁由干蚀刻产生的任何有机材料残留物。在一个实施方案中,经加工的管芯210的侧壁上的有机残留物可以完整保留。强粘附的侧壁有机残留物可以最小化来自管芯210的后续颗粒脱落。
另外,分割的管芯210的清洁和/或进一步加工可以发生在旋转夹具214(或类似物)上。化学蚀刻剂211被喷射到划片的晶圆表面上,并在管芯210的顶表面上方形成薄层,并填充管芯210之间的间隙。在一个实施方案中,蚀刻分割的管芯210的侧壁可以导致管芯210的侧壁上的缺陷被移除。
任选地,在实施方案中,管芯210的侧壁可以被选择性地涂覆,以涂覆到侧壁和可能存在于侧壁上的任何颗粒和/或碎片。例如,可以使用旋涂工艺、电涂工艺等将选择性涂层218施加到侧壁上。用涂层218将颗粒和/或碎片涂覆到侧壁,以将颗粒和/或碎片粘附到侧壁,防止颗粒和/或碎片污染管芯210的其他区域,包括管芯210的键合表面。在各种实施方案中,涂层218包括诸如玻璃、掺硼玻璃、掺磷玻璃等的材料,其粘附到侧壁的硅上,并且通常不会粘附到任何其他表面上。
在各种实施方案中,涂层218包括大约50nm或更小的层,该层将颗粒和碎片捕获到管芯210的侧壁,并防止它们从侧壁脱落。涂层218可以在预定温度(例如,大约80℃等)下热固化到管芯210以稳定预定的持续时间。虽然涂层218可以如所讨论的那样在清洁管芯210之后添加,但是在各种实施方案中,涂层218可以在过程200中的其他步骤沉积到侧壁上。
在(E)中,分割的管芯210可以经历等离子体工艺(诸如灰化),以移除保护层206的任何残留物。在(F)中,分割的管芯210可以被清洁,以移除由步骤(E)产生的任何残留物或碎屑的颗粒。在(G)中,分割的管芯210(包括氧化物层204中的一个或两个)可以被等离子体激活(表面激活),以准备分割的管芯210用于直接键合。在(H)中,等离子体激活的分割的管芯210可以被清洁。在(I)中,一个或多个分割的管芯210可以键合到第二衬底216的准备好的表面。特别地,分割的管芯210的键合层204(例如,具有或不具有导电层的氧化物或电介质层)可以直接键合到第二衬底216的准备好的表面。在一个实施方案中,分割的管芯210(经由键合层204)可以使用直接键合或/>混合键合技术等键合到第二衬底216,其中分割的管芯210直接键合(并且在一些情况下电连接)到第二衬底216的表面的部分,而不使用粘合剂。
在各种实施方式中,衬底216可以包括硅晶圆、GaAs、金刚石涂覆衬底、碳化硅、氧化硅、氮化硅、铌酸锂、钽酸锂、平板、玻璃、陶瓷、电路板、封装、内插器、具有或不具有一个或多个嵌入式器件的结构等的另一个准备好的表面。在一个实施方案中,准备好的衬底216包括另一个管芯210或另一个键合管芯304的表面,如下面进一步讨论的。
图3示出了根据实施方案的加工堆叠管芯的示例性过程300。如上所述,过程300的步骤(A)-(D)以与过程200的步骤(A)-(D)一致的方式起作用。这包括蚀刻管芯210的表面和外围(在相同或单独的过程步骤中),以从管芯210的表面和外围移除硅或氧化物的颗粒和碎片。
任选地,在实施方案中,管芯210的侧壁可以被选择性地涂覆,以涂覆到侧壁和可能存在于侧壁上的任何颗粒和/或碎片,如上所述。例如,可以使用旋涂工艺、电涂工艺等将选择性涂层218施加到侧壁上。用涂层218将颗粒和/或碎片涂覆到侧壁,以将颗粒和/或碎片粘附到侧壁,防止颗粒和/或碎片污染管芯210的其他区域,包括管芯210的键合表面。在各种实施方案中,涂层218包括诸如玻璃、掺硼玻璃、掺磷玻璃等的材料,其粘附到侧壁的硅上,并且通常不会粘附到任何其他表面上。
在各种实施方案中,涂层218包括大约50nm或更小的层,该层将颗粒和碎片捕获到管芯210的侧壁,并防止它们从侧壁脱落。涂层218可以在预定温度(例如,大约80℃等)下热固化到管芯210以稳定预定的持续时间。虽然涂层218可以如所讨论的那样在清洁管芯210之后添加,但是在各种实施方案中,涂层218可以在过程300中的其他步骤沉积到侧壁上。
继续参考过程300,在(E)中,对于所有描述的过程步骤(在一些实施方案中,包括分割),分割的管芯210可以被转移到旋转夹具214(或类似物),并且在诸如旋转板214或类似物的单个载体上进行加工/清洁。另选地,对于每个工位处的一个或多个过程,分割的管芯210可以在不同的载体(诸如旋转板302)之间转移。在(F)中,当仍然在旋转板302上时,分割的管芯210可以经受等离子体处理以移除保护层206的任何残留物(以与过程200的步骤(E)相似的方式)。
在(G)中,分割的管芯210可以被清洁,以移除由(F)中的等离子体工艺产生的残留物。在(H)中,分割的管芯210可以被等离子体激活(表面激活),以准备分割的管芯210(包括键合层204)用于直接键合。在(I)中,等离子体激活的分割的管芯210可以被清洁。
在(J)中,一个或多个分割的管芯210可以键合到第二衬底216的准备好的表面。特别地,键合层204(例如,具有或不具有导电层的氧化物或电介质层)可以键合到第二衬底216的准备好的表面。在一个实施方案中,分割的管芯210(经由氧化物层204)可以使用直接键合或/>混合键合技术等(例如,没有粘合剂或居间层)直接键合到第二衬底216。
在(K)中,一个或多个类似于分割的管芯210准备的附加的分割的管芯304(例如,管芯304也可以从衬底202分割)可以键合到一个或多个分割的管芯210的暴露的第二表面,形成一个或多个管芯叠层。特别地,分割的管芯304的键合层306(例如,具有或不具有导电层的氧化物或电介质层)可以直接键合到分割的管芯210的第二表面,该第二表面也已经准备好用于键合。根据需要,键合的准备可以包括一个或多个清洁、表面平坦化和等离子体处理过程步骤。另外,管芯210的第二表面(包括外围)也可以被蚀刻以移除不期望的颗粒和碎片等。
附加的分割的管芯304可以以类似的方式添加,以形成具有期望数量的管芯层的管芯叠堆。在一些实施方案中,分割的管芯210和第二衬底216可以在键合之后被热处理,在添加每层分割的管芯304之后进行附加的热处理。另选地,一旦堆叠管芯(210,304)的几层或所有层就位并被键合,分割的管芯210、分割的管芯304和第二衬底216就被热处理。
图4示出了根据实施方案的加工堆叠管芯的另一个示例性过程400。在(A)中,抗蚀剂层402被涂覆在分割的管芯210上,管芯210包括键合层204(例如,具有或不具有导电层或结构的绝缘或电介质层)和衬底区域202(例如,硅)。在实施方式中,抗蚀剂层402可以被图案化,例如以暴露分割的管芯210的外围,同时保护分割的管芯210的其余表面。在各种实施方案中,分割的管芯210可以使用划片和/或划线来分割。
在(B)中,分割的管芯210的暴露边缘和侧壁可以被清洁和蚀刻,在分割的管芯210的外围处产生底切或凹部。例如,分割的管芯210的粗切边缘可以通过蚀刻变得平滑。另外,分割的管芯210的外围可以凹进,以在外围处具有分割的管芯210的减小的总厚度,从而在分割的管芯210的边缘处产生空间。例如,在衬底202(例如硅)上具有键合层204(例如,电介质、氧化物等)的分割的管芯210可以被蚀刻以移除在分割的管芯210的外围处的键合层204的一些氧化物,并且在一些情况下,也移除衬底202的部分硅。蚀刻导致键合层204的电介质氧化物从分割的管芯210的边缘向后凹进,暴露凹部下面的衬底202的硅。在一个实施方案中,由凹部形成的空间可以允许在直接键合期间对键合表面有一些容差,以提高直接键合技术的可靠性并从键合中移除应力。
在一个实施方案中,分割的管芯210可以在升高的温度(例如,120℃)下加工,使得设置在氧化物层204上的抗蚀剂层402流动并从分割的管芯210的边缘拉回。当分割的管芯210的边缘被蚀刻时,氧化物层204的暴露部分可以被移除。另外,根据蚀刻所用的持续时间和配方,可以额外地移除衬底202的一些硅。例如,持续时间越长,可以移除的衬底202的量越大。在一些情况下,由于分割的管芯210的蚀刻,电介质氧化物层204可以具有倾斜的轮廓。如果蚀刻进行到衬底202的深度,该倾斜轮廓可以延伸到衬底202(例如硅)中。
在一些实施方案中,根据需要,回蚀刻电介质层204的过程可以使用光刻方法结合干蚀刻、湿蚀刻或两者来执行。例如,管芯210的表面可以被图案化,并且电介质层204的不需要的部分可以通过干蚀刻方法移除,并且任何不需要的暴露导电特征可以例如通过湿蚀刻方法移除。在其他应用中,可能优选的是在一次操作中移除不需要的电介质和导电部分。在一个示例中,可以将包含卤化物离子的湿蚀刻剂(例如,可氧化导电特征的缓冲氢氟酸和包含过氧化氢或硝酸(或类似物)的配方)施加到管芯210的表面,以移除不需要的电介质和导电特征。在移除不需要的电介质和导电特征之后,可以施加保护层以用于分割操作。
在(C)中,抗蚀剂层402可以从分割的管芯210的表面移除。另外,在(D)中,分割的管芯210可以被清洁。
在(E)和(F)中,分割的管芯210可以键合到第二衬底404(诸如,另一管芯210或304、第二衬底216等),该第二衬底404已经被准备用于键合,如上所述。在一个实施方案中,可以使用或混合/>技术等(例如,没有粘合剂或居间层)将分割的管芯210键合到衬底404的准备好的表面。在图4的图示中,在(E)和(F)中,仅管芯210被示出为具有氧化物层204。然而,在一些实施方案中,待键合的两个部件(例如,管芯210、管芯304或衬底216)可以包括在键合表面处的氧化物区域(诸如,氧化物层204)。换句话说,部件在相应的氧化物区域处键合。在一些应用中,管芯210的电介质或氧化物层204和衬底202的准备好的表面可以包括导电特征(未示出)。管芯210和衬底202的准备好的表面的电介质部分可以在较低的温度下初始键合。任何导电特征都可以在150到350℃之间的较高温度下接合。在其他应用中,电介质部分和导电特征键合在相同的温度下形成。
在(E)中所示的实施方式中,由于步骤(D)的蚀刻,分割的管芯210的氧化物层204的边缘可以包括底切408。在该实施方式中,分割的管芯210可以包括在分割的管芯210的外围处的底切408,使得氧化物层204的面积小于衬底202和/或衬底404的覆盖区的面积。另外或另选地,在(F)中所示的实施方式中,由于步骤(D)的蚀刻,衬底202和衬底404的边缘可以包括底切410。在该实施方式中,分割的管芯210可以包括在分割的管芯210的外围处的底切410,使得氧化物层204的面积大于衬底202和/或衬底404的覆盖区的面积。在该实施方式中,衬底202和衬底404可以分别对应于第一键合微电子部件和第二键合微电子部件。
根据各种实施方案,本文所述的边缘或侧壁蚀刻技术可以降低用于分割的管芯210的大批量制造的直接键合工艺的复杂性和成本。另外,从分割的管芯210的外围和/或边缘移除划片颗粒和碎片可以减少晶圆对晶圆、管芯对晶圆、管芯对管芯和管芯对系统封装中的工艺相关缺陷。此外,通过使堆叠的分割的管芯210的边缘变圆,可以降低以三维布置堆叠的封装的分割的管芯210中的应力。本文所述技术还可以导致和直接键合互连/>制造的器件的更少的管芯加工步骤、更高的制造产量和更高的边际利润率。所公开技术的其他优点对于本领域技术人员来说也是显而易见的。
图5(A)是根据实施方案的具有凹进键合层204(例如,具有或不具有导电层的绝缘层或电介质层)的示例性管芯210的一部分的剖面图。另外,图5(B)是具有凹进键合层204(例如,氧化物区域)的管芯210的剖面图的放大图。如图所示,管芯210可以包括从衬底202向后凹进的键合层204。例如,图5(B)的剖面图可以对应于图4的步骤(D)中所示的剖面图。另外,图5(B)在键合层204的一侧上包括凹部,然而,如图4的步骤(D)和图5(C)所示,凹部也可以位于键合层204的两侧(或另一侧)上。
特别地,氧化物层204的倾斜轮廓502可能由于蚀刻而延伸到衬底202中(例如,如参考图4的步骤(D)所述)。另外,倾斜轮廓502可以在衬底202的周边提供间隙,使得即使在衬底202的周边存在任何颗粒的情况下,也可以在例如分割的管芯210和第二衬底216(或类似物)的准备好的表面之间实现紧密的键合。
例如,这在图5(C)中示出,其中示例性管芯210被示出为键合到另一示例性管芯210’,形成示例性管芯叠堆或示例性微电子组件500(或类似物)。如图5(C)的图示中所示,键合层204包括诸如氧化物的绝缘或电介质材料并且还可以包括一个或多个导电层或结构504,并且直接键合到键合层204’,键合层204’也包括诸如氧化物的绝缘或电介质材料,并且也可以包括一个或多个导电层或结构504’。导电特征504和504’可以仅延伸到相应的键合层204和204’中,或者可以部分或全部延伸穿过管芯201和210’。键合层204处的凹部和键合层204’处的凹部(如果存在的话)可以在组件500的外围处形成间隙506,在间隙506处,管芯210键合到管芯210’。在各种实施方案中,间隙506可以具有这样的尺寸,使得保留在间隙506中的任何颗粒508都不会妨碍键合表面204和204’之间形成紧密的键合,包括导电结构504和504’之间紧密且导电的可靠键合。在各种实施方案中,间隙506可以根据需要填充,例如用密封剂、电介质材料、底部填充材料等。在其他实施方案中,间隙506可以保持未填充,或者可以根据需要填充其他惰性或活性材料。可以在管芯210和210’的背面形成如图5(A)和图5(B)所示的类似轮廓,并且可以将多于两个的管芯堆叠在一起。
图6是示出根据实施方案的用于加工堆叠管芯的示例性过程的流程图600。在602,该过程包括从晶圆部件(诸如衬底202)中分割多个半导体管芯部件(诸如,分割的管芯210或分割的管芯304)。在实施方案中,半导体管芯部件中的每一个具有基本平面的表面。在另一个实施方案中,该过程包括在半导体管芯部件的基本平面的表面上方(在分割之前或之后)沉积保护涂层(诸如保护涂层206)。
在一个实施方案中,该过程包括在分割后加热多个半导体管芯部件,以使保护涂层(诸如保护涂层206)从多个半导体管芯部件的外围后退。另外,多个半导体管芯部件的外围和/或多个半导体管芯部件的基本平面的表面可以被蚀刻到预选深度。
另选地,多个半导体管芯部件可以包括基础半导体层上方的电介质层。另外,电介质层可以具有基本平面的表面,并且如上所述,电介质层可以包括一个或多个导电特征。在一个实施方案中,该过程包括蚀刻多个半导体管芯部件的外围,使得电介质层的至少一部分被移除,并且在多个半导体管芯部件的外围处的基础半导体层被暴露。
在604,该过程包括从多个半导体管芯部件的边缘移除材料的颗粒和碎片。另选地,颗粒和碎片可以从多个半导体管芯部件的侧壁移除。在一个实施方案中,颗粒和碎片可以通过蚀刻多个半导体管芯部件的边缘和/或侧壁来移除。任选地,边缘和/或侧壁的蚀刻发生在多个半导体管芯部件在切割载体上时。另外,蚀刻可以使用等离子体蚀刻和/或包含氢氟酸和硝酸以及苯并三唑(BTA)的化学蚀刻剂。在替代实施方式中,保护涂层(诸如保护涂层206)可以被施加到多个半导体管芯部件的基本平面的表面,以保护基本平面的表面免受蚀刻剂的影响。
在606,该过程包括经由基本平面的表面将多个半导体管芯部件中的一个或多个键合到准备好的键合表面。例如,键合可以通过使用或/>键合技术等的直接键合来进行,而不需要粘合剂或居间层。键合可以包括在管芯的键合表面和准备好的键合表面处电耦接相对的导电特征。
本文所述公开的过程使用方框流程图来示出。描述所公开的过程的顺序不旨在被解释为限制,并且可以以任何顺序组合任何数量的所描述的过程框以实现该过程或另选过程。另外,在不脱离本文描述的主题的实质和范围的情况下,可以从这些过程中删除各个框。此外,在不脱离本文描述的主题的范围的情况下,所公开的过程可以在任何合适的制造或加工设备或系统中连同任何硬件、软件、固件或它们的组合一起实现。
尽管在本文中讨论了各种实施方式和示例,但是通过组合各个实施方式和示例的特征和元素,其他实施方式和示例也是可能的。
结论
尽管已经用结构特征和/或方法动作专用的语言描述了本公开的具体实施,但是应当理解,这些具体实施不必限于所描述的特定特征或动作。相反,公开了特定特征和动作作为实现示例性装置和技术的代表性形式。
本文档的每个权利要求构成单独的实施方案,并且组合不同权利要求和/或不同实施方案的实施方案在本公开的范围内,并且在阅读本公开后对于本领域普通技术人员将是显而易见的。

Claims (24)

1.一种用于形成微电子系统的方法,包括:
从晶圆部件分割多个半导体管芯部件,所述半导体管芯部件各自具有基本平面的表面;
从所述多个半导体管芯部件的边缘移除材料的颗粒和碎片;
将所述多个半导体管芯部件的外围蚀刻到预选深度;
在分割后加热所述多个半导体管芯部件,以使所述多个半导体管芯部件的所述基本平面的表面上的保护涂层从所述多个半导体管芯部件的所述外围后退;以及
经由所述基本平面的表面将所述多个半导体管芯部件中的一个或多个键合到准备好的键合表面。
2.根据权利要求1所述的用于形成微电子系统的方法,还包括蚀刻所述多个半导体管芯部件的所述边缘,以从所述多个半导体管芯部件的所述边缘移除所述颗粒和所述碎片。
3.根据权利要求2所述的用于形成微电子系统的方法,还包括当所述多个半导体管芯部件在划片载体上时蚀刻所述多个半导体管芯部件的所述边缘。
4.根据权利要求2所述的用于形成微电子系统的方法,还包括使用化学蚀刻剂蚀刻所述多个半导体管芯部件的所述边缘,所述化学蚀刻剂包括氢氟酸和硝酸以及苯并三唑BTA。
5.根据权利要求2所述的用于形成微电子系统的方法,还包括使用等离子体蚀刻来蚀刻所述多个半导体管芯部件的所述边缘。
6.根据权利要求2所述的用于形成微电子系统的方法,还包括蚀刻所述多个半导体管芯部件的所述边缘,以减小所述多个半导体管芯部件的厚度,使得在所述多个半导体管芯部件中的每一个的一个或多个所述边缘处产生空间。
7.根据权利要求2所述的用于形成微电子系统的方法,其中,所述半导体管芯部件包括作为所述基本平面的表面的氧化物层,并且其中,所述蚀刻包括移除在所述多个半导体管芯部件的所述边缘处的所述氧化物层的至少一部分。
8.根据权利要求2所述的用于形成微电子系统的方法,还包括在所述蚀刻之前将所述保护涂层施加到所述多个半导体管芯部件的所述基本平面的表面,以保护所述基本平面的表面免受蚀刻剂的影响。
9.根据权利要求1所述的用于形成微电子系统的方法,其中,所述多个半导体管芯部件包括在基础半导体层上方的电介质层,其中,所述蚀刻所述多个半导体管芯部件的所述外围包括在所述多个半导体管芯部件的所述外围处移除所述电介质层并暴露所述基础半导体层。
10.根据权利要求1所述的用于形成微电子系统的方法,还包括蚀刻所述多个半导体管芯部件的所述基本平面的表面。
11.根据权利要求10所述的用于形成微电子系统的方法,还包括将所述基本平面的表面蚀刻到预选深度或蚀刻达预选持续时间。
12.根据权利要求1所述的用于形成微电子系统的方法,其中,所述多个半导体管芯部件中的所述一个或多个使用没有粘合剂的直接键合技术或者金属对金属扩散键合来键合。
13.根据权利要求1所述的用于形成微电子系统的方法,还包括从所述多个半导体管芯部件的侧壁移除材料的颗粒和碎片,其中,通过蚀刻所述多个半导体管芯部件的所述侧壁来从所述侧壁移除所述颗粒和所述碎片。
14.根据权利要求1所述的用于形成微电子系统的方法,还包括将材料的颗粒和碎片涂覆到所述多个半导体管芯部件的侧壁,其中,通过在所述多个半导体管芯部件的所述侧壁上沉积涂层将所述颗粒和所述碎片涂覆到所述侧壁。
15.根据权利要求14所述的用于形成微电子系统的方法,还包括用玻璃旋涂或电涂所述多个半导体管芯部件的所述侧壁。
16.根据权利要求15所述的用于形成微电子系统的方法,还包括将所述玻璃热固化到所述多个半导体管芯部件的所述侧壁。
17.根据权利要求9所述的用于形成微电子系统的方法,还包括:除了所述电介质层之外,在所述多个半导体管芯部件的所述外围处还移除所述基础半导体层的部分。
18.根据权利要求17所述的用于形成微电子系统的方法,还包括在所述基础半导体层的外围处形成底切,使得所述基础半导体层的面积小于所述电介质层的覆盖区的面积。
19.根据权利要求18所述的用于形成微电子系统的方法,还包括在所述准备好的键合表面的外围处形成底切,使得所述准备好的键合表面的面积小于所述电介质层的覆盖区的面积。
20.根据权利要求9所述的用于形成微电子系统的方法,还包括在所述电介质层的外围处形成底切,使得所述电介质层的面积小于所述基础半导体层的覆盖区的面积。
21.根据权利要求1所述的用于形成微电子系统的方法,其中,所述保护涂层包括抗蚀剂层,所述抗蚀剂层被图案化,以至少暴露所述多个半导体管芯部件的所述外围。
22.根据权利要求14所述的用于形成微电子系统的方法,还包括用掺硼玻璃或掺磷玻璃旋涂或电涂所述多个半导体管芯部件的所述侧壁。
23.根据权利要求22所述的用于形成微电子系统的方法,还包括将所述掺硼玻璃或所述掺磷玻璃热固化到所述多个半导体管芯部件的所述侧壁。
24.一种用于形成器具的方法,包括:
将具有基本平面的表面的微电子部件分割成多个子部件;
从所述子部件的边缘移除材料的颗粒和碎片;
在分割后加热所述多个子部件,以使所述多个子部件的所述基本平面的表面上的保护涂层从所述多个子部件的外围后退;
将所述多个子部件的所述外围蚀刻到预选深度;以及
将所述子部件中的一个或多个键合到具有基本平面的表面的准备好的键合表面。
CN201880028854.7A 2017-05-11 2018-04-24 用于形成微电子系统或器具的方法 Active CN110574151B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202311589853.9A CN117497456A (zh) 2017-05-11 2018-04-24 用于形成微电子系统或器具的方法

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762504834P 2017-05-11 2017-05-11
US62/504,834 2017-05-11
US15/960,179 US10879212B2 (en) 2017-05-11 2018-04-23 Processed stacked dies
US15/960,179 2018-04-23
PCT/US2018/029094 WO2018208500A1 (en) 2017-05-11 2018-04-24 Processed stacked dies

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202311589853.9A Division CN117497456A (zh) 2017-05-11 2018-04-24 用于形成微电子系统或器具的方法

Publications (2)

Publication Number Publication Date
CN110574151A CN110574151A (zh) 2019-12-13
CN110574151B true CN110574151B (zh) 2023-12-15

Family

ID=64097487

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880028854.7A Active CN110574151B (zh) 2017-05-11 2018-04-24 用于形成微电子系统或器具的方法
CN202311589853.9A Pending CN117497456A (zh) 2017-05-11 2018-04-24 用于形成微电子系统或器具的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202311589853.9A Pending CN117497456A (zh) 2017-05-11 2018-04-24 用于形成微电子系统或器具的方法

Country Status (7)

Country Link
US (3) US10879212B2 (zh)
EP (1) EP3635775A4 (zh)
JP (2) JP7129427B2 (zh)
KR (1) KR102320674B1 (zh)
CN (2) CN110574151B (zh)
TW (3) TWI809576B (zh)
WO (1) WO2018208500A1 (zh)

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10607136B2 (en) 2017-08-03 2020-03-31 Xcelsis Corporation Time borrowing between layers of a three dimensional chip stack
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
WO2018125673A2 (en) 2016-12-28 2018-07-05 Invensas Bonding Technologies, Inc Processing stacked substrates
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
WO2018183739A1 (en) 2017-03-31 2018-10-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
WO2019241367A1 (en) 2018-06-12 2019-12-19 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
KR20220120631A (ko) 2019-12-23 2022-08-30 인벤사스 본딩 테크놀로지스 인코포레이티드 결합형 구조체를 위한 전기적 리던던시
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
JP7453874B2 (ja) 2020-07-30 2024-03-21 芝浦メカトロニクス株式会社 基板処理方法、および基板処理装置
CN111968944A (zh) * 2020-08-24 2020-11-20 浙江集迈科微电子有限公司 一种射频模组超薄堆叠工艺
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
WO2022094579A1 (en) * 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
WO2024089907A1 (ja) * 2022-10-27 2024-05-02 東京エレクトロン株式会社 基板処理装置、及び基板処理方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2339614A1 (en) * 2009-12-22 2011-06-29 Imec Method for stacking semiconductor chips
JP2012164739A (ja) * 2011-02-04 2012-08-30 Toshiba Corp 半導体装置の製造方法
US8975163B1 (en) * 2014-04-10 2015-03-10 Applied Materials, Inc. Laser-dominated laser scribing and plasma etch hybrid wafer dicing
US9076860B1 (en) * 2014-04-04 2015-07-07 Applied Materials, Inc. Residue removal from singulated die sidewall
CN105609410A (zh) * 2014-11-14 2016-05-25 英飞凌科技股份有限公司 用于处理半导体衬底的方法和用于处理半导体晶片的方法

Family Cites Families (325)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5019673A (en) 1990-08-22 1991-05-28 Motorola, Inc. Flip-chip package for integrated circuits
JPH04337694A (ja) 1991-05-15 1992-11-25 Nec Yamagata Ltd 電子部品保護用樹脂膜
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
DE69429848T2 (de) 1993-11-01 2002-09-26 Matsushita Electric Ind Co Ltd Elektronische Anordnung und Verfahren zur Herstellung
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
KR100274333B1 (ko) 1996-01-19 2001-01-15 모기 쥰이찌 도체층부착 이방성 도전시트 및 이를 사용한 배선기판
US5872046A (en) * 1996-04-10 1999-02-16 Texas Instruments Incorporated Method of cleaning wafer after partial saw
US5956605A (en) 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
KR19990085633A (ko) * 1998-05-20 1999-12-15 윤종용 초음파를 이용한 웨이퍼세척방법
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6500694B1 (en) 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
JP2001313350A (ja) 2000-04-28 2001-11-09 Sony Corp チップ状電子部品及びその製造方法、並びにその製造に用いる疑似ウエーハ及びその製造方法
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
JP3420748B2 (ja) 2000-12-14 2003-06-30 松下電器産業株式会社 半導体装置及びその製造方法
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
TWI309074B (en) * 2002-02-07 2009-04-21 Advanced Epitaxy Technology Method of forming semiconductor device
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
JP4579489B2 (ja) 2002-09-02 2010-11-10 新光電気工業株式会社 半導体チップ製造方法及び半導体チップ
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
JP2004193493A (ja) 2002-12-13 2004-07-08 Nec Machinery Corp ダイピックアップ方法および装置
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
TW586677U (en) 2003-01-22 2004-05-01 Via Tech Inc Stack structure of chip package
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
TWI239629B (en) 2003-03-17 2005-09-11 Seiko Epson Corp Method of manufacturing semiconductor device, semiconductor device, circuit substrate and electronic apparatus
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US6873049B2 (en) 2003-07-31 2005-03-29 The Boeing Company Near hermetic power chip on board device and manufacturing method therefor
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
KR100538158B1 (ko) 2004-01-09 2005-12-22 삼성전자주식회사 웨이퍼 레벨 적층 칩 접착 방법
US20050161808A1 (en) * 2004-01-22 2005-07-28 Anderson Douglas G. Wafer, intermediate wafer assembly and associated method for fabricating a silicon on insulator wafer having an improved edge profile
WO2006019156A1 (ja) 2004-08-20 2006-02-23 Zycube Co., Ltd. 三次元積層構造を持つ半導体装置の製造方法
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
US7262492B2 (en) 2004-09-28 2007-08-28 Intel Corporation Semiconducting device that includes wirebonds
FR2880184B1 (fr) * 2004-12-28 2007-03-30 Commissariat Energie Atomique Procede de detourage d'une structure obtenue par assemblage de deux plaques
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
JP4275096B2 (ja) 2005-04-14 2009-06-10 パナソニック株式会社 半導体チップの製造方法
US7354862B2 (en) 2005-04-18 2008-04-08 Intel Corporation Thin passivation layer on 3D devices
JP4983049B2 (ja) 2005-06-24 2012-07-25 セイコーエプソン株式会社 半導体装置および電子機器
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7682937B2 (en) * 2005-11-25 2010-03-23 Advanced Laser Separation International B.V. Method of treating a substrate, method of processing a substrate using a laser beam, and arrangement
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US7781309B2 (en) 2005-12-22 2010-08-24 Sumco Corporation Method for manufacturing direct bonded SOI wafer and direct bonded SOI wafer manufactured by the method
US20070158024A1 (en) 2006-01-11 2007-07-12 Symbol Technologies, Inc. Methods and systems for removing multiple die(s) from a surface
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
KR100809696B1 (ko) 2006-08-08 2008-03-06 삼성전자주식회사 사이즈가 상이한 복수의 반도체 칩이 적층된 멀티 칩패키지 및 그 제조방법
JP5011981B2 (ja) 2006-11-30 2012-08-29 富士通株式会社 デバイス素子製造方法およびダイシング方法
US8178963B2 (en) 2007-01-03 2012-05-15 Advanced Chip Engineering Technology Inc. Wafer level package with die receiving through-hole and method of the same
US8178964B2 (en) 2007-03-30 2012-05-15 Advanced Chip Engineering Technology, Inc. Semiconductor device package with die receiving through-hole and dual build-up layers over both side-surfaces for WLP and method of the same
US20080165521A1 (en) 2007-01-09 2008-07-10 Kerry Bernstein Three-dimensional architecture for self-checking and self-repairing integrated circuits
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
JP2008258383A (ja) 2007-04-04 2008-10-23 Spansion Llc 半導体装置及びその製造方法
DE102007020656B4 (de) 2007-04-30 2009-05-07 Infineon Technologies Ag Werkstück mit Halbleiterchips, Halbleiterbauteil und Verfahren zur Herstellung eines Werkstücks mit Halbleiterchips
US20090001599A1 (en) 2007-06-28 2009-01-01 Spansion Llc Die attachment, die stacking, and wire embedding using film
US20090029274A1 (en) 2007-07-25 2009-01-29 3M Innovative Properties Company Method for removing contamination with fluorinated compositions
JP5342772B2 (ja) * 2007-10-12 2013-11-13 浜松ホトニクス株式会社 加工対象物切断方法
JP2009135348A (ja) 2007-12-03 2009-06-18 Panasonic Corp 半導体チップと半導体装置およびそれらの製造方法
US7871902B2 (en) 2008-02-13 2011-01-18 Infineon Technologies Ag Crack stop trenches
CN103872002B (zh) 2008-03-05 2017-03-01 伊利诺伊大学评议会 可拉伸和可折叠的电子器件
JP2011513995A (ja) 2008-03-07 2011-04-28 スリーエム イノベイティブ プロパティズ カンパニー 模様付き裏材を備えるダイシングテープ及びダイアタッチ接着剤
KR20090106822A (ko) 2008-04-07 2009-10-12 삼성전자주식회사 웨이퍼 본딩 방법 및 그 방법에 의해 본딩된 웨이퍼 구조체
US8253230B2 (en) 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
WO2010024678A1 (en) 2008-09-01 2010-03-04 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Chip die clamping device and transfer method
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
JP2010073964A (ja) 2008-09-19 2010-04-02 Fujitsu Microelectronics Ltd 半導体装置の製造方法
US7843052B1 (en) 2008-11-13 2010-11-30 Amkor Technology, Inc. Semiconductor devices and fabrication methods thereof
EP2351068B1 (en) 2008-11-19 2020-11-04 X Display Company Technology Limited Printing semiconductor elements by shear-assisted elastomeric stamp transfer
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
JP2010245383A (ja) 2009-04-08 2010-10-28 Elpida Memory Inc 半導体装置および半導体装置の製造方法
JP5304536B2 (ja) 2009-08-24 2013-10-02 ソニー株式会社 半導体装置
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
US9202769B2 (en) 2009-11-25 2015-12-01 Stats Chippac, Ltd. Semiconductor device and method of forming thermal lid for balancing warpage and thermal management
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
US8138014B2 (en) 2010-01-29 2012-03-20 Stats Chippac, Ltd. Method of forming thin profile WLCSP with vertical interconnect over package footprint
JP2011171614A (ja) 2010-02-22 2011-09-01 Casio Computer Co Ltd 半導体装置及び半導体装置の製造方法
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
US8481406B2 (en) 2010-07-15 2013-07-09 Soitec Methods of forming bonded semiconductor structures
US8415808B2 (en) 2010-07-28 2013-04-09 Sandisk Technologies Inc. Semiconductor device with die stack arrangement including staggered die and efficient wire bonding
US8361842B2 (en) 2010-07-30 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded wafer-level bonding approaches
US8288201B2 (en) 2010-08-25 2012-10-16 Stats Chippac, Ltd. Semiconductor device and method of forming FO-WLCSP with discrete semiconductor components mounted under and over semiconductor die
KR20120032254A (ko) 2010-09-28 2012-04-05 삼성전자주식회사 반도체 적층 패키지 및 이의 제조 방법
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8735260B2 (en) * 2010-12-13 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method to prevent metal pad damage in wafer level package
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
US8623702B2 (en) 2011-02-24 2014-01-07 Stats Chippac, Ltd. Semiconductor device and method of forming conductive THV and RDL on opposite sides of semiconductor die for RDL-to-RDL bonding
WO2012125632A1 (en) * 2011-03-16 2012-09-20 Memc Electronic Materials, Inc. Silicon on insulator structures having high resistivity regions in the handle wafer and methods for producing such structures
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
KR20120123919A (ko) 2011-05-02 2012-11-12 삼성전자주식회사 칩 적층 반도체 패키지 제조 방법 및 이에 의해 제조된 칩 적층 반도체 패키지
EP3534399A1 (en) 2011-05-24 2019-09-04 Sony Corporation Semiconductor device
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8710648B2 (en) 2011-08-09 2014-04-29 Alpha & Omega Semiconductor, Inc. Wafer level packaging structure with large contact area and preparation method thereof
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
KR101906408B1 (ko) 2011-10-04 2018-10-11 삼성전자주식회사 반도체 패키지 및 그 제조 방법
US9123830B2 (en) 2011-11-11 2015-09-01 Sumitomo Bakelite Co., Ltd. Manufacturing method for semiconductor device
TWI467736B (zh) 2012-01-04 2015-01-01 Univ Nat Chiao Tung 立體積體電路裝置
US8698308B2 (en) 2012-01-31 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structural designs to minimize package defects
JP5994274B2 (ja) 2012-02-14 2016-09-21 ソニー株式会社 半導体装置、半導体装置の製造方法、及び、電子機器
US8652940B2 (en) * 2012-04-10 2014-02-18 Applied Materials, Inc. Wafer dicing used hybrid multi-step laser scribing process with plasma etch
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8723309B2 (en) 2012-06-14 2014-05-13 Stats Chippac Ltd. Integrated circuit packaging system with through silicon via and method of manufacture thereof
US8759961B2 (en) 2012-07-16 2014-06-24 International Business Machines Corporation Underfill material dispensing for stacked semiconductor chips
US8963336B2 (en) 2012-08-03 2015-02-24 Samsung Electronics Co., Ltd. Semiconductor packages, methods of manufacturing the same, and semiconductor package structures including the same
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20140070405A1 (en) 2012-09-13 2014-03-13 Globalfoundries Inc. Stacked semiconductor devices with a glass window wafer having an engineered coefficient of thermal expansion and methods of making same
US9368404B2 (en) 2012-09-28 2016-06-14 Plasma-Therm Llc Method for dicing a substrate with back metal
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9054063B2 (en) 2013-04-05 2015-06-09 Infineon Technologies Ag High power single-die semiconductor package
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
FR3007403B1 (fr) 2013-06-20 2016-08-05 Commissariat Energie Atomique Procede de realisation d'un dispositif microelectronique mecaniquement autonome
KR102077153B1 (ko) 2013-06-21 2020-02-14 삼성전자주식회사 관통전극을 갖는 반도체 패키지 및 그 제조방법
JP2015012244A (ja) 2013-07-01 2015-01-19 株式会社東芝 半導体発光素子
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
TWI683889B (zh) * 2013-07-31 2020-02-01 美商恩特葛瑞斯股份有限公司 用於移除金屬硬遮罩及蝕刻後殘餘物之具有Cu/W相容性的水性配方
US9324698B2 (en) 2013-08-13 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip structure and method of forming same
WO2015040798A1 (ja) 2013-09-20 2015-03-26 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
KR102143518B1 (ko) 2013-10-16 2020-08-11 삼성전자 주식회사 칩 적층 반도체 패키지 및 그 제조 방법
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
US9530730B2 (en) 2013-11-08 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Configurable routing for packaging applications
JP6441025B2 (ja) 2013-11-13 2018-12-19 株式会社東芝 半導体チップの製造方法
US9570421B2 (en) 2013-11-14 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking of multiple dies for forming three dimensional integrated circuit (3DIC) structure
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9768038B2 (en) 2013-12-23 2017-09-19 STATS ChipPAC, Pte. Ltd. Semiconductor device and method of making embedded wafer level chip scale packages
US9653442B2 (en) 2014-01-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and methods of forming same
US9343433B2 (en) 2014-01-28 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with stacked dies and methods of forming the same
US9293437B2 (en) 2014-02-20 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Functional block stacked 3DIC and method of making same
US20150255349A1 (en) 2014-03-07 2015-09-10 JAMES Matthew HOLDEN Approaches for cleaning a wafer during hybrid laser scribing and plasma etching wafer dicing processes
US9355997B2 (en) 2014-03-12 2016-05-31 Invensas Corporation Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9418924B2 (en) 2014-03-20 2016-08-16 Invensas Corporation Stacked die integrated circuit
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9601463B2 (en) 2014-04-17 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out stacked system in package (SIP) and the methods of making the same
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9601437B2 (en) * 2014-09-09 2017-03-21 Nxp B.V. Plasma etching and stealth dicing laser process
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US9899442B2 (en) 2014-12-11 2018-02-20 Invensas Corporation Image sensor device
JP6305355B2 (ja) * 2015-01-28 2018-04-04 株式会社東芝 デバイスの製造方法
US9508660B2 (en) 2015-02-10 2016-11-29 Intel Corporation Microelectronic die having chamfered corners
DE102015103274A1 (de) 2015-03-06 2016-09-08 HARTING Electronics GmbH Kabelabdichtung
JP6738591B2 (ja) 2015-03-13 2020-08-12 古河電気工業株式会社 半導体ウェハの処理方法、半導体チップおよび表面保護テープ
US10068862B2 (en) 2015-04-09 2018-09-04 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming a package in-fan out package
KR101664411B1 (ko) 2015-06-04 2016-10-14 주식회사 에스에프에이반도체 웨이퍼 레벨의 팬 아웃 패키지 제조방법
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10352991B2 (en) 2015-07-21 2019-07-16 Fermi Research Alliance, Llc Edgeless large area ASIC
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9754891B2 (en) 2015-09-23 2017-09-05 International Business Machines Corporation Low-temperature diffusion doping of copper interconnects independent of seed layer composition
WO2017052652A1 (en) 2015-09-25 2017-03-30 Intel Corporation Combination of semiconductor die with another die by hybrid bonding
US10032751B2 (en) 2015-09-28 2018-07-24 Invensas Corporation Ultrathin layer for forming a capacitive interface between joined integrated circuit components
US9666560B1 (en) 2015-11-25 2017-05-30 Invensas Corporation Multi-chip microelectronic assembly with built-up fine-patterned circuit structure
JP2017098452A (ja) * 2015-11-26 2017-06-01 株式会社ディスコ 洗浄方法
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US20170200659A1 (en) 2016-01-08 2017-07-13 International Business Machines Corporation Porous underfill enabling rework
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US11373990B2 (en) * 2016-02-29 2022-06-28 Semtech Corporation Semiconductor device and method of stacking semiconductor die for system-level ESD protection
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
KR102521881B1 (ko) 2016-06-15 2023-04-18 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9818729B1 (en) 2016-06-16 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure and method
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US9859254B1 (en) 2016-06-30 2018-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and a manufacturing method thereof
KR102570582B1 (ko) 2016-06-30 2023-08-24 삼성전자 주식회사 반도체 패키지 및 그 제조 방법
US9966360B2 (en) 2016-07-05 2018-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10672741B2 (en) 2016-08-18 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with thermal-electrical-mechanical chips and methods of forming the same
KR102649471B1 (ko) 2016-09-05 2024-03-21 삼성전자주식회사 반도체 패키지 및 그의 제조 방법
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10607136B2 (en) 2017-08-03 2020-03-31 Xcelsis Corporation Time borrowing between layers of a three dimensional chip stack
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US9722098B1 (en) 2016-10-18 2017-08-01 Ase Electronics (M) Sdn Bhd Semiconductor device package and method of manufacturing the same
US10304801B2 (en) 2016-10-31 2019-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layers in semiconductor packages and methods of forming same
US20180130768A1 (en) 2016-11-09 2018-05-10 Unisem (M) Berhad Substrate Based Fan-Out Wafer Level Packaging
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
WO2018125673A2 (en) 2016-12-28 2018-07-05 Invensas Bonding Technologies, Inc Processing stacked substrates
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
US9865567B1 (en) 2017-02-02 2018-01-09 Xilinx, Inc. Heterogeneous integration of integrated circuit device and companion device
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
WO2018183739A1 (en) 2017-03-31 2018-10-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10658335B2 (en) 2017-06-16 2020-05-19 Futurewei Technologies, Inc. Heterogenous 3D chip stack for a mobile processor
US10707145B2 (en) 2017-09-08 2020-07-07 Kemet Electronics Corporation High density multi-component packages
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US10332899B2 (en) 2017-09-29 2019-06-25 Intel Corporation 3D package having edge-aligned die stack with direct inter-die wire connections
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10672820B2 (en) 2017-11-23 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonded structure
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11127738B2 (en) 2018-02-09 2021-09-21 Xcelsis Corporation Back biasing of FD-SOI circuit blocks
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US10991804B2 (en) 2018-03-29 2021-04-27 Xcelsis Corporation Transistor level interconnection methodologies utilizing 3D interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US10937743B2 (en) 2018-04-30 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mixing organic materials into hybrid packages
US10403577B1 (en) 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11469138B2 (en) 2018-05-04 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via for coupling attached component upper electrode to substrate
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10510629B2 (en) 2018-05-18 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method of forming same
US10727204B2 (en) 2018-05-29 2020-07-28 Advances Micro Devices, Inc. Die stacking for multi-tier 3D integration
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
WO2019241367A1 (en) 2018-06-12 2019-12-19 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US10333623B1 (en) 2018-06-25 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Optical transceiver
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US20200035641A1 (en) 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US10868353B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic device and manufacturing method thereof
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11158607B2 (en) 2018-11-29 2021-10-26 Apple Inc. Wafer reconstitution and die-stitching
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11387202B2 (en) 2019-03-01 2022-07-12 Invensas Llc Nanowire bonding interconnect for fine-pitch microelectronics
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10770430B1 (en) 2019-03-22 2020-09-08 Xilinx, Inc. Package integration for memory devices
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11094613B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US20210118864A1 (en) 2019-10-21 2021-04-22 Invensas Corporation Non-Volatile Dynamic Random Access Memory
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
KR20220120631A (ko) 2019-12-23 2022-08-30 인벤사스 본딩 테크놀로지스 인코포레이티드 결합형 구조체를 위한 전기적 리던던시
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US20220139867A1 (en) 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
WO2022094579A1 (en) 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
WO2022147429A1 (en) 2020-12-28 2022-07-07 Invensas Bonding Technologies, Inc. Structures with through-substrate vias and methods for forming the same
WO2022147430A1 (en) 2020-12-28 2022-07-07 Invensas Bonding Technologies, Inc. Structures with through-substrate vias and methods for forming the same
EP4272249A1 (en) 2020-12-30 2023-11-08 Adeia Semiconductor Bonding Technologies Inc. Structure with conductive feature and method of forming same
TW202243197A (zh) 2020-12-30 2022-11-01 美商英帆薩斯邦德科技有限公司 直接接合結構
WO2022187402A1 (en) 2021-03-03 2022-09-09 Invensas Bonding Technologies, Inc. Contact structures for direct bonding
US20220320035A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
WO2022212595A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding and debonding of carrier
EP4315398A1 (en) 2021-03-31 2024-02-07 Adeia Semiconductor Bonding Technologies Inc. Direct bonding and debonding of carrier
EP4364194A1 (en) 2021-06-30 2024-05-08 Adeia Semiconductor Bonding Technologies Inc. Element with routing structure in bonding layer
WO2023288021A1 (en) 2021-07-16 2023-01-19 Invensas Bonding Technologies, Inc. Optically obstructive protective element for bonded structures

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2339614A1 (en) * 2009-12-22 2011-06-29 Imec Method for stacking semiconductor chips
JP2012164739A (ja) * 2011-02-04 2012-08-30 Toshiba Corp 半導体装置の製造方法
US9076860B1 (en) * 2014-04-04 2015-07-07 Applied Materials, Inc. Residue removal from singulated die sidewall
US8975163B1 (en) * 2014-04-10 2015-03-10 Applied Materials, Inc. Laser-dominated laser scribing and plasma etch hybrid wafer dicing
CN105609410A (zh) * 2014-11-14 2016-05-25 英飞凌科技股份有限公司 用于处理半导体衬底的方法和用于处理半导体晶片的方法

Also Published As

Publication number Publication date
US11652083B2 (en) 2023-05-16
US20180331066A1 (en) 2018-11-15
TWI749220B (zh) 2021-12-11
TW202209560A (zh) 2022-03-01
WO2018208500A1 (en) 2018-11-15
US20210104487A1 (en) 2021-04-08
KR102320674B1 (ko) 2021-11-01
TWI809576B (zh) 2023-07-21
KR20190140967A (ko) 2019-12-20
EP3635775A4 (en) 2021-05-26
TW202343668A (zh) 2023-11-01
EP3635775A1 (en) 2020-04-15
JP2022163235A (ja) 2022-10-25
CN117497456A (zh) 2024-02-02
JP2020520118A (ja) 2020-07-02
US10879212B2 (en) 2020-12-29
JP7129427B2 (ja) 2022-09-01
TW201907505A (zh) 2019-02-16
CN110574151A (zh) 2019-12-13
US20230282610A1 (en) 2023-09-07

Similar Documents

Publication Publication Date Title
CN110574151B (zh) 用于形成微电子系统或器具的方法
CN111742398B (zh) 用于处理器件的技术
US11348801B2 (en) Processing stacked substrates
US11791307B2 (en) DBI to SI bonding for simplified handle wafer
WO2022212596A1 (en) Direct bonding methods and structures
US10410923B2 (en) Method of processing wafer
US7112470B2 (en) Chip dicing
CN111834296A (zh) 半导体器件和方法
TWI836575B (zh) 用於處理裝置的技術

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
CB02 Change of applicant information

Address after: California, USA

Applicant after: Insulation Semiconductor Bonding Technology Co.

Address before: California, USA

Applicant before: Evanss Adhesive Technologies

CB02 Change of applicant information
GR01 Patent grant
GR01 Patent grant