TW201224191A - Radiation patternable CVD film - Google Patents

Radiation patternable CVD film Download PDF

Info

Publication number
TW201224191A
TW201224191A TW100136310A TW100136310A TW201224191A TW 201224191 A TW201224191 A TW 201224191A TW 100136310 A TW100136310 A TW 100136310A TW 100136310 A TW100136310 A TW 100136310A TW 201224191 A TW201224191 A TW 201224191A
Authority
TW
Taiwan
Prior art keywords
substrate
radiation
precursor
film
layer
Prior art date
Application number
TW100136310A
Other languages
English (en)
Inventor
Timothy W Weidman
Timothy Michaelson
Paul Deaton
Nitin K Ingle
Abhijit Basu Mallick
Amit Chatterjee
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201224191A publication Critical patent/TW201224191A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

201224191 六、發明說明: 【發明所屬之技術領域】 本發明之貫施例大體而言係關於輻射敏感的光阻劑材 料及製造及圖案化此類光阻劑之方法。 【先前技術】 在積體電路(ic)或晶片之製造中,圖案化曝光通常用 於以感光性光阻劑材料在諸如半導體晶圓之基板表面上 界定有用之形狀或特徵結構。晶片上之特徵結構尺寸不 斷減小,從而要求更精密的較短波長微影技術。預期將 會有下一代微影技術(next generati〇n Uth〇graphy; NGL) 在例如20 nm及更先進之製程技術(techn〇1〇gy n〇de ) 上取代當前的光學微影方法。極紫外線微影技術 (extreme ultraviolet lithography; EUVL)與電子束(e 束; e-beam )微影技術皆相當被看好作為下一代微影技術。 極紫外線微影技術(EUVL)使用範圍約在! 〇奈米(nm) 至15 nm之短波長輻射(光)以圖案化特徵結構,該等 特徵結構具有小於1〇〇 nm之尺寸。由於極紫外線(£1;¥) 輻射為幾乎所有的材料所吸收,故用於EuVL中之光罩 為反射式光罩。反射式光罩在某些區域中反射輻射且在 其他區域中吸收輻射。自光罩反射之光在光阻劑(或光 阻)上再現光罩之影像,該光阻劑配置在諸如矽晶圓之 晶圓基板上。當被照射或曝露於輻射時,光阻劑會在進 行化學反應後顯影以在晶圓上產生光罩之複製圖案。 201224191 然而,隨著圖幸ρ , *八Τ縮小’較厚之光阻劑會產生問題。 此類間題出現在顯里彡#η ΒΒ 4期間,其中溶液之表面張力拖曳圖 案線此現象被矛冉為圖案崩潰。因此,需要更薄之光阻 知丨以達成特徵結構之較低深寬比,以及防止圖案崩潰。 對幸田射敏感之光阻材料之理想屬性包括下列一或更多 者堅固的附著力、熱及機械穩定性以及可使用電漿敍 d或|j離法(例如’氧電漿蝕刻)移除。由於EUV及其 他類型之微f彡曝光(諸如’ e束)係在真空下進行,I 應取小化或排除可能污染昂貴的反射多層光學元件之揮 發性成分氣體散逸。光阻亦需要展現足夠的傳導性以最 小化與曝露於輻射期間所產生之二次電子相關聯之近接 效應。 【發明内容】 本發明之第一態樣係關於一種用於在基板上形成輻射 敏感光阻劑的方法。該方法包含以下步驟:藉由將含有 具有可交聯成分之取代基之矽前驅物流入含有基板之化 學氣相沈積設備中,以化學氣相沈積形成沈積層;使該 沈積層與電漿接觸;以及將該沈積層之部分選擇性地曝 露於輻射,以產生具有曝光部分及未曝光部分之圖案, 其令該沈積層之曝光部分含有交聯成分,該等交聯成分 在基板上形成膜,該膜比該沈積層之未曝光部分更難溶 於顯影液。在一些實施例中’曝露於輻射之步驟在真* 中實施。在另外的實施例中’輻射係選自EUV及e束。 201224191 替代地,在其他實施例中,曝露於輻射之步驟在存在氧 氣時實施。在另外的實施例中,輻射係選自深uv、遠 UV及X射線。在其他實施例中,該方法進一步包含以 下步驟:流入包含鍺或錫之第二前驅物,且其中該輻射 為EUV。光圖案化膜可用作硬光罩。在其他實施例中, 電漿可為遠端電漿。 在其他實施例中,該方法進一步包含以下步驟:使沈 積層之未曝光部分與顯影液接觸且自基板移除該等未曝 光部分。在更特定之實施例中,顯影液包含氫氧化四甲 銨之水溶液。 在此態樣之某些實施例中,矽前驅物包含含SiH前驅 物。根據此實施例之特定變型,含Si_H前驅物係選自三 矽烷胺、雙(二乙胺基)矽烷、雙(三丁胺基)矽烷、三(二 甲胺基)石夕烧及1,3,5-三石夕戊烧。 本發明之另一態樣係關於一種提供實質氧化光圖案化 膜的方法。該方法包含以下步驟:提供基板;使用含W屮 前驅物將膜沈積至該基板上中沈射&包含電毁増 強化學氣相沈積;將沈積膜之至少一部分曝露於輻射以 提供光圖案化膜;以及處理光圖案化膜以提供實質氧化 光圖案化膜。在一些實施例中,處理光圖案化膜之步驟 13或更多以下步驟:在高溫下烘烤、UV硬化、將 錢案化膜曝露於臭氧、及將光圖案化膜曝露於含氧電 漿。在某些其他實施例中’ UV光係選自$ —光及1 UV 光。 $ 6 201224191 根據此態樣之某些實施例,該方法可進一步包含以下 步驟·使沈積層之未曝光部分與顯影液接觸且自基板移 除未曝光部分。在特定變型中’顯影液為氫氧化四曱銨 水溶液。光圖案化膜被用作硬光罩。在一或更多實施例 中,含Si-H前驅物為三矽烷胺、雙(二乙胺基)矽烷、雙 (三丁胺基)矽烷、三(二曱胺基)矽烷及1,3,5-三矽戊烷中 之一或更多者。 本發明之第三態樣係關於一種用於在基板上形成圖案 化光阻劑的方法’該方法包含以下步驟:藉由將含有可 父聯成刀之3 Si-H刖驅物流入含有基板之化學氣相沈 積腔室中,以化學氣相沈積在基板上形成沈積層;使該 沈積層與電漿接觸,#中電漿包含氮氣;將該層之部分 選擇性地曝露於輻射以提供曝光部分及未曝光部分,藉 此形成圖案,其中曝光部分比未曝光部分有更多交聯; 以及使該沈積層之未曝光部分與顯影液接觸,其中顯影 液包 3 氫氧化四甲録(tetrametjiylammonium hydroxide ; TMAH) ’以及自基板移除該未曝光部分。 【實施方式】 本發明之實施例大體上提供輻射敏感光阻劑材料及製 造及圖案化此類光阻劑之方法。根據某些實施例,以前 驅物沈積一膜,該膜含有具反應性之可交聯成分 (cross-linkable moieties),該等可交聯成分在曝露於輻射 之後交聯,但沈積層中未曝露於輻射之部分仍保持未反 201224191 應未曝光部分仍然可溶於顯影液。一實施例係關於例 如藉由以PECVD沈積的方式製造超薄、高效能EUV敏 感光阻劑層之方法。然而,本發明不限於EUV,且可根 據或更多實施例使用其他輻射。在某些實施例中,輻 射曝光步驟在不存在氧氣時實施,尤其是那些在真空中 實施曝光步驟的實施例。在特定實㈣中,輕射可為能 將光阻劑圖案化之電子束(或e束)。在其他實施例中, 輻射步驟可於存在氧氣時實施,如此可將氧化物併入交 聯前驅物膜中。根據本文所述之一或更多實施例,必要 時可進一步氧化該膜。沈積材料可在有或沒有額外氧化 之情況下用作硬光罩,因此無須使用單獨的光阻劑。總 而έ之,此類輻射包括但不限於EUV及電子束(或e 束或EB)、uv、深uv、遠υν、χ射線,將被認為是輻 射方法之適當形式。 如本文使用’「遠UV」代表200 nm以下波長之輻射。 「深」代表300 nm以下波長之輻射。如本文使用, 「極UV」(或rEUV」)代表範圍約在$奈米(nm)至12〇 nm,且在特定實施例中,丨〇 nm至丨5 之範圍内的輻 射。「電子束」微影技術、「E束」微影技術或「EBLj 代表使用電子束之微影技術,該電子束自例如LaB6之光 源產生,使該電子束通過一透鏡總成且由致偏器等裝置 操作該電子束以使光阻膜曝光。「χ射線」微影技術代表 使用χ射線輻射使光阻劑曝光之技術。
如本文使用,「含SiH前驅物」代表含有複數個SiH 8 201224191 鍵之前驅物分子。含SiH前驅物包括矽烷及碳矽烷。術 語「矽炫*」代表含有矽及氫原子(包括;g夕-氫鍵)之化合 物。術語「碳矽烷」將與「有機矽烷」可互換地使用, 術語「碳矽烷」代表含有矽、氫及碳原子且含有至少一 個碳-ί夕共價鍵之化合物。 如本專利申請案使用,「化學氣相沈積」或「cvd」代 表一製程,在該製程中,一或更多反應物藉由在含有基 板之製程腔室中或在基板之表面上反應而在基板上連續 形成膜。化學氣相沈積與原子層沈積(at〇mic layer deposition; ALD)之不同在於,ALD涉及藉由將基板依序 曝露於各種前驅物來自我限制地形成堆疊之原子層或分 子層。 根據-或更多實施例,諸如EUV之輕射可用於直接圖 案化以形成小i 10 nm之特徵結構,所採用之光阻層厚 度亦小至10 nm’但通常在】0㈣至30 nm範圍内。具 有大約200埃(20nm)之厚度的光阻劑層備受關注,因 為此值接近諸如謂光之輕射在許多材料令之穿透深 度。目前可利用的有機光阻需要約為此值之兩倍(4〇〇 埃及更高)以提供合理的㈣阻抗,然、而,當用於EUV 應用時會使解析度降低。 广康本發明之各種實施例,諸如化學氣相沈積(CVD) 4 :乾」沈積技術提供獨-無二的膜組裝能力,包括: 對厚度之良好控制、使用溫和之沈積條件、建立顯影劑 可溶性(例# ’與水性顯影劑之反應性)、以及對刪 201224191 諸如電子束)之高敏感 之父聯,因此可使圖案 (及在真空中實施之其他輻射, 性(該高敏感性涉及降低可溶性 顯影)。 根據本發明之一或更多實施例沈積之膜為實質抗_ 的,且僅需要較薄㈣即可用作光阻劑。能使用較薄層 係有利的’因為如此可降低所印製特徵結構之深寬比且 防止如上述之圖案崩潰問題。另外,由於膽光為大部 分材料所大量吸收(如上述),故較薄光阻劑層有助於避 免自光阻劑頂部至底部之光強度顯著梯度。 可在存在或不存在氧氣的情況下將膜圖案化。在將膜 圖案化時存在氧氣的情況下,可使用任何能在存在氧氣 時實施之輻射。此外,可在*需氧氣的情況下將膜圖案 化。因此,可藉由使用纟真空環境中進行之輕射來執行 光圖案化,該輻射包括e束或EUV光。無論氧氣是否存 在,在曝露於此類輻射之後沈積膜會交聯,從而變得不 溶於鹼性水顯影液。然後可將膜之未曝光部分溶於 TMAH,TMAH為最常見與習知光阻劑一起使用的顯影 劑之一。儘管不希望被任何特定理論束缚,但吾人認為 於輻射下曝光之後會失去Η"進而使材料密實且降低材 料與顯影液之反應性。 因此,本發明之一個態樣係關於一種用於在基板上形 成輻射敏感光阻劑的方法。該方法包含以下步驟:藉由 將含有具有可交聯成分之取代基之前驅物流入含有基板 之化學氣相沈積設備中,以化學氣相沈積形成沈積層; 10 201224191 使該沈積層與電漿接觸;以 地曝露於輕射,以建立具有 案’其中該沈積層之曝光部 成分在基板上形成膜,該膜 難溶於顯影液。 及將錢積層之部分選擇性 曝光部分及未曝光部分之圖 分含有交聯成分,該等交聯 比該沈積層t未曝光部分更 根據此態樣,可在不存在氧氣時(諸如在真命中)執 行之輻射適用於製程。在真空中實施之輻射之適當形式 包括但不限於EUV及e束。在„實施例中,存在於前驅 物中之可交聯成分包括㈣鍵(例如,為含si_H前驅 物)。在其他實施例中,㈣可在存在氧氣時發生。此類 輻射包括但不限於深UV、遠,及χ射線輻射。 不考慮氧化是否發生,在某些實施例中,可隨後使沈 積層之未曝光部分與顯影液接觸以自基板移除該未曝光 部分。在特定實施例中,顯影液包含氫氧化四甲敍 (ΤΜΑΗ)。替代地’該方法可具有以下特徵:將沈積層之 部分選擇性地曝露於輕射,以建立具有曝光部分及未曝 光部分之圖案’其中沈積層之曝光部分含有交聯成分, 該等父聯成分在基板上形成膜’該膜比沈積層之未曝光 部分更可溶於顯影液。在&情況了,可使沈積層與顯影 液接觸以自基板移除該曝光部分。 根據某些實施例’前驅物可包含含siH前驅物。該前 驅物在右干實施例中可為矽烷或碳矽烷。在其他實施例 中,前驅物包含揮發性含矽前驅物。在另一些更特定實 施例中,前驅物係選自三矽烷胺、雙(二乙胺基)矽烷、 201224191 雙(三丁胺基)矽烷、三(二甲胺基)矽烷及i,3,5三矽戊 烷。在另一更特定實施例中,前驅物為三矽烷胺。 有許夕可此有用之反應性取代基組合,可被用於賦予 對輻射之敏感性,例如Si_H鍵。儘管具有此功能性之材 料能夠以適合於旋轉塗佈之形式製備’但這些配方可能 過於不穩定(例如,在微量空氣、水氣、以及室溫下不
穩定)或需要過高的EUV劑量才能實用。由於cVDEUV 光阻製程之實施例在真空腔室環境中執行,且e u v曝光 亦=在真空中執行,即使用高Si_H含量之材料亦可達成 可靠的膜塗佈、膜處理及膜曝光。類似地,e束輻射亦 在真空中實施。由於此類輻射在真空中實施’故不可能 涉及氧化。 百褐射發生在存在 刊机叮心佴况,,月丨厂j恥 乳化。膜可在曝露於輻射後另外氧化。因此,本發 之另一態樣係關於一種提供實質氧化之光圖案化模^ 法’該方法包含以下步驟:提供基板;使用含Si_H前 物將臈沈積至該基板上,盆中 T沈積方法包含電漿增強, ;氣相沈積;將沈積膜之至少-部分曝露於轄射以提彳 光:::膜;以及處理該光圖案化膜以提供實質氧化: 圖素化膜。該輻射可在存在Μ時實施。 用於提供實質氣化# 仆減7㈣之料氧切在尺寸g 化(即收縮、膨脹等)最小的情況 光圖案化料由—或 财式達成。 烤、㈣硬化、將光圖/步驟處理:在高溫下供 圖案化膜曝露於臭氧、及將光圖案 12 201224191 化膜曝露於含氧電漿。如前所述,無論氧化是否發生, 在某些實施例中’可隨後使沈積層之未曝光部分與顯影 液接觸以自基板移除該未曝光部分。在特定實施例中, 顯影液包含氫氧化四甲銨(TMah)。替代地,該方法可具 有以下特徵:將沈積層之部分選擇性地曝露於輻射以產 生具有曝光部分及未曝光部分之圖案,其中沈積層之曝 光部分含有交聯成分,該等交聯成分在基板上形成膜, 該膜比沈積層之未曝光部分更可溶於顯影液。在此情況 下,可使沈積層與顯影液接觸以自基板移除該曝露光部 分。 本發明之另一態樣係關於一種用於在基板上形成圖案 化光阻劑的方法,該方法包含以下步驟:藉由將含有可 交聯成分之含Si_H前驅物流入含有基板之化學氣相沈 積腔室中,並以化學氣相沈積在基板上沈積層;使該沈 積層與低功率電漿接觸,其中該電漿包含氮氣;以及將 該層之部分選擇性地曝露於選自EUV及e束之輕射以提 供曝光部分及未曝光部分,以形成圖案,其中曝光部分 比未曝光部分更交聯。在一實施例中,層之曝光部分在 基板上形成含交聯矽之膜。在某些實施例令,含Si_H前 驅物係選自三矽烷胺、雙(二乙胺基)矽烷、雙(三丁胺基) 矽烷、三(二甲胺基)矽烷及丨,3,5_三矽戊烷。在特定實施 財’含Si_H前驅物包含三㈣[在另1施例中, 沈積層之曝光部分為下層提供光罩。 在此態樣之一實施例中,選擇性地曝露部份沈積層以 13 201224191 提供沈積層夕土 θ +光部分,該等未曝光部分比該等曝光
口I刀更可溶於g苜与、、A .办液。替代地,在另一實施例中,選擇 性地曝露部份、十 ^ .g /L積層以提供沈積層之未曝光部分,該等 未曝光部分比^ 比該4曝光部分更難溶於顯影液。 在某些其他眘& 他貫施例中’在形成沈積層之前,在基板上 沈積下層。在更特 更特疋變型中,下層包含無定形碳。 在本發明之第二能接& 乐一心樣中,形成基板上之圖案化光阻 劑’該方法包合w _ 3以下步驟:將三矽烷胺前驅物流入含有 基板之腔室中, 在基板上形成沈積層;使該沈積層與 低力率電a接觸;以及在真空中將該沈積層之部分選擇 丨也曝路於輻射以形成圖案,其令該沈積層之曝光部分 比基板上之該沈積層之未曝光部分更交聯。在此態樣之 特定實施例中,H射係、選自Euv及e束。 在本發明之第四態樣中,提供一種用於在基板上形成 案化光阻劑的方法,該方法包含以下步驟:藉由將含 有可交聯成分之含Si-H前驅物流入含有基板之化學氣 才沈積脸至中,以化學氣相沈積在基板上沈積層;使該 沈積層與電聚接觸,其中電毁包含氮氣;將該層之部分 選擇性地曝露於輻射以提供曝光部分及未曝光部分,以 形成圖案,其中曝光部分比未曝光部分更交聯;使該沈 積層之未曝光部分與顯影液接觸,其中顯影液包含 TMAH,·以及自基板移除未曝露部分。 月j驅物可呈氣體、電漿、蒸汽狀態或適用於氣相沈積 製程之其他物質狀態。在淨化期間,通常將惰性氣體引 14 201224191 入處理腔室中以淨化反應區域或自反應區域移除任何殘 餘的反應性化合物或副產物。 當然,前述CVD製程僅為任何CVD製程反應物之實 例,在該荨CVD製程中,存在於前驅物中之反應性可交 聯成分在可溶於顯影液之沈積層中仍然保留有部分未反 應。可隨後將含有部分未反應可交聯成分之沈積層選擇 性曝露於極紫外光、e束輻射或在真空中實施之任何其 他輻射以產生圖案,以使得沈積層之曝光部分含有來自 第一前驅物及第二前驅物之交聯成分,以在基板上形成 金屬氧化物前驅物,該金屬氧化物前驅物比沈積層之未 曝光部分更難溶於顯影液。 如本文使用之「沈積氣體」或「製程氣體」代表一種 氣體、多種氣體、含有電漿之氣體、氣體及/或電漿之組 合。沈積氣體可含有用於氣相沈積製程之至少一個反應 眭化合物。反應性化合物在氣相沈積製程期間可呈氣 體、電漿或蒸汽狀態。同樣,製程可含有淨化氣體或載 氣且不含有反應性化合物。 如本文使用之「基板表面」代表形成於基板上之任何 基板或材料表面,在製造製程期間在該表面上執行膜處 理舉例而言,可執行處理之基板表面包括諸如矽、氧 拉伸石夕、絕緣層上石夕晶(silicon on insulator; SOI)、 厌推雜氧化碎、氮化⑦、掺雜梦、錯、钟化鎵、玻璃、 八寶石及任何其他材料,諸如金屬、金屬氤化物、金屬 °金及其他傳導材料,視不同應用而^。基板表面上之 15 201224191 阻障層、金屬或金屬氮化物包括鈦、氮化鈦、氮化鎢、 组及氮化组、鋁、銅或適用於元件製造之任何其他導體 或傳導或非傳導阻障層。基板可具有各種尺寸,諸如200 mm或3〇〇mm直徑晶圓,以及矩形或方形玻璃板。可用 於本發明之實施例的基板包括但不限於半導體晶圓諸 如結晶石夕(例如’ 3丨<100>或Si<iU>)、氧化矽、拉伸 夕石夕鍺播雜或無換雜多晶石夕、稽雜或無推雜石夕晶圓、 諸如GaAs、GaN、InP等等之ΠΙ_ν材料及圖案化或非 圖案化晶圓。可將基板曝露於預處理製程以研磨、蝕刻、 還原、氧化、羥基化、退火及/或烘烤基板表面。 月1J驅物通常呈蒸汽或氣體形式。前驅物可與載氣—起 輸送。載氣、淨化氣體 '沈積氣體或其他製程氣體可含 有氮氣、氫氣、氬氣、氖氣、氦氣或上述氣體之組合。 電漿亦可用於本文所述光阻劑材料之沈積 '形成、退火、 處理或其他程序。本文所述各種電漿,諸如氮氣電漿或 惰性氣體電漿,可自電漿反應物氣體引燃及/或含有電漿 反應物氣體。在一些實例中,電漿可包括氮氣及氫氣之 混合物或含有氮氣及氫氣之化合物氨氣(Νη3)。 在PECVD製程期間’電漿可自微波(MW)頻率產生器 或射頻(RF)產生器產生。根據某些實施例,在電漿步驟 期間’試劑通常在製程期間游離化,但此舉可能僅發生 在沈積腔室上游’以使得離子或其他高能或發光物質不 與正在沈積之膜直接接觸。此設置經常被稱為遠端電 毁。因此在這類通常最適用於形成仍保持對遠UV及 201224191
Eljv具反應性之膜的PECVD製程中,電聚自處理腔室 外部產生,諸如由遠端電漿產生器系統產生。在電聚並 非遠端電漿之情況下’可使用低功率電漿。低功率電敷 之實例為具有約100 W之RF值之低功率電漿。 在一或更多實施例中,可將用於製程之各種氣體以脈 衝方式送進入口,經由氣體通道自各種孔或出口進入中 央通道》在一或更多實施例中,可將沈積氣體以脈衝方 式送入喷淋頭且穿過噴淋頭。替代地,如上所述,氣體 可同時經由氣源喷嘴或喷頭流動,且可移動基板及/或氣 源頭使基板曝露於氣體。 本發明之實施例提供一種用於在諸如化學氣相沈積 (CVD)或電漿增強CVD (PE_CVD)之氣相沈積製裎期間 在基板上沈積或形成輻射敏感光阻劑的方法。處理腔室 經設置以在氣相沈積製程期間將基板曝露於—系列氣體 及/或電漿。處理腔室將包括獨立之前驅物供應器,以及 载氣、淨化氣體及諸如氬氣及氮氣之惰性氣體之供應 器,該等供應器與各個反應物及氣體之氣體入口流體連 通。可用適當的流量控制器控制各個入口,該流量控制 β /、中央處理器(CPU)連結,該流量控制器諸如質量流 置:制态或體積流量控制器’該中央處理器允許各反應 物流動至基板以執行如本文所述之CVD製程。中央處理 器二任何形式之電腦處理器,該等電腦處理器可:工 業叹疋下用以控制各種腔室及副處理器。CPU可轉接至 -己憶體且該記憶體可為立即可用記憶體中之—或更多 17 201224191 者諸4 P近機存取s己憶體(RAM)、唯讀記憶體(R0M)、 快閃記憶體、光碟、軟碟、硬碟或任何其他形式之本機 或遠端數位儲存器。支援電路可耦接至cpu以用習知方 式支援CPU。此等電路包括快取記憶體、電源、時脈電 路、輸入/輸出電路系統、子系統及類似物。 因此本發明之另一態樣係關於一種根據任一上述實 施例之用於在基板上沈積膜以執行製程的設備。在一實 施例中,該設備包含沈積腔室,該沈積腔室用於在基板 上形成膜之CVD或PECVD製程。該腔室包含用於支撐 基板之製程區域。該設備包括第一入口,該第一入口與 前驅物供應器流體連通。該設備進一步包括第二入口, 該第二入口與淨化氣體流體連通。該設備可進一步包括 真空埠,該真空埠用於自沈積腔室移除氣體。該設備可 進一步包括第四入口 ’該第四入口用於向沈積腔室供應 諸如惰性氣體之一或更多辅助氣體。沈積製程可進一步 包括以輻射性及/或電阻熱能來加熱基板的方法。 在一些實施例中,可在進行本案所述方法期間用以沈 積或形成光阻劑材料之電漿系統及處理腔室或系統可在 PRODUCER®系統、CENTURA®系統或 ENDURA®系統上 執行’該等系統皆可購自位於Santa Clara, Calif之 Applied Materials, Inc.。 CVD製程使得處理腔室或沈積腔室之壓力可調整在 約0.01托爾(Torr)至約100托爾範圍内,例如約托 爾至約10托爾,且更具體而言’約〇.5托爾至約5托爾。 201224191 同樣’根據一或更多實施例,可將腔室或基板加熱至小 於約250°C之溫度,例如,約i5〇〇c或更低,諸如在約 50 C至約1 〇〇°c範圍内,且在其他實施例中小於約3〇〇 C、小於約200°C或小於約1〇〇。〇。 在希望控制輻射之吸收度之情況下,可使用額外反應 物。舉例而言,控制對EUV波長之吸收度可為有利的。 此舉可藉由使用錫或鍺來完成。一般而言,使用更高原 子序數元素將會有更高吸收度。 本發明之實施例之其他應用包括其他微影技術應用, 以及在全像之產生期間。 現將描述化學氣相沈積製程循環之示例性實施例。 前驅物 前驅物之非限制性實例包括矽之化合物。在一些實施 例中,前驅物將為含SiH前驅物。適用於後續輻射(諸 如,EUV交聯反應)之矽基前驅物之一些特定^例包括 但不限於三矽烷胺、雙(二乙胺基)矽烷、雙(三丁胺基) 矽烷、三(二甲胺基)矽烷及13 5_三矽戊烷。 由PECVD形成之沈積膜可(例如,經由光軍(未圖 示))曝露於諸如EUV光之圖案化輕射下以促進交聯, 以在曝露於請光之區域中形成密實的類坡填材料,該 材料在水性顯影劑或非水性顯影劑(諸如,有機溶劑)/ 中之溶解度顯著降低,使用諸如電漿蝕刻之 s. . , 工、顯影方 法亦在本發明之範疇内。 應理解到,可使用液體顯影劑或基於電 乾」式 201224191 法進行顯如以提供負顯影圖案或正顯影圖案。此類光微 影技術之優點在於沈積'顯影、圖案化及蝕刻步驟皆可 在氣相中執行,亦即,使用諸如乾式《之乾式處理技 術’以降低圖案崩潰之可能性並藉此改良解析度。 具有EUV圖案化CVD光阻層之基板可置放於經稀釋 之水性蝕刻劑中’以移除未曝光區域,該水性蝕刻劑包 括任何適當的光阻劑顯影劑,諸如稀釋氫氧化四曱銨 (TMAH)顯影劑、水性三乙醇胺、稀釋氟化物及上述顯影 劑之混合物。 現將描述根據各種實施例之一般及特定製程順序之其 他實例。 基板可為上述任何類型之基板。在一或更多實施例 中,可先將特定下層塗覆於基板。在特定實施例中,下 層可為美國專利第6,573,〇3〇號;第6,841,341號;第 7,223,526號及第7,335,462號中所述類型之碳基聚合層 或無定形碳基層。此類層可由各種技術沈積,例如,以 電桌誘發聚合來沉積前趨物,該沉積物諸如乙烯、丙烯、 乙炔等不飽和單體前驅物或任何其他適用於沈積 Applied Materials先進圖案化膜(ApF® )層製程之揮發 性烴前驅物,該等ApF®層可在可購自Applied Materials, he.之Producer®系統上之apf®腔室中產生。 前驅物之輸送 基板表面可曝露於前驅物氣體或蒸汽,該前驅物氣體 或蒸/飞藉由傳遞載氣(例如,氮氣或氬氣)經過前驅物 20 201224191 之安親形成,該前驅物可為液態。可加熱該安瓶。然而, 若前驅物係足夠揮發性的’則不需要載氣,且前驅物可 自:流動。前驅物氣體能夠以約1〇 sccm至約2,_ 之範圍内的任何適當流速輸送,例如,約5q 至約 】,卿_,且在特定實施財,約㈣吻至約谓 seem,例如,約 2〇〇 sccm。# s _ 電漿長達約G I秒Μ⑶;; 於前驅物氣體及 私至約120秒的時間週期,例如,約5 秒至約6〇秒’且在特定實财,長達大約㈣、。利用 PECVD,電漿可包含 乱氣或其他氣體或上述氣體之組合。 曝露於輻射 开丄?圖示純1〇°’在基板1〇°上具有藉由㈣ 及廄柯'積層UG。沈積層UG包含存在於前驅物中之 反應性可父聯成分,球楚 μ專可交聯成分在可溶於顯影液之 沈積層110中仍然部分未反應。 2後將含有可交聯成分之沈積層11Git擇性地曝露於 射可在不存在氧氣時(諸如在真空中)實施。 =:實例包括極紫外光或…_可在存在 二此舉將使—些氧氣併入膜中。無論如何, 成八:日、將會產生圖案’使含有來自前驅物之交聯 膜比未曝露部分更難:二:基板上形成切膜,該切 積膜之部* 12〇已曝==影液°/2 1Β圖所示,沈 ^ F ^ ^ ” ;輻射以形成交聯材料之區域, «域較難溶於顯影液。沈積層n。之剩餘部分仍含有 21 201224191 存在於前驅物中之反應性可交聯成分,該等可交聯成分 在沈積層中仍然保持部分未反應且因此可溶於顯影液, 諸如TMAH。因此,沈積層之曝露部分12〇含有來自前 驅物之交聯成分,因此比沈積層11〇之未曝露部分更難 溶於顯影液。然後將基板曝露於適當的顯影液以清洗掉 沈積層之未曝露部分11〇以提供如第lc圖所示之圖案 化層。 CVD光阻劑可經沈積具有小於約5〇〇埃之厚度,例 如,在約300埃與約1〇〇埃之間,且在特定實例中約2〇〇 埃然而材料之最終厚度將最終取決於所要的光阻劑 材料之應用或使用。 在具有下層之基板上形成光阻劑 如上論述,基板可包括-下層。現參看第2A圖至第 2D圖,圖中顯示具有下層21〇之基板2〇〇。在特定實施 例中,下層2U)可為無定形碳下層。在其他實施例中, 下層可為旋轉塗佈之有機下層。無定形碳下層及用於形 成無定形碳下層的方法在共同讓渡之美國專利第 6,573,030 號;第 6841,341 號.笙 就,第7,223,526號及第 7,335,462喊中描述。益定揪石隹涵卢与# …、疋开v奴層在氧基異向性電漿蝕刻 製程中相對於二氧化矽呈右〗 / 有100.1至1〇:1的蝕刻選擇 性。無定形碳下層210可藉由夂插士丄 楮宙各種方法沈積,諸如化學 氣相沈積、電漿增強化學氣相# # ^ 予孔相沈積、雨密度電漿化學氣 相沈積或上述方法之組合。盔 …疋形妷下層210可包括碳 及氫或碳、氫、氮及氧,以芬甘 乳以及其他摻雜劑原子,視沉積 22 201224191 時所用之特定前趨物而定。 在—實施例中,無定形碳下層210由烴類化合物及惰 陡氣體(諸如氬氣、氦氣、氙氣、氪氣、氖氣或上述氣 體之、、且合)之氣體混合物形成。在特定實施例中,碳源 為氣心烴,且通常為不飽和烴(在碳原子之間含有雙鍵 或三鍵,因而易於形成聚合之材料)。在一實施例中,烴 類化合物具有通式C办,其中X之範圍為2至4,而y 之範圍為2至10。舉例而言,可用丙烯(C3H6)、丙炔 (3Ϊί4)丙烷(c3H8)、丁烷(C4H10)、丁烯(C4h8)、丁二烯 (C4H6)或乙炔(c#2)以及上述物質的組合作為烴類化合 物。類似地’必要時可添加各種氣體(諸如氫氣、氮氣、 :氣或上述氣體之組合及其他氣體)纟氣體混合物。 :、He & N2可用於控制無定形碳層之密度及沈積速 :。氫氣或“之添加可用於控制無定形碳層之氫比 率’如下論述。 -,而S,以下沈積製程參數可用於形成無定形碳 ^參數之範圍如下:晶圓溫度自約⑽。c至約期 C'腔室壓力自約1 ,,爾至、.、、〕20托爾、烴類氣體流速自 _ 7至約500 sccm、RF功率在約i w/in2與約刚 之間(諸如約3 w/in2與約2〇 =::3°〇密耳至約_密耳之間。™二 數提供约00埃至約1M0°埃之間的厚度。上述製程參 數&供,力1 〇〇埃/分鐘至 無定形碳層沈積球主、,刀鐘範圍内之典型之 層尤積速率,且上述製程參數可在沈積腔室中 23 201224191 之200 mm或3 00 mm基板上實施,該沈積腔室可購自 Santa Clara, Calif 之 Applied Materials Inc.。可使用之沈 積腔至之實例為可講自Applied Materials, Inc.之 Producer®系統上之APF®腔室。 亦可使用其他沈積腔室,且以上列出之參數可根據用 於形成無定形碳層之特定沈積腔室而變化。舉例而言, 其他沈積腔室可能具有較大或較小容積,因此需要較大 或較小之氣體流速(相對於靖自Applied Materials, Inc 之沈積腔室之氣體流速)。 原沈積無定形碳下層210可具有可調整之碳:氫比 率,該比率自約10%氫至約90%氫。需要控制無定形碳 層之氫比率以調整無定形碳層之光學性質以及蝕刻選擇 性。具體而言,隨著氫比率下降,原沈積層之光學性質, 諸如吸收係數(k)增大。類似地,隨著氫比率下降,取決 於所使用之蝕刻化學性,無定形碳下層21〇之蝕刻阻抗 可能增大。 因此,轉向第2B圖,具有APF下層21〇之基板2〇〇 可用於CVD製程’以塗覆無機材料輻射敏感薄層22〇, 該輻射敏感層220在圖案化之後可充當光罩,該光罩用 於異向性電漿蝕刻穿透APF下層21〇層至基板2〇〇。形 成輻射敏感有機薄層之CVD方法可涉及上述任何CVD 製程。在此特定情況下,可特定選擇基板溫度及化學性, 以避免促進不溶氧化物前驅物材料之形成’以在未曝露 於e束或EUV輻射前保持可溶,藉此提供有效之圖案化 24 201224191 =二:實施例中,可形成氧化物,尤其在轄射在 “時實施之情況下。圖案顯影係利用顯影液完 圾* ’例如,類似用&姐‘, 水阻材料之_液之適當稀釋 ‘、、衫液。由選擇性e束或Euv曝光(例如,自由基 姐化還原製程)所誘發之不可逆程序會促使不溶交聯 :在曝光區域中形成,該不溶交聯材料之成分可提供 、效光罩,該光罩用於圖案之氧反應離子㈣轉移(穿 過無定形碳下層)。 更-般而言,根據本文所述之一或更多實施例之cvd 製程用於形成層22〇(在帛2B圖中圖示),該層22〇可 藉由韓射圖案化°膜之沈積使得存在於前驅物中之反應 性可交聯成分在沈積層中仍然保持部分未反應,該沈積 層可溶於顯影液。 然後將含有部分未反應可交聯成分之沈積層22〇選擇 性地曝露於輻射,以產生圖案,以致沈積層之曝光部分 225 (在第2C圖中圖示)含有來自前驅物之交聯成分, 該交聯成分比沈積層220之未曝露部分更難溶於顯影 液。然後可將載有沈積層220之交聯層部分225及可溶 部分的圖案化基板曝露於顯影液,以移除沈積層220之 可溶部分’從而產生第2D圖所示之結構。 實例 〔實例1〕利用三矽烷胺以PECVD方式來沈積膜,且 在真空中曝露於輻射 使用PECVD並以三矽烷胺作為前驅物來沈積膜。沈 25 201224191 積條件包括4托爾之腔室壓力及! 〇〇。〇之溫度。電漿具 有100 W之RF功率。TSA前驅物以2〇〇 sccm之速率流 動’而氮氣及氫氣各自以1〇〇〇 seem之速率流動。 然後將沈積膜曝露於25 gC/cm22 e束。顯影步驟包 括在0.26標準TMAH水溶液中長達60秒之混拌顯影。 結果顯示,膜對在真空中實施之e束曝光敏感。經曝 光之膜亦能夠使用TMAH顯影。第3A圖及第3B圖為 顯影前(第3A圖)及顯影後(第3B圖)所拍攝之曝露 於e束之膜的光學顯微鏡影像示意圖。如第3戍圖所示, 在曝露於e束之後,與膜之未曝露於e束之部分3〇〇相 比較,在膜之曝光部分3 1 0中觀察到顏色變化。第3B 圖表示在用TMAH溶液顯影之後的相同區域。曝露於亡 束之部分310保持不變,而未曝露於e束之部分3〇〇被 移除而僅留下下層基板。 第4圖為膜之FTIR光譜鑑定。在約21〇〇 cm-i處之尖 峰對應於Si-H鍵。在約800 cm·1處之尖峰對應於膜之其 餘成分,包括Si-N鍵。 〔實例2〕TSA之PECVD沈積’且在存在氧氣時曝露 於輻射 使用電毁增強化學氣相沈積來沈積膜。膜堆疊包含位 於4000埃之APF上之400埃之光阻劑。膜沈積在斗托 爾之腔室壓力及loot下實施。前驅物為TSA,且以2〇〇 seem流動。載體電漿為含有氬氣之電漿,該電漿以2〇〇〇 seem流動且具有100WiRF值。平板間隔為3〇〇密耳。 26 201224191 然後將沈積膜曝露於25mJ2 193nm光。顯影步驟包括 在0.26私準TMAH水溶液令長達60秒之混拌顯影。顯 影之後’使用氧基電漿蝕刻膜。 沈積膜顯示了使用習知光阻劑處理裝備及化學試劑之 圖案化。膜亦顯示了作為硬光罩之效用,該硬光罩用於 將特徵結構蝕刻轉移至基板。 第5圖為沈積膜之FTIR光譜鑑定。在約21〇〇邮」處 之尖峰對應於Si-H鍵。在約刚em-,處之尖蜂對應於膜 之其餘成分,包括Si-N鍵。 根據一或更多實施例,能夠解決關於諸如Euv微影技 術之輕射的諸多主要挑戰。㈣本發明之實施例不應被 理論束缚,但應理解,諸如EUV光子之輻射僅為約2〇〇 埃之光阻材料所吸收’以使得通常較該厚度厚得多的習 知有機配方會呈現解析度損失,該解析度損失歸因於擴 散至光阻層中更深處之二次電子之產生及交互作用。根 據-或更多實施例,薄的CVD光阻層之圖案化能夠在該 光阻層之整個厚度進行,且該光阻層之則阻抗使得圖 案可被轉移至下層膜中。 身死例」、「某些實施例」 頁穿本說明書參看 或更多實施例」或「實施例」意謂與該實施例結合描述 之特定特徵結構、結構、材料或特性包括在本發明之至 少-個實施例中。因此,諸如「在—或更多實施 「在某些實施例中」、「在-實施例中」或「在實施例中 之用語在貫穿本說明書之不同地方中之出現未必代“ 27 201224191 發明之相同實施例。此外,特定特徵結構、結構、材料 或特性可在一或更多實施例中以任何適當方式組合。上 述方法之描述次序不應被認為限制,且方法可不按順序 使用所述操作或可在刪減或增添之情況下使用所述 作。 將理解’上述描述意欲為說明性的,而非限制性的。 許多其他實施例將在查閱上述描述之後對該領域一般技 術者顯而易見。因此,本發明之範疇應參看隨附申請專 利範圍來決定,連同此類申請專利範圍所授權之等同物 之完全範_。 【圖式簡單說明】 因此,能夠詳細理解本發明之上述特徵結構的方式(即 以上簡要概述之本發明之更特定描述),可參閱實施例而 得,其中一些實施例在隨附圖式中圖示。然而,應注意, 隨附圖式圖示僅本發明之典型實施例’且因此不被認為 限制本發明之範疇,因為本發明可允許其他同等有效之 實施例。 第1A圖至第ic圖圖示用於在基板上沈積光阻劑層及 形成圖案之沈積製程之實施例。 第2A圖至第2D圖圖示用於在基板上沈積光阻劑層及 形成圖案之沈積製程之實施例,其中該基板上具有下層; 第3A圖至第38圖為在顯影之前及顯影之後曝露於電 子束之光阻劑膜之光學顯微鏡影像的示意圖,該光阻劑 28 201224191 膜根據本發明之實施例沈積; 第4圖為根據本發明之實施例沈積之膜的傅立葉轉換 (Fourier transform)红夕卜光譜;以及 第5圖為根據本發明之實施例沈積之膜的傅立葉轉 紅外光譜。 ' 、 【主要元件符號說明】 100 120 210 225 310 基板 曝光部分 下層 110 沈積層 200 基板 曝光部分 曝光部分 220 沈積層 300 未曝光部分 320 下層基板 29

Claims (1)

  1. 201224191 七、申請專利範圍: 1. 一種用於在一基板上形成一輻射敏感光阻劑的方法,該 方法包含以下步驟: 藉由將一石夕前驅物流入一化學氣相沈積設備中,以化學氣 相沈積形成一沈積層,該石夕前驅物含有取代基,該等取 代基具有可交聯成分,該化學氣相沈積設備含有該基板; 使該沈積層與一電漿接觸;以及 將該沈積層之部分選擇性地曝露於輻射以產生一圖案,該 圖案具有曝光部分及未曝光部分,其中該沈積層之該等 曝光部分含有交聯成分,該等交聯成分在該基板上形成 一膜,該膜比該沈積層之未曝光部分更難溶於顯影液。 2·如請求項1所述之方法’其中曝露於輻射之步驟在—真 空中實施。 3. 如請求項2所述之方法’其中該輻射係選自Euv及 束。 e 4. 如請求項3所述之方法,該方法進—步包令 ^ 3 U下步驟: 'Λ||_入一第二前驅物,该第一則驅物包含緒或錫 該輻射為EUV。 “中 5·如請求項i所述之方法,其中曝露於輻射之 ’鄉在存在 30 201224191 氧氣時實施。 6. 如請求項丨所述之方法,其中該輻射係選自深uv '遠 UV及X射線。 7. 如請求項6所述之方法,其中經圖案化之該沈澱層被用 作一硬光罩。 8. 如明求項1所述之方法’该方法進一步包含以下步驟· 使該沈積層之該等未曝光部分與顯影液接觸,且自該其 板移除該等未曝光部分。 9. 如請求項8所述之方法,其中該顯影液包含—氫氧化四 甲鍵水溶液。 10. 如請求項i所述之方法,其中該矽前驅物包含—含 前驅物。 11. 如請求$ 10所述之方法中該含Si_H前驅物係選自 三矽烷胺、雙(二乙胺基)矽烷、雙(三丁胺基)矽烷、三(二 曱胺基)石夕烧及1,3,5 -三石夕戊烧。 12. 如請求項!所述之方法,其中該電衆為一遠端電毁。 31 201224191 π · —種提供貫質氧化之—光圖案化膜的方法,該方法包含 以下步驟: 提供一基板; 使用一含Si-H前驅物將—膜沈積至該基板上,其中沈積步 驟包含電漿增強化學氣相沈積; 將該沈積膜之至少部分曝露於uv光以提供一光圖案化膜; 處理該光圖案化膜以提供實質氧化之一光圖案化膜。 14.如明求項13所述之方法,其中處理該光圖案化膜之步
    於一含氡電漿。 其中該UV光係選自深uv光 15.如請求項ι3所述之方法, 及遠UV光。 步包含以下步驟: 良接觸,且自該基 16.如请求項i3所述之方法,該方法進—步自 使該沈積層之該等未曝光部分與顯影液接 板移除該等未曝光部分。 1 7.如請求項16所述之方法, 甲銨水溶液。 其中該顯影液為一氫氧化四 18.如請求項a所述之方法, 其中該光圖案化膜被用作一 32 201224191 硬光罩。 19. 如請求項13所述之方法,其中該含Si_H前驅物為三矽 烷胺、雙(二乙胺基)矽烷、雙(三丁胺基)矽烷、三(二曱 胺基)矽烷及1,3,5-三矽戊烧中之一或更多者。 20. —種用於在一基板上形成一圖案化光阻劑的方法,該方 法包含以下步驟: 藉由將一含Si-H前驅物流入一化學氣相沈積腔室中,以化 學氣相沈積在一基板上沈積一層,該含Si-H前驅物含有 可交聯成分’該化學氣相沈積腔室含有該基板; 使經沈積之該層與一電漿接觸,其中該電漿包含氮氣; 將該層之部分選擇性地曝露於輻射以提供曝光部分及未曝 光部分,以形成一圖案,其中曝光部分比未曝光部分 交聯; 使該沈積層之該等未曝光部分與顯影液接觸,i自該基板 移除°亥等未曝光部分’其中該顯影液包含TMAH。 33
TW100136310A 2010-10-06 2011-10-06 Radiation patternable CVD film TW201224191A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US39039610P 2010-10-06 2010-10-06

Publications (1)

Publication Number Publication Date
TW201224191A true TW201224191A (en) 2012-06-16

Family

ID=45925410

Family Applications (2)

Application Number Title Priority Date Filing Date
TW100136064A TW201224190A (en) 2010-10-06 2011-10-05 Atomic layer deposition of photoresist materials and hard mask precursors
TW100136310A TW201224191A (en) 2010-10-06 2011-10-06 Radiation patternable CVD film

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW100136064A TW201224190A (en) 2010-10-06 2011-10-05 Atomic layer deposition of photoresist materials and hard mask precursors

Country Status (5)

Country Link
US (2) US8465903B2 (zh)
KR (1) KR20140009169A (zh)
CN (1) CN103189962A (zh)
TW (2) TW201224190A (zh)
WO (2) WO2012048108A2 (zh)

Families Citing this family (369)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8987494B2 (en) 2012-04-11 2015-03-24 Gelest Technologies, Inc. Low molecular weight carbosilanes, precursors thereof, and methods of preparation
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9171960B2 (en) 2013-01-25 2015-10-27 Qualcomm Mems Technologies, Inc. Metal oxide layer composition control by atomic layer deposition for thin film transistor
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI615497B (zh) * 2013-02-28 2018-02-21 應用材料股份有限公司 金屬胺化物沉積前驅物及具有惰性安瓿襯裡之該前驅物的穩定化
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
JP2015115599A (ja) * 2013-12-13 2015-06-22 株式会社東芝 パターン形成方法
US9305839B2 (en) * 2013-12-19 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Curing photo resist for improving etching selectivity
US9778561B2 (en) * 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US9934969B2 (en) * 2014-01-31 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Charged-particle-beam patterning without resist
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR20160039739A (ko) 2014-10-01 2016-04-12 삼성전자주식회사 하드 마스크막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9859138B2 (en) * 2014-10-20 2018-01-02 Lam Research Corporation Integrated substrate defect detection using precision coating
EP3889159B1 (en) 2014-10-23 2024-06-05 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
FI126970B (en) * 2014-12-22 2017-08-31 Picosun Oy Atomic layer cultivation in which the first and second species of source materials are present simultaneously
KR102185458B1 (ko) * 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
EP4089482A1 (en) * 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
WO2017095433A1 (en) * 2015-12-04 2017-06-08 Intel Corporation Liquid precursor based dielectrics with control of carbon, oxygen and silicon composition
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10052622B2 (en) * 2016-02-12 2018-08-21 GM Global Technology Operations LLC Method of forming a self-cleaning film system
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11430656B2 (en) * 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9929012B1 (en) 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
CN108227412A (zh) * 2016-12-15 2018-06-29 Imec 非营利协会 光刻掩模层
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
CN108695141A (zh) * 2017-04-07 2018-10-23 新南威尔士大学创新公司 一种图形化材料的方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
DE102018110837A1 (de) 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Prozesse zur Bildung von Merkmalen mit einem niedrigen K-Wert und dadurch gebildete Aufbauten
US10304677B2 (en) * 2017-09-29 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k feature formation processes and structures formed thereby
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR20200083642A (ko) * 2017-11-29 2020-07-08 도쿄엘렉트론가부시키가이샤 기판의 후면 마찰 감소
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
JP7024744B2 (ja) * 2018-02-22 2022-02-24 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
TWI764002B (zh) * 2018-06-15 2022-05-11 美商應用材料股份有限公司 形成非晶碳膜及蝕刻基板之方法
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11187983B2 (en) 2018-06-26 2021-11-30 International Business Machines Corporation EUV patterning of monolayers for selective atomic layer deposition
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10662526B2 (en) * 2018-10-02 2020-05-26 Lam Research Corporation Method for selective deposition using a base-catalyzed inhibitor
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP7164777B2 (ja) * 2018-11-13 2022-11-02 東京エレクトロン株式会社 ウェハ上の欠陥、金属粒子汚染及び膜成長を抑制するシステム及び方法
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) * 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US20220122848A1 (en) * 2019-02-14 2022-04-21 Lam Research Corporation Selective etch using a sacrificial mask
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
JP7359680B2 (ja) 2019-07-22 2023-10-11 東京エレクトロン株式会社 熱処理装置及び処理方法
CN112289701A (zh) * 2019-07-22 2021-01-29 东京毅力科创株式会社 热处理装置和热处理方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
JP2022542170A (ja) * 2019-08-01 2022-09-29 アプライド マテリアルズ インコーポレイテッド パターニングされた金属酸化物フォトレジストの線量減少
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
US11784046B2 (en) * 2020-03-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
DE102021101492A1 (de) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Herstellungsverfahren einer halbleitervorrichtung
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
US11705332B2 (en) * 2020-03-30 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11942322B2 (en) * 2020-05-22 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and pattern formation method
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
DE102021101893A1 (de) * 2020-06-18 2021-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Verhindern eines ausgasens einer fotolackschicht
WO2021262371A1 (en) * 2020-06-22 2021-12-30 Lam Research Corporation Surface modification for metal-containing photoresist deposition
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2023535349A (ja) * 2020-07-17 2023-08-17 ラム リサーチ コーポレーション 感光性ハイブリッド膜の形成方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
KR20220030171A (ko) * 2020-09-02 2022-03-10 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 패터닝된 특징부를 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220342312A1 (en) * 2021-04-26 2022-10-27 Nanya Technology Corporation Method for defining multiple resist patterns
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5885751A (en) 1996-11-08 1999-03-23 Applied Materials, Inc. Method and apparatus for depositing deep UV photoresist films
EP0942330A1 (en) 1998-03-11 1999-09-15 Applied Materials, Inc. Process for depositing and developing a plasma polymerized organosilicon photoresist film
US6072006A (en) * 1998-11-06 2000-06-06 Arch Specialty Chemicals, Inc. Preparation of partially cross-linked polymers and their use in pattern formation
KR100667731B1 (ko) 1999-06-10 2007-01-11 삼성전자주식회사 일체형 디스크 기록/재생장치
KR100557599B1 (ko) * 1999-08-31 2006-03-10 주식회사 하이닉스반도체 광조사에 의해 라디칼을 발생하는 그룹을 포함하는 포토레지스트단량체, 그의 공중합체 및 이를 이용한 포토레지스트 조성물
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6653045B2 (en) * 2001-02-16 2003-11-25 International Business Machines Corporation Radiation sensitive silicon-containing negative resists and use thereof
US6989227B2 (en) * 2002-06-07 2006-01-24 Applied Materials Inc. E-beam curable resist and process for e-beam curing the resist
US6835671B2 (en) * 2002-08-16 2004-12-28 Freescale Semiconductor, Inc. Method of making an integrated circuit using an EUV mask formed by atomic layer deposition
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
US8153348B2 (en) * 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
US7670894B2 (en) * 2008-04-30 2010-03-02 Intel Corporation Selective high-k dielectric film deposition for semiconductor device
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors

Also Published As

Publication number Publication date
US20120088369A1 (en) 2012-04-12
WO2012048094A2 (en) 2012-04-12
WO2012048108A3 (en) 2012-07-05
US8536068B2 (en) 2013-09-17
CN103189962A (zh) 2013-07-03
US8465903B2 (en) 2013-06-18
KR20140009169A (ko) 2014-01-22
WO2012048108A2 (en) 2012-04-12
TW201224190A (en) 2012-06-16
US20120088193A1 (en) 2012-04-12
WO2012048094A3 (en) 2012-07-26

Similar Documents

Publication Publication Date Title
TW201224191A (en) Radiation patternable CVD film
TWI332034B (en) Method for depositing an amorphous carbon films in a cvd chamber
KR101003475B1 (ko) 포토레지스트 접착 및 재생 일관성을 개선하기 위한 수소처리
US6573030B1 (en) Method for depositing an amorphous carbon layer
TW563206B (en) A method of photoresist removal in the presence of a dielectric layer having a low k-value
JP6280030B2 (ja) 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス
CN105190840B (zh) 用于多图案化应用的光调谐硬掩模
JP5349066B2 (ja) Cdバイアスの減少したシリコン含有反射防止コーティング層のエッチング方法
KR100849573B1 (ko) 오가노실리케이트층의 증착방법
JP6964979B2 (ja) 蒸着で堆積されたフォトレジスト、及びそのための製造及びリソグラフィシステム
TWI781368B (zh) 處理基板的方法
TW201145392A (en) Silicon dioxide layer deposited with BDEAS
KR20020010073A (ko) 유전체 막을 증착시키는 방법
TW200939346A (en) Elimination of photoresis material collapse and poisoning in 45-nm feature size using dry or immersion lithography
TW200928618A (en) Plasma surface treatment to prevent pattern collapse in immersion lithography
KR20210018548A (ko) Euv 레지스트 및 하드 마스크 선택도를 개선하기 위한 패터닝 방식
US6573196B1 (en) Method of depositing organosilicate layers
US20100043821A1 (en) method of photoresist removal in the presence of a low-k dielectric layer
JP2008078649A (ja) 部分エッチングによる反射防止コーティングのパターニング法
TWI262547B (en) System and method of varying critical dimension (CD) of a resist pattern
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning
US20220382159A1 (en) Metalorganic films for extreme ultraviolet patterning
Lin et al. Does line-edge roughness matter?: FEOL and BEOL perspectives
TW202349460A (zh) 利用擴散阻障層的增強euv下層效應
KR20210157304A (ko) 포토레지스트층 탈기 방지