TW201224190A - Atomic layer deposition of photoresist materials and hard mask precursors - Google Patents
Atomic layer deposition of photoresist materials and hard mask precursors Download PDFInfo
- Publication number
- TW201224190A TW201224190A TW100136064A TW100136064A TW201224190A TW 201224190 A TW201224190 A TW 201224190A TW 100136064 A TW100136064 A TW 100136064A TW 100136064 A TW100136064 A TW 100136064A TW 201224190 A TW201224190 A TW 201224190A
- Authority
- TW
- Taiwan
- Prior art keywords
- precursor
- substrate
- layer
- reactive
- group
- Prior art date
Links
- 238000000231 atomic layer deposition Methods 0.000 title claims abstract description 59
- 229920002120 photoresistant polymer Polymers 0.000 title claims abstract description 42
- 239000002243 precursor Substances 0.000 title claims description 195
- 239000000463 material Substances 0.000 title description 46
- 238000000034 method Methods 0.000 claims abstract description 88
- 230000008569 process Effects 0.000 claims abstract description 48
- 238000000151 deposition Methods 0.000 claims abstract description 46
- 230000005855 radiation Effects 0.000 claims abstract description 45
- 229910003481 amorphous carbon Inorganic materials 0.000 claims abstract description 32
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims abstract description 20
- 229910052799 carbon Inorganic materials 0.000 claims abstract description 20
- 239000010410 layer Substances 0.000 claims description 139
- 239000000758 substrate Substances 0.000 claims description 128
- 239000007789 gas Substances 0.000 claims description 93
- 239000000376 reactant Substances 0.000 claims description 91
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 65
- 239000000178 monomer Substances 0.000 claims description 56
- 239000010408 film Substances 0.000 claims description 44
- 229910052751 metal Inorganic materials 0.000 claims description 41
- 239000002184 metal Substances 0.000 claims description 41
- 125000001424 substituent group Chemical group 0.000 claims description 40
- 230000008021 deposition Effects 0.000 claims description 36
- 238000012545 processing Methods 0.000 claims description 34
- 238000006243 chemical reaction Methods 0.000 claims description 32
- 229910052757 nitrogen Inorganic materials 0.000 claims description 32
- 229910052739 hydrogen Inorganic materials 0.000 claims description 29
- 239000001257 hydrogen Substances 0.000 claims description 27
- 229910052760 oxygen Inorganic materials 0.000 claims description 27
- 239000001301 oxygen Substances 0.000 claims description 27
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 26
- 238000010894 electron beam technology Methods 0.000 claims description 26
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 21
- 229910052707 ruthenium Inorganic materials 0.000 claims description 17
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims description 16
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 16
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 claims description 10
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 claims description 10
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 9
- 238000004891 communication Methods 0.000 claims description 8
- 229910052734 helium Inorganic materials 0.000 claims description 7
- 239000001307 helium Substances 0.000 claims description 7
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 7
- 150000002431 hydrogen Chemical class 0.000 claims description 7
- 238000001020 plasma etching Methods 0.000 claims description 7
- 239000002344 surface layer Substances 0.000 claims description 7
- -1 Η2〇2 Chemical compound 0.000 claims description 7
- 125000004805 propylene group Chemical group [H]C([H])([H])C([H])([*:1])C([H])([H])[*:2] 0.000 claims description 6
- 238000012546 transfer Methods 0.000 claims description 6
- 238000005229 chemical vapour deposition Methods 0.000 claims description 5
- 239000012530 fluid Substances 0.000 claims description 5
- 229910052732 germanium Inorganic materials 0.000 claims description 5
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 5
- QQONPFPTGQHPMA-UHFFFAOYSA-N propylene Natural products CC=C QQONPFPTGQHPMA-UHFFFAOYSA-N 0.000 claims description 5
- 239000010409 thin film Substances 0.000 claims description 5
- 229920000642 polymer Polymers 0.000 claims description 4
- 239000005977 Ethylene Substances 0.000 claims description 3
- 239000002253 acid Substances 0.000 claims description 3
- 238000004132 cross linking Methods 0.000 claims description 3
- 239000004575 stone Substances 0.000 claims description 3
- 125000000391 vinyl group Chemical group [H]C([*])=C([H])[H] 0.000 claims description 3
- 229920002554 vinyl polymer Polymers 0.000 claims description 3
- HFVIYAZBVIGNAN-UHFFFAOYSA-N 1,1-dibromodecane Chemical compound CCCCCCCCCC(Br)Br HFVIYAZBVIGNAN-UHFFFAOYSA-N 0.000 claims description 2
- IXADHCVQNVXURI-UHFFFAOYSA-N 1,1-dichlorodecane Chemical compound CCCCCCCCCC(Cl)Cl IXADHCVQNVXURI-UHFFFAOYSA-N 0.000 claims description 2
- XZEDOJJUYAVDJB-UHFFFAOYSA-N 1,1-diiododecane Chemical compound CCCCCCCCCC(I)I XZEDOJJUYAVDJB-UHFFFAOYSA-N 0.000 claims description 2
- BVTNYGVGMFZHSJ-UHFFFAOYSA-N 1-N,1-N'-dibutyldecane-1,1-diamine Chemical compound C(CCC)NC(CCCCCCCCC)NCCCC BVTNYGVGMFZHSJ-UHFFFAOYSA-N 0.000 claims description 2
- 238000005520 cutting process Methods 0.000 claims description 2
- 239000012212 insulator Substances 0.000 claims description 2
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical group CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 claims 1
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 claims 1
- 150000004703 alkoxides Chemical class 0.000 claims 1
- DXGPLGPVXNBIQZ-UHFFFAOYSA-L copper;2-hydroxybenzoate;methyl n-(1h-benzimidazol-2-yl)carbamate;6-methyl-n-phenyl-2,3-dihydro-1,4-oxathiine-5-carboxamide;quinolin-8-olate Chemical compound [Cu+2].OC1=CC=CC=C1C([O-])=O.C1=CN=C2C([O-])=CC=CC2=C1.C1=CC=C2NC(NC(=O)OC)=NC2=C1.S1CCOC(C)=C1C(=O)NC1=CC=CC=C1 DXGPLGPVXNBIQZ-UHFFFAOYSA-L 0.000 claims 1
- 125000001664 diethylamino group Chemical group [H]C([H])([H])C([H])([H])N(*)C([H])([H])C([H])([H])[H] 0.000 claims 1
- 125000003983 fluorenyl group Chemical group C1(=CC=CC=2C3=CC=CC=C3CC12)* 0.000 claims 1
- 125000001183 hydrocarbyl group Chemical group 0.000 claims 1
- 238000000678 plasma activation Methods 0.000 claims 1
- 125000004368 propenyl group Chemical group C(=CC)* 0.000 claims 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 abstract 2
- 229910052710 silicon Inorganic materials 0.000 abstract 2
- 239000010703 silicon Substances 0.000 abstract 2
- 210000002381 plasma Anatomy 0.000 description 35
- 238000000746 purification Methods 0.000 description 21
- 239000000243 solution Substances 0.000 description 19
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 18
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 16
- 238000010926 purge Methods 0.000 description 15
- 238000000059 patterning Methods 0.000 description 13
- 239000012702 metal oxide precursor Substances 0.000 description 12
- 239000000203 mixture Substances 0.000 description 12
- 239000006227 byproduct Substances 0.000 description 11
- 239000012159 carrier gas Substances 0.000 description 10
- 229910044991 metal oxide Inorganic materials 0.000 description 10
- 150000004706 metal oxides Chemical class 0.000 description 10
- 150000001875 compounds Chemical class 0.000 description 9
- 241000894007 species Species 0.000 description 9
- 235000012431 wafers Nutrition 0.000 description 9
- 206010073306 Exposure to radiation Diseases 0.000 description 8
- 229910052786 argon Inorganic materials 0.000 description 8
- 238000005516 engineering process Methods 0.000 description 8
- 239000003446 ligand Substances 0.000 description 8
- 229910052724 xenon Inorganic materials 0.000 description 8
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 8
- 125000000524 functional group Chemical group 0.000 description 7
- 150000002430 hydrocarbons Chemical class 0.000 description 7
- 238000001459 lithography Methods 0.000 description 7
- 230000015572 biosynthetic process Effects 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- GETQZCLCWQTVFV-UHFFFAOYSA-N trimethylamine Chemical compound CN(C)C GETQZCLCWQTVFV-UHFFFAOYSA-N 0.000 description 6
- 238000011161 development Methods 0.000 description 5
- 230000018109 developmental process Effects 0.000 description 5
- 150000002500 ions Chemical class 0.000 description 5
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 5
- 239000004215 Carbon black (E152) Substances 0.000 description 4
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 4
- 229910021529 ammonia Inorganic materials 0.000 description 4
- 230000004888 barrier function Effects 0.000 description 4
- 239000012707 chemical precursor Substances 0.000 description 4
- 239000003795 chemical substances by application Substances 0.000 description 4
- 238000010924 continuous production Methods 0.000 description 4
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 description 4
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 4
- 229930195733 hydrocarbon Natural products 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 150000004767 nitrides Chemical class 0.000 description 4
- 239000000047 product Substances 0.000 description 4
- 230000035945 sensitivity Effects 0.000 description 4
- 238000011282 treatment Methods 0.000 description 4
- 238000005019 vapor deposition process Methods 0.000 description 4
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 3
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 3
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 3
- 238000010521 absorption reaction Methods 0.000 description 3
- 239000003708 ampul Substances 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 230000005611 electricity Effects 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 229910052754 neon Inorganic materials 0.000 description 3
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 239000012071 phase Substances 0.000 description 3
- 238000002360 preparation method Methods 0.000 description 3
- 230000009257 reactivity Effects 0.000 description 3
- 229920006395 saturated elastomer Polymers 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- 229910052719 titanium Inorganic materials 0.000 description 3
- 229910052684 Cerium Inorganic materials 0.000 description 2
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 2
- BAPJBEWLBFYGME-UHFFFAOYSA-N Methyl acrylate Chemical compound COC(=O)C=C BAPJBEWLBFYGME-UHFFFAOYSA-N 0.000 description 2
- 206010036790 Productive cough Diseases 0.000 description 2
- 229910008051 Si-OH Inorganic materials 0.000 description 2
- 229910006358 Si—OH Inorganic materials 0.000 description 2
- 229930182558 Sterol Natural products 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 239000007864 aqueous solution Substances 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- KDKYADYSIPSCCQ-UHFFFAOYSA-N but-1-yne Chemical compound CCC#C KDKYADYSIPSCCQ-UHFFFAOYSA-N 0.000 description 2
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 2
- 238000009833 condensation Methods 0.000 description 2
- 230000005494 condensation Effects 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 239000000356 contaminant Substances 0.000 description 2
- MWKFXSUHUHTGQN-UHFFFAOYSA-N decan-1-ol Chemical compound CCCCCCCCCCO MWKFXSUHUHTGQN-UHFFFAOYSA-N 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 239000008267 milk Substances 0.000 description 2
- 210000004080 milk Anatomy 0.000 description 2
- 235000013336 milk Nutrition 0.000 description 2
- 229910052755 nonmetal Inorganic materials 0.000 description 2
- 239000012044 organic layer Substances 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 238000006116 polymerization reaction Methods 0.000 description 2
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 2
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- 210000003802 sputum Anatomy 0.000 description 2
- 208000024794 sputum Diseases 0.000 description 2
- 150000003432 sterols Chemical class 0.000 description 2
- 235000003702 sterols Nutrition 0.000 description 2
- 210000002784 stomach Anatomy 0.000 description 2
- 238000006467 substitution reaction Methods 0.000 description 2
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 2
- 229910052723 transition metal Inorganic materials 0.000 description 2
- ABVVEAHYODGCLZ-UHFFFAOYSA-N tridecan-1-amine Chemical group CCCCCCCCCCCCCN ABVVEAHYODGCLZ-UHFFFAOYSA-N 0.000 description 2
- VXNZUUAINFGPBY-UHFFFAOYSA-N 1-Butene Chemical compound CCC=C VXNZUUAINFGPBY-UHFFFAOYSA-N 0.000 description 1
- GFUVGQUFNPGKRQ-UHFFFAOYSA-N 1-N,1-N,1-N',1-N'-tetraethyldecane-1,1-diamine Chemical compound C(C)N(CC)C(CCCCCCCCC)N(CC)CC GFUVGQUFNPGKRQ-UHFFFAOYSA-N 0.000 description 1
- LOLANUHFGPZTLQ-UHFFFAOYSA-N 1-ethoxydecane Chemical compound CCCCCCCCCCOCC LOLANUHFGPZTLQ-UHFFFAOYSA-N 0.000 description 1
- NLHHRLWOUZZQLW-UHFFFAOYSA-N Acrylonitrile Chemical compound C=CC#N NLHHRLWOUZZQLW-UHFFFAOYSA-N 0.000 description 1
- JZHKIUBMQMDQRG-UHFFFAOYSA-N C(=C)C(C(OC)(OC)OC)CCCCCCCC Chemical compound C(=C)C(C(OC)(OC)OC)CCCCCCCC JZHKIUBMQMDQRG-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 241000699666 Mus <mouse, genus> Species 0.000 description 1
- 241000699670 Mus sp. Species 0.000 description 1
- 229910014329 N(SiH3)3 Inorganic materials 0.000 description 1
- 229910052779 Neodymium Inorganic materials 0.000 description 1
- 101100067761 Rattus norvegicus Gast gene Proteins 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 241000272534 Struthio camelus Species 0.000 description 1
- QAOWNCQODCNURD-UHFFFAOYSA-L Sulfate Chemical compound [O-]S([O-])(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-L 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- 238000001015 X-ray lithography Methods 0.000 description 1
- 210000001015 abdomen Anatomy 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 239000003963 antioxidant agent Substances 0.000 description 1
- 230000003078 antioxidant effect Effects 0.000 description 1
- CFQGDIWRTHFZMQ-UHFFFAOYSA-N argon helium Chemical compound [He].[Ar] CFQGDIWRTHFZMQ-UHFFFAOYSA-N 0.000 description 1
- 238000003287 bathing Methods 0.000 description 1
- 230000003542 behavioural effect Effects 0.000 description 1
- WYEMLYFITZORAB-UHFFFAOYSA-N boscalid Chemical compound C1=CC(Cl)=CC=C1C1=CC=CC=C1NC(=O)C1=CC=CN=C1Cl WYEMLYFITZORAB-UHFFFAOYSA-N 0.000 description 1
- 239000001273 butane Substances 0.000 description 1
- IAQRGUVFOMOMEM-UHFFFAOYSA-N butene Natural products CC=CC IAQRGUVFOMOMEM-UHFFFAOYSA-N 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- 239000007833 carbon precursor Substances 0.000 description 1
- 239000012018 catalyst precursor Substances 0.000 description 1
- 239000012693 ceria precursor Substances 0.000 description 1
- 229910000420 cerium oxide Inorganic materials 0.000 description 1
- 238000012512 characterization method Methods 0.000 description 1
- 239000007805 chemical reaction reactant Substances 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000007872 degassing Methods 0.000 description 1
- 238000012217 deletion Methods 0.000 description 1
- 230000037430 deletion Effects 0.000 description 1
- 210000003298 dental enamel Anatomy 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- ZBCBWPMODOFKDW-UHFFFAOYSA-N diethanolamine Chemical compound OCCNCCO ZBCBWPMODOFKDW-UHFFFAOYSA-N 0.000 description 1
- 125000004177 diethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 238000000609 electron-beam lithography Methods 0.000 description 1
- ZFWMGWQPABQDJB-UHFFFAOYSA-N ethane;hydrazine Chemical compound CC.NN ZFWMGWQPABQDJB-UHFFFAOYSA-N 0.000 description 1
- 230000001747 exhibiting effect Effects 0.000 description 1
- 238000000605 extraction Methods 0.000 description 1
- 239000007888 film coating Substances 0.000 description 1
- 238000009501 film coating Methods 0.000 description 1
- 238000005242 forging Methods 0.000 description 1
- 238000005755 formation reaction Methods 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 238000002309 gasification Methods 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 150000002344 gold compounds Chemical class 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- JEGUKCSWCFPDGT-UHFFFAOYSA-N h2o hydrate Chemical compound O.O JEGUKCSWCFPDGT-UHFFFAOYSA-N 0.000 description 1
- 125000005843 halogen group Chemical group 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 230000007062 hydrolysis Effects 0.000 description 1
- 238000006460 hydrolysis reaction Methods 0.000 description 1
- 230000005661 hydrophobic surface Effects 0.000 description 1
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 1
- 229910010272 inorganic material Inorganic materials 0.000 description 1
- 239000011147 inorganic material Substances 0.000 description 1
- 239000002198 insoluble material Substances 0.000 description 1
- 238000005184 irreversible process Methods 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 238000004020 luminiscence type Methods 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 229910052752 metalloid Inorganic materials 0.000 description 1
- 150000002738 metalloids Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Natural products C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 1
- 125000000956 methoxy group Chemical group [H]C([H])([H])O* 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- IJDNQMDRQITEOD-UHFFFAOYSA-N n-butane Chemical compound CCCC IJDNQMDRQITEOD-UHFFFAOYSA-N 0.000 description 1
- OFBQJSOFQDEBGM-UHFFFAOYSA-N n-pentane Natural products CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 description 1
- QEFYFXOXNSNQGX-UHFFFAOYSA-N neodymium atom Chemical compound [Nd] QEFYFXOXNSNQGX-UHFFFAOYSA-N 0.000 description 1
- QGLKJKCYBOYXKC-UHFFFAOYSA-N nonaoxidotritungsten Chemical compound O=[W]1(=O)O[W](=O)(=O)O[W](=O)(=O)O1 QGLKJKCYBOYXKC-UHFFFAOYSA-N 0.000 description 1
- 239000003960 organic solvent Substances 0.000 description 1
- 229910052762 osmium Inorganic materials 0.000 description 1
- SYQBFIAQOQZEGI-UHFFFAOYSA-N osmium atom Chemical compound [Os] SYQBFIAQOQZEGI-UHFFFAOYSA-N 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical group [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 1
- 150000002926 oxygen Chemical class 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 229920006254 polymer film Polymers 0.000 description 1
- 238000002203 pretreatment Methods 0.000 description 1
- 230000037452 priming Effects 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 239000012713 reactive precursor Substances 0.000 description 1
- 230000008929 regeneration Effects 0.000 description 1
- 238000011069 regeneration method Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- 239000010948 rhodium Substances 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- 239000011435 rock Substances 0.000 description 1
- 238000005096 rolling process Methods 0.000 description 1
- 150000003303 ruthenium Chemical class 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 238000009738 saturating Methods 0.000 description 1
- 230000002000 scavenging effect Effects 0.000 description 1
- 239000002094 self assembled monolayer Substances 0.000 description 1
- 239000013545 self-assembled monolayer Substances 0.000 description 1
- 230000001568 sexual effect Effects 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
- 239000000779 smoke Substances 0.000 description 1
- 239000002689 soil Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 229910021653 sulphate ion Inorganic materials 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- SYRHIZPPCHMRIT-UHFFFAOYSA-N tin(4+) Chemical compound [Sn+4] SYRHIZPPCHMRIT-UHFFFAOYSA-N 0.000 description 1
- 150000003624 transition metals Chemical class 0.000 description 1
- LENZDBCJOHFCAS-UHFFFAOYSA-N tris Chemical compound OCC(N)(CO)CO LENZDBCJOHFCAS-UHFFFAOYSA-N 0.000 description 1
- 229960000281 trometamol Drugs 0.000 description 1
- 229910001930 tungsten oxide Inorganic materials 0.000 description 1
- 238000000233 ultraviolet lithography Methods 0.000 description 1
- 229930195735 unsaturated hydrocarbon Natural products 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 239000003039 volatile agent Substances 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/094—Multilayer resist systems, e.g. planarising layers
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/075—Silicon-containing compounds
- G03F7/0752—Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02219—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Architecture (AREA)
- Structural Engineering (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Materials For Photolithography (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
Description
201224190 六、發明說明: 【發明所屬之技術領域】 本發明之實施例大體而言係關於.畐射敏感光阻材料以 及製造與圖案化此類光阻的方法。 【先前技術】 在積體電路⑽或晶片製造中,使用圖案化之光暴露 在基板(例如半導體晶圓)的表面上定義出有用的形狀或 特徵結構,典型地在光敏性光阻材料中。晶片上之特徵 結構尺寸係持續在變得更小,從而需要更複雜更短波長 的微影技術。下一代微影技術(NGL)係被期待可取代目前 的光學微影方法,例如在2〇nm技術節點及2〇nm以下技 術節點中。超紫外線(EUV)微影技術(EUVL)顯示了作為 下一代微影技術之可能,同時還有遠υν、χ射線微影技 術與電子束(e-beam)微影技術。 超紫外線微影技術(「EUVL」)應用大致在1〇奈米(nm) 至15奈米(nm)範圍中之短波長輻射(「光」)來圖案化尺 寸小於lOOnm的特徵結構。因為超紫外線(EUV)輻射會 在幾乎所有的材料中被吸收,在EUVL中所使用之遮罩 係反射型遮罩。反射型遮罩反射了遮罩的一些區域中的 輻射,並吸收其他區域中的輻射。自遮罩反射的光在沉 積於晶圓基板(例如矽)上之光阻(或「阻劑」)上重製遮罩 的衫像。當照射輪射或對輻射暴露時’光阻發生化學反 3 201224190 應,然後顯影以於晶圓上產生遮罩的複製圖案。 對EUV、it UV、電子束肖x射線敏感之阻劑材料的 所需特質包含堅固黏著、熱與機械穩定性、以及可利用 電漿蝕刻或清除(例如氧電漿蝕刻)之移除能力中之一或 多者。由於EUV與其他類型的下一代微影技術曝光(例 如遠UV與電子束)係在真空下進行,揮發性成分(會污染 昂貴反射型多層光學元件)的除氣應達最低或排除。同時 需要阻劑呈現足夠的傳導性,以使得與對輻射暴露期間 產生之二次電子相關的鄰近效應達到最小。 【發明内容】 本發明之一實施例係關於用於在基板上形成輻射敏感 光阻的方法’該方法包含:使基板相繼暴露至第一前驅 物與第一刖驅物的交替脈衝,該第一前驅物含有具可交 鏈單體之取代基以及反應物,該反應物係選自臭氧、 ΗζΟ、H2〇2、NH3、反應性氧、反應性氮及反應性氫,該 第二前驅物含有反應性單體,該等反應性單體可與該第 一前驅物中的該等取代基交鏈形成沉積層,使得在該沉 積層中該第一前驅物與該第二前驅物中的該等反應性、 可交鏈單體部分保持為未反應且可溶解於顯影劑溶液; 以及選擇性使部分的該沉積層對輻射暴露以產生圖案, 在該圖案中該沉積層的暴露部分含有交鏈之單體,該等 交鏈之單體於該基板上形成薄膜,該薄膜比該沉積層的 201224190 未暴露部分更不溶於顯影劑溶液。㈣射係選自超紫外 線(EUV)、遠紫外線、χ射線與電子束。在—實施例中, 該方法更包含使該沉積層的該等未暴露部分與顯影劑溶 液接觸’並自基板移除該等未暴露部分。在—特定實施 例中,韓射暴露包含對電子束暴露。在其他實施例中, 輻射暴露係於真空中實施。 在特定實施例中’前驅物係金屬或金屬氧化物前驅 物。在其他特定實施例中,輻射可為Euv'遠紫外線(遠 UV,200nm及20〇nm以下)、χ射線以及電子束,該等 輻射可用於圖案化光阻。根據一或多個實施例,也可形 成對其他輻射例如,χ射線、離子細及其他輕射 源)敏感的光阻材料。 在一實施例中,該沉積層係由原子層沉積所形成,包 含.(a)使該基板暴露至該第一前驅物(例如,金屬氧化物 前驅物)之脈衝,該第一前驅物含有具沉積在該基板上之 可交鍵單體的取代基;(b)使該基板暴露至第一反應物脈 衝以與該第一前驅物表面反應’該第一反應物脈衝係選 自臭氧、、H2〇、H2〇2、NH3、反應性氧、反應性氮及反應 性氫;(c)使該基板暴露至第二前驅物(例如,金屬氧化物 前驅物),該第二前驅物含有反應性單體,該等反應性單 體可與該第一刖驅物中的該等取代基交鏈;以及(d)使該 基板暴露至第二反應物脈衝以與該第—前驅物表面反 應,該第二反應物脈衝係選自臭氧、H2〇、H2O2、NH3、 反應性氧、反應性氮及反應性鼠。在~實施例中,(a)至 5 201224190 (d)包含單原子層沉稽 檟#龟,且該循環係至少重複一次。 在一實施例中,該坌 & 认,— 第—前驅物與該第二前驅物係相同 _ 只施例中,該第-前驅物與該第二前驅物係不 二笛。在一更特定之實施例中,該第-前驅物為TSA而 該第二前驅物為乙炔。右一 „ A 在貫施例中,該反應物脈衝係 選自反應性氧、氫與氮物 ^ , 初種中之一者,其中該反應性物 種係於运端電聚中彦哇。— 在一貫施例中,未暴露之沉積 層部分係僅部分水解或伴掊 保符為未元全縮合。在一實施例 令’相繼的交替脈衝之後係 傻係希化製程。在特定實施例中, 前驅物係選自揮發性含矽前驅物。 /-或多個實施例中,在形成沉積層之前,基板表面 係塗佈非晶碳層、或不溶於顯影劑溶液中之聚合物薄 膜。在-實施例中,聚合物為含碳光阻。在—實施例中, 第一金屬氧化物前驅物中所存在的可交鏈單體包含_ 鍵,且在第二前驅物中包含矽醇、乙烯基、丙烯基或齒 代甲基。 本發明之第二態樣係關於—種用於在基板上形成圖案 化光阻的方法’該方法包含:藉由原子層沉積使基板相 繼暴露至含Si-H前驅物與含發前驅物之交替脈衝,以於 該基板上形成沉積層’其巾該切前驅物含有可交鏈單 體;以及選擇性使部分的該層對輻射(例如超紫外線)暴 露’以形成圖案,在該圖案中該沉積層的暴露部分比該 基板上該沉積層的未暴露部分更多交鏈。該輻射可選自 超紫外線(EUV)、遠紫外線、χ射線與電子束。在該第二 201224190 態樣之一實施例中,該沉積層的該等暴露部分於該基板 上形成父鏈含石夕薄膜。在該第二態樣之一實施例中’該 含矽前驅物包含氧化矽前驅物。在該第二態樣之—實施 例中,该方法更包含:在使該基板暴露至該含Si_H前驅 物與含有可交鏈單體的該含矽前驅物之後,使該基板暴 露至第一反應物脈衝,以與該第一金屬氧化物前驅物表 面反應,其中該第一反應物脈衝係選自臭氧、H2〇、 私〇2、NH3、反應性氧、反應性氮及反應性氫。在該第 二態樣之一實施例中,選擇性暴露部分的該層使該沉積 層之未暴露部分比暴露部分更溶於顯影劑溶液中^該第 二態樣之-實施例包含移除可溶解的層部分。根據該第 二態樣之一實施例,未暴露之可溶解的層部分係僅部分 水解、或水解但未完全縮合 。在該第二態樣的一或多個
例中,提供可交鏈單體之 為基礎之前驅物,含有乙 齒代曱基取代基、烷氧化 該第二含矽前驅物係以氧化矽為基礎
201224190 物、缓酸鹽m化物中之—或多者,其對水暴露時 水解以形成料取代基’其巾至少部分在超紫外線暴露 之前於所產生薄膜中保持未縮合。在該第二態樣之一實 她例中,在形成該沉積層之前,在基板上沉積底層。在 實施例(包含底層的實施例)中,該底層係由化學氣相沉 積所形成之含碳層。舉例而言,該底層可包含非晶碳。 在以顯影步驟移除該薄膜的未暴露部分之後,該沉積層 的暴露部》即為底層提供遮罩。—或多個實施例包含進 行氧反應性離子钱刻製程,以經由遮罩與底層作圖案轉 移以形成該圖案。 第三態樣係關於-種在基板上形成圖案化光阻的方 法,該方法包含:在該基板上形成含碳薄膜;在該含碳 薄膜中形成表面層,該表面層係對輻射敏感;使—部分 的該含碳薄膜對輻射暴露,以提供暴露表面部分;以及 藉由原子層沉積選擇性僅在該含碳薄膜的該等暴露區域 上沉積金屬氧化物薄膜。該韓射可選自超紫外線(膽)、 遠紫外線、X射線與電子束。在該第三態樣之一實施例 中’該方法包含進㈣刻製程而經由有機底層作姓刻圖 案轉移,以形成該圖案。在該第三態樣之-實施例中, 該蝕刻製程包含氡反應性離子蝕刻製程。 【實施方式】 了幸I射敏感光阻材料以 本發明之實施例大體而言提供 201224190 及製造與圖案化此類光阻的方法。一個實施例係關於與 用於製造超薄、高性能之EUV敏感光阻層的方法,例如 藉由使用ALD進行沉積。然而,本發明並不限於EUV, 且了根據或多個實施例而使用其他韓射。在其他特定 實施例中,輻射可為遠紫外線、χ射線與電子束,該等 轄射可用於圖案化光阻。根據其他實施例,也可形成對 其他類型的輻射(例如,X射線、電子束與其他輻射源) 敏感的光阻材料。整體而言’包含Euv、遠紫外線 '電 子束㈣咖或剛與χ射線之此類輻射也被視為輕射方 法的適合形式。 文中,用語「遠紫外線(遠υν)」是指波長低於 2〇〇nm之㈣。「超紫外線⑽ν)」是指在大致為5nm至 —之範圍中的輕射,且在特定實施例中則是在^ =1:·之範圍中。「電子束」微影技術、「Ε·—微 衫技術或「EBLj是指使用由來源(例如 =的!影技術,其中該電子束係產生以通過= 且由偏向器予以摇鄉丨v盈♦ n ’·· 術是户利用劑薄臈。「x射線」微影技 射線輻射來暴露光―在本文中, 用》。金屬」與「金屬氧化 屬元素、類金屬(例如石夕盘 :…期表中的金 化物。根據-或多個實施:及金屬與類金屬之氧 碎、錯、錫、心:例之特定材料包含、但不限於 氧化物。 。鈦、第V族與第VI族金屬及其 根據一或多個實施例 可使用輪身于(例如EUV)利用阻 9 201224190 劑層而直接圖案化小達! 0nm之特徵結構,該阻劑層具 有之厚度亦小達i〇nm,但一般介於10_至3〇nm的範 圍中,重點是厚度4 200 # (2〇nm)之等級的光阻層,因 為該值接近如EUV之輻射在許多材料中的穿透深度。現 有的有機阻劑需要為此數值的大概兩倍(400埃及更 同)’以提供合理的抗敍刻性,然而當用於應用時 係以解析度衰減為代價的。 傳統旋塗方式(通常稱為「濕式」技術)、或任何其他 用於塗佈EUV # Ρ且夕夸a u 九阻之常見的已建立方法係難以在有機底 層上塗佈任何材料之均勻薄膜(尤其為無機阻劑薄膜所 具之均勻度為i埃)而無瑕庇產生。根據本發明之實施 例’「乾式」沉積技術(例如原子層沉積(ald))提供了建 構薄膜之獨特能力,不僅 个彳重了進订厚度之原子層控制,也
可配置反應性官能基,反A 〜『玍B flb基可存續於(輕微的) ;儿積條件中,並產峰展自县:;A r ^ & .、、影谷解力(例如與液相顯影劑之 =應性)以及對EUV(及其他輕射,如遠紫外線、DUV及 =束)之向敏感性’高敏感性包含在損失溶解力下實現 乂鏈、因而使圊案可被顯影。 在一或多個實施例中,大詈ώ tΛ 所組成(在一些實施例 中為由氧所組成)、且可作為 m (次一氧化矽)則驅物之薄 膜係利用原子層沉積而沉積在 EUV)M rX jl 土板上,並作為輻射(例如 EUV)敏感光阻。在許多以 -备儿A 軋馮基礎之電漿蝕刻製程中, -氧切對有機物呈現出高於 使用薄圖案化声來透過下古μ 選擇性’因此可 層來透過下方的有機層轉移影像。當暴露 10 201224190 至此等氧電漿時’富含任何形式原子矽之圖案化光阻薄 、傾向於决速轉化為Si〇2,藉此形成抗蝕刻性以 為基礎之遮罩。 反應性取代基有多種可行的有用組合可用以給予對輕 射(例如電子束、x射線、EUV與遠紫外線光)之敏感性, 一個實例係、包含Si_H鍵的存在。具有此種官能基的材料 了製備為適合旋塗的形式,然已證明用於此舉的配方不 是太不穩定(例如空氣痕跡、濕度、在室溫下處理等)、 就是需要過高的EUV劑量,因而無法實行。因為ALD 阻劑製耘的實施例係在真空腔室環境中進行,且 _暴露也將在真空中進行,因而可達成可靠的薄膜塗 佈、處理與暴露’即使是材料具有高含量的Si H。本發 實施例可使用此等較不穩定的材料,同時可對電子 束、x射線、it UV與Euv輻射敏感之其他取代基控制 可藉由其他方式實現一種有用組成之無瑕疵薄 膜的沉—積方法。 在實施例中,利用原子層沉積(ALD)製程來製造光 、形成可藉由對輻射(例如電子束、X射線、遠uV 或EUV光線)暴露而圖案化之層。在Am製程的示範實 施例中第-化學前驅物(「A」)係脈衝化,例如在第一 半反應中將含有取代基之金屬物種傳送至基板表面。第 化子别驅物「A」係經選擇,因此該第一化學前驅物之 金屬/、適田的下方物種(例如在表面上的或NH官能 基)反應以形成新的自飽和表面。移除過剩的未使用反 201224190 應物與反應副產物,一般是藉由真空泵下抽及/或藉由流 動惰性淨化氣體而加以移除。接著,非金屬反應物胃「3」, 例如水蒸氣' _氧化氫/水之蒸氣、或帶有揮發酸(例 如HC1)或鹼(例如NH3)之水、或含有反應性氫、氧、或 氮物種之氣體或電漿,傳送至該表面,其中第一半反應 的先前反應之封端之取代基或配位基與「B」反應物之新 配位基反應,產生交換副產物。「B」反應物也與下方的 反應性物種形成自飽和鍵結’以提供另一個自限制及飽 和的第二半反應。一般利用第二淨化週期來移除未使用 的反應物與反應副產n,對基板表面脈衝輸送第 二含金屬前驅物「A」’第二含金屬前驅物「A」具有可 與第-「A」前驅物中所存在之取代基交鏈的反應性單 體’第二含金屬前驅物與非金屬反應物中的配位基反 H而言’接著使用第三淨化來移除未反應之反應 物與反應副產物。「A」前驅物、「Bj非金屬反應物及「A」 前驅物之脈衝沉積循環(在各脈衝之間一般包含淨化)會 導致在「A」前驅物中的取代基以及在「a」前驅物與第 二前驅物中的反應性可交鏈單體在可溶解於顯影劑溶液 的/儿積層中保肖為部分未反應。表面對反應物「A」與「B」 之交替暴露繼續進行,直到達到需要的EUV敏感薄膜厚 度為止在大部分的預期應用中,該厚度大概在5nm至 4〇nm的範圍中,且更具體而言在l〇nm至30nm(l〇0埃 至3 0:埃)的範圍令。應瞭解厂a」、「b」與淨化之氣體可 同n且•及/或氣流喷嘴可振I,使得基板可依 12 201224190 需要而依序暴露至厂A」、淨化與厂B」之氣體。 接者,選擇性使含有部分未反應、可 ::露至輕射(例如電子束、X射線、或遠紫外線::: ")μ產生圖案,使得沉積層的暴露部 。第 -與第二前驅物之可交鏈單體,以於基板上形=第 中賴比沉積層的未暴露部分更不溶於顯 ::物及/或反應物可為氣體、電漿、蒸氣之狀態 ==沉積製程之其他物質狀態,化期間: 4… 弓丨入處理腔室中’以對反應區進行淨 物二:=應Γ的任何殘餘反應性化合物或副產 =者淨化氣體可在整個沉積製程中連續流動,因
::,在一或多個實施例中’可使用「A」前驅物與「B 反應物之交替脈衝來製造光阻 」 ^ ^ ^ 1〜如以脈衝化前驅物與 之多次循環的脈衝式輸送方式,例如A脈衝、B 脈:物脈衝、A前驅物脈衝、B反應物脈衝、A前驅物 :B反應物脈衝、A反應物脈衝、B反應物脈衝。在 或夕個實施例中,可使用至少 (例如功一 了使用至^兩種不同類型的含金屬 (】如「夕y則驅物。因此’可使用「c」含金屬前驅物,其 此::」含金屬前驅物不同於「A」含金屬前驅物,藉 供心循環…b、c、b、a、b、c、bab、 、···(該㈣循環之具體實例係如第4A-4C圖中所 13 201224190 示,將於下文中說明)(在每— c Αt,丄 間進行淨化)。同栉 地,在反應序列中可使用不同 』樣 反應物),其中「B」與「D」反應物之不:二反應物(、 下述脈衝式ALD循環之反應序列:八、目二、::使: C、D.··(在每’之間進行淨化)。如上=,、^、B可 自氣體傳送頭或噴嘴同時流動 乳體了 隹使反應物脈衝化), 且可移動基板及/或氣體傳送頭異 氣體。 代貝使侍基板可依序暴露至 當然,前述ALD循環係僅為各種Ald製程循環之示 例,其中沉積層係藉由前驅物與反應物之交替層而形 成’在前驅物中的反應性可交鏈單體在可溶於顯影劑溶 液的:積層中保持為部分未反應。含有部分未反應、可 交鏈單體之該沉積層接著選擇性地暴露至遠紫外線或超 紫外線以產生圖帛,使得該沉積層的暴露部分含有來自 第一與第^前驅物之交鏈之單冑,以於基板上形成金屬 氧化物薄膜,該金屬氧化物薄膜比該沉積層的未暴露部 分更不溶於顯影劑溶液中。 在本文中所使用之沉積氣體或製程氣體是指單一氣 體、多種氣體、含電漿之氣體、氣體及/或電漿之組合。 ’儿積氣體可含有至少—種用於氣相沉積製程之反應性化 合物。在氣相沉積製程期間,反應性化合物可具有氣體、 電漿、蒸氣之狀態。同時,製程亦可含有淨化氣體或載 氣’而不含反應性化合物。 在本文t所稱之「基板表面」是指任何基板或是指形 14 201224190 成於基板上之材料夹而,A制 ^ 十矛面在I程過程中於該基板上進行 薄膜處理。舉例而t;隹+ ° 叮進仃處理之基板表面根據應用 而包含例如石夕、氣化石々、虛餘纟^ 夕應變矽、絕緣體上矽(SOI)、摻 碳氧切、氮切、經摻雜⑪、鍺、_化鎵、玻璃、藍 寶石之材料、以及任何其他材料(例如金屬、金屬氮化 物、金屬合金以及其他傳導性材料在基板表面上之阻 障層、金屬或金屬氮化物包含鈦、氮化欽、氣化嫣、纽 與氮化纽、紹、銅、或可用於元件製造之任何其他導體、 或傳導性或非傳導性阻障層。基板可具有各種大小例 如直徑為2〇0mm或3〇〇mm之晶圓、以及矩形或方形的 雙層隔熱玻璃板(pane)。可使用本發明之實施例的基板包 含' 但不限於半導體晶圓(例如結晶石夕(如^<1〇〇>或 Si<m>)、氧切、應變⑦、鍺切、經摻雜或未經捧 雜之多晶石夕、經摻雜或未經換雜之石夕晶圓)、族材 ^例如GaAS、GaN、Inp等)、以及圖案化或非圖案化之 曰曰圓。基板可暴露至預先處理製程,以進 研磨、触刻、還原、氧化、經基化、退火及/或2面之 本發明之實施例提供了 一種在氣相沉積製程(例如原 子層沉積或電漿辅助ALD(PE_ALD))中於基板上沉積或 形成輕射(例如電子束、x射線、遠紫外線及/或Euv)敏 感光阻的方法。處理腔室經配置以使基板在氣相沉積製 程中暴露至一系列氣體及/或電漿。該處理腔室可包含 Α、Β、與C反應物之各別供應源,以及與各反應物與氣 體之氣體入口流體相通之載氣、淨化與惰性氣體(例如氬 15 201224190 氣與氮氣)供應源。各入口可由與CPU相通之適當流量 控制器予以控制,例如質量流量控制器或體積流量控制 器’從而允許各反應物同時地或脈衝式地流動至基板, 以進行本文所述之ALD製程。 反應物一般具有蒸氣或氣體形式。反應物可利用載氣 予以傳送。載氣、淨化氣體、沉積氣體、或其他製程氣 體可含有氮氣、氫氣、氬氣、氖氣、氦氣、或其組合。 電漿可用於本文所述之光阻材料的沉積、形成、退火、 處理、或其他處理。本文所述之各種電漿(例如氮氣電漿 或惰性氣體電漿)可自電漿反應物氣體所激發及/或包含 電漿反應物氣體。電漿反應物氣體可含有氮、氧、氫、 氨、n20、h20、H2〇2、臭氧(〇3)、氬、氖、氦或其組合。 在些實例中,電漿可含有氮與氫之混合物,或含有氨 (NH3,其為氮與氫之化合物)。 在一或多個實施例中,製程之各種氣體可自各個孔洞 或出口、經由氣體通道而脈衝化至入口,且至中央通道 中。在一或多個實施例中,沉積氣體可依序脈衝化至喷 淋頭且通過喷淋頭。或者,如上所述,氣體可同時流經 氣體供應噴嘴或供應頭,且基板及/或氣體供應頭可移動 而使得基板可依序暴露至氣體。 在另一實施例中,可於PE_ALD製程期間形成光阻材 料,該製程提供了前驅物與電漿之連續脈衝。在此等實 施例中’纟電毁步驟中’反應物一般係於該製程中被離 子化,然反應物也可僅發生於沉積腔室的上游處,使得 16 201224190 離子或其他具能量或發光物種不會與沉積薄膜直接接觸 (此種型態通常被稱為遠端電漿)。因此在此類型的 ΡΕ-ALD製程(PE_ALD製程將是最適用於薄獏成形的製 程’該製程保持了遠紫外線與EUV之反應性)中,電漿 係於處理腔室外部產生,例如藉由遠端電漿產生器系統 產生。在PE-ALD製程中,電漿可自微波(MW)頻率產生 器或射頻(RF)產生器產生。 本發明之另一態樣係關於在基板上沉積薄膜以執行根 據上述任一實施例之製程的設備。在一實施例中,該設 備包含 >儿積腔室,供於基板上薄膜之原子層沉積之用。 該腔室包含處理區域以支撐基板。該設備包含第一前驅 物入口,該第一前驅物入口與第一前驅物供應源流體相 通’該第一前驅物含有具可交鏈單體之取代基。該設備 包含反應物氣體入口 ’該反應物氣體入口與選自下列之 反應物氣體供應源流體相通:臭氧、H20、H2〇2、Nh3、 反應性氧、反應性氮及反應性氫。第二前驅物(其含有反 應性、可與第一前驅物中之取代基交鏈之單體)供應源可 與該第一前驅物氣體入口或各別的第二前驅物氣體入口 k體相通。該設備進一步包含淨化氣體入口,該淨化氣 體入口與淨化氣體流體相通。該設備可進一步包含真空 淳’用於移除沉積腔室中的氣體。該設備可進一步包含 辅助氣體入口’用於對沉積腔室供應一或多種輔助氣 體’例如惰性氣體。沉積可進一步包含用於藉由輻射及/ 或電阻熱加熱基板之裝置。該設備包含輻射源、或可連 17 201224190 接至第二設備’以使沉積層暴露至選自EUV、遠紫外線、 X射線與電子束輻射之輻射,使得在暴露至輻射時,在 沉積層中第一前驅物與第二前驅物中的反應性、可交鏈 單體保持部分未反應且可溶於顯影劑溶液。 在一些實施例中’可用於本文所述之方法中以沉積或 形成光阻材料的電漿系統與處理腔室或系統可執行於 PRODUCER®、CENTURA®、或 ENDURA® 系統中,此
等系統皆由位於聖塔克拉拉之應用材料公司所供應。在 共同讓與之美國專利第6,878,206號、第6,916,398號與 第7,780,785號中找到ALD處理腔室的詳細說明。在另 一實施例中,可使用經配置以於ALD模式與傳統cVD 模式中運作之腔室來沉積如共同讓與之美國專利第 7,204,886號中所述之光阻材料。 ALD製程所提供的是:可使處理腔室或沉積腔室加壓 至介於約0·01托耳至約i00托耳範圍内之壓力例如介 於約0.1托耳至約1〇托耳、且更具體而言介於約〇 5托 耳至約5托耳之間。同時,根據一或多個實施例,腔室 或基板可加熱至低於約50(rc之溫度,例如約4〇〇t或更 低,例如在介於約50t至400t之範圍内,且在其他實 施例中低於約300。(:、低於約200。(:、或低於約1〇〇t。 現將說明原子層沉積製程循環之示例實施例。 如上所述’ ALD製程循環可包含「Aj前驅物(例如金 屬氧化物前驅物)與「B」反應物之交替脈衝,在各前驅 物與反應物脈衝之間進行淨化。或者,ALD製程循環可 18 201224190 包含「A」前驅物(例如金屬氧化物前驅物)、Γ b」反應物、 「C」前驅物(例如金屬氧化物前驅物)之交替脈衝,其中 「A」與「C」前驅物為相同或不同,在各前驅物與反應 物脈衝之間進行淨化。ALD製程循環可包含「A」前驅 物、「B」反應物、「C」前驅物與「D」反應物之交替脈 衝’其中「A」與「C」金屬氧化物前驅物為相同或不同, B」與「D」反應物為相同或不同’在各前驅物與反應 物脈衝之間進行淨化。 「A」前驅物 「A」前驅物之非限制實例包含揮發性之含金屬化合 物,例如與至少一取代基鍵結之矽或其他主要群組或過 渡金屬之化合物,其中該至少一取代基在反應時容易被 表面鍵結物種(例如羥基)取代,因此原本在前驅物上的 取代基會逸失為揮發性化合物,而金屬原子與剩下的取 代基一起鍵結至表面。用於引入適用於後續輻射(例如 EUV父鏈反應)之Si-H官能基之以矽為基礎之前驅物的 些具體實例包含二(二乙氨基)矽烷、二(丁氨基)矽烷、 一乙氧矽烷、二氯矽烷、二溴矽烷或二碘矽烷。在其他 實施例中,「A」前驅物可為乙炔。 此類反應的一種簡單、示例情形包含自揮發性含矽前 驅物中取代至少一可容易水解之取代基,從而該取代基 附著於表面並進化為揮發性化合物Ηχι〇舉例而言,取 代基XI可為簡單鹵素原子(a、Br或,在該例中進化 之物種可為HC卜HBr或HI氣體。 19 201224190
SlH2XlX2 + 基板-O-H -> 基板-0-SiH2X2+ HXl 「B」反應物 「B」反應物的非限制實例包含臭氧、H2〇、H202、NH3、 以及在電漿(在具體實施例中為遠端電漿)中所產生之含 有反應性乳、氮或氫之物種。在一示例情形中,若B僅 為水蒸氣’則與仍附著於矽原子的剩餘取代基χ2(已經 因為在先刖步驟中暴露至反應物「Α」而鍵結於表面)之 反應可消耗揮發性副產物ΗΧ2而導致Si-OH單體之形 成。 基板-0_SiH2X2+ H20 -> 基板-〇-SiH2-OH+ HX2 「C」前驅物 含有可與第一前驅物「A」中的Si-H取代基交鏈之反 應性單體的「C」前驅物的非限制實例包含矽醇、乙稀基、 或丙烯基取代基。在使用了「A」與「C」反應物之實施 例中’「A」與「C」反應物可為相同或不同。因此,在 一實施例中’「A」前驅物可為三矽烷胺,而「c」前驅 物可為乙炔。在第4A-4C圖所描述之一示例實施例中, 反應物C為乙烯基三曱氧基矽烷((CH2 = CHSi(〇Me)3),其 中由表面矽醇導致的至少一甲氧基(OCHO取代基的水解 導致前驅物「C」中乙烯基取代之矽的附著(消耗揮發性 甲醇(CH3OH))。此可以下式加以描述: 基板-0-8出2-01^+(:112=(:1^1(01^)3-> 「D」反應物 201224190 「D」反應物的非限制實例包含臭氧、h2〇、h2〇2、、 以及在電漿(在具體實施例中為遠端電漿)中所產生之含 有反應性氧、氮或氫之物種;在使用「B」、「D」反應物 的實施例中’「B」肖「D」反應物可為相同或不同。同 樣,在第4A-4C圖所描述的示例實施例中,反應物β可 為水蒸氣且導致表® Si-〇H官能基的再生,從而使完整 的ALD序列能以表面對反應物A、B、〇與d之另一次 暴露而再次開始。該序列持續直到在基板上產生所需厚 度之EUV敏感薄膜與氧化物前驅物為止。在此方式中, 可使用所述四個步驟之ALD序列來產生薄膜,其中反應 ㈣基(例如Si-H與Si_0H及/或Si_CH=CH2)將自動㈣ 近附近處中均勻且可重現地定向。 在第4A-4C圖所示之處理序列中由㈣形成之已沉積 薄臈可暴露至圖案化㈣(如顺光)(例如,透過遮罩(未 :))來促進交鏈,以於冑謂^暴露之區域中形成緻 密、類玻璃材料’該材料於水性顯影劑或非水性顯影劑 (例如有機溶劑)中具有降低之溶解力,使用乾式顯 法(例如電衆蝕刻)也是在本發明之範鳴内。 乂 應了解所產生的圖案可利用液相顯影齊丨或以電漿為基 礎之「乾式」方式而加以顯影,以提供負性或正性圖案。 在4 形中’顯影之圖案可視情況而在有氧或無氧 情形下進-步加以退火,以進—步使剩餘材料交㈣轉 化為適於進—步處理之硬氧化物。此種光微影技術的優 點在於沉積、顯影、圖案化以及㈣步驟都可在氣相中 21 201224190 進订,亦即利用乾式處理技術(例如乾式電聚),從而減 少圖案崩壞之可能,藉而提供增進之解析度。 八有EUV圖案化ALD阻劑層之基板可置於稀釋水性 钮刻劑令以移除未暴露區域,該㈣劑包含任何適當的 先阻顯影劑,例如稀釋之氫氧化四甲基銨(tmah)顯影 劑水陡二乙醇胺、稀釋之氣化物、以及其組合。應了 解第4A-4C圖中所示之序列會使層形成為約ι〇埃之等 級’且可重複20-30次之循環以達到厚度為2〇〇 3〇〇埃 之等級的阻劑堆疊結構。 現將說明根據各個實施例之一般與具體處理序列的其 他實例。 基板的製備 基板可以是上述任何類型之基板。一非必要處理步驟 包含基板100的製備’基板⑽的製備係藉由以電漿或 其他適當表面處理來處理基板而進行,以提供基板表面 上之反應性位址。適當的反應性位址之實例包含、但不 限於Ο-Η、Ν-Η或S-Η封端之表面。 在-或多個實施例中,先對基板施用特定底層。在具 體實施例中,該底層可為以碳為基礎之聚合物層、或如 美國專利第 6,573,〇3()號、第 6,841,341號、第 7,223,526 號與第7,335,462號所述類型之以非晶碳為基礎之層;此 一層可藉由各種技術沉積而成,例如藉由未飽和單體前 驅物之電衆誘發聚合化’戶斤述未飽和單體前驅物係如乙 稀、丙;#、乙A、或可用於為沉積應用材料高級圖案化 22 201224190 薄膜(APF®W❿執行之處理中的任何其他揮發性以炉 為基礎之前驅物,該等層可於㈣咖⑧系統(由美國: 用材料有限公司所供應)之APF®腔室中產4。A" 土 為促進用 作底層及成長本文所述EUV敏感薄膜之初始表面,重度 父鏈之非晶碳/烴薄膜的初始疏水性表面可藉由簡短暴 露於氧化電漿中而加以改質’該氧化電漿係形成於含有 氧、臭氧、水蒸氣、AO、NH;J或其任何組合之氣體混合 物中。或者,藉由恰好在沉積製程結束處引入含有取代 基之反應性單體,可在沉積時對該表面改質及封端,該 取代基係如可用於起始本文所述之ALD層的沉積之 端基。或者,用以沉積APF層之化學氣相沉積製程可藉 由簡單矽烷前驅物(例如矽烷(SiH4)本身、矽乙烷 (HJiSiH3)、三矽烷胺(N(SiH3)3、或任何其他揮發性富 Si-H之前驅物)之添加而封端。在—實施例中,該前驅物 為二矽烷胺。在此等序列中,用於起始ALD Euv阻劑層 之成長的表面Si-OH單體的形成可接著在ALD序列的開 始處產生,此步驟係藉由使Si-H封端之以非晶碳為基礎 之層簡短暴露至臭氧或含氧電漿而進行。然而,為避免 干擾包含經由有機底層來轉移ALD EUV阻劑薄膜中所 產生之圖案的連續製程,任何此種層(具有合併於表面上 之Si-H g此基)應比50埃更薄,特別是比1 〇埃更薄。 含金屬「A」前驅物傳送至基板表面 具有反應性位址之基板1〇〇可暴露至含金屬「A」前驅 物氣體或蒸氣(藉由使載氣(例如氮氣或氬氣)通過液態形 23 201224190 式之前驅物的安瓿(ampoule)而形成)。安紙可經加熱。可 以任何適當流量來傳送「A」前驅物氣體,該流量係介於 約 lOsccm至約 2000sccm間之範圍内,例如介於約 5Osccm至約1 000seem之間,且在特定實施例中係介於 約lOOseem至約500sccm間,例如為約200sccm。基板 100可暴露至含金屬「A」前驅物氣體達介於約〇.1秒至 約1 0秒之一段時間’例如約1秒至約5秒,且在.--特定 實例中’達約2秒。一旦含金屬前驅物已吸附在基板表 面上的所有反應性表面單體,即停止「A」前驅物氣體的 流動。在一理想行為之ALD製程中,反應性前驅物「A」 使表面容易飽和,因此額外的暴露並不會導致額外的沉 積(亦即,該製程係因消耗了所有反應性表面單體而自身 限制)。 第一次淨化 〜叫〜丨又 必傲六肚至 露於一淨化步驟。淨化氣體可以在約l〇Sccm至 2〇〇〇sccm 之範圍内(例如約 5〇sccmw i〇〇〇secm,且 特疋實例中為約100sccm至約50〇sccm,例如 200sccm)之机里被導人處理腔室中。淨化步驟移除了 理腔室内的任何過添丨^p g 、 7過剩别驅物、副產物以及其他的污 物。淨化步驟可進行一俨 _ m ^ 1 仃敫時間,範圍介於約0.1秒至的 秒,例如約1秒至約s 約5私,且在一特定實例中為約4秒 載氣、淨化氣體、沉籍裔Μ上 積乳體或其他製程氣體可含有氮氣 曼氣、鼠氣、氖氣、氦氣、 _ ; 或其組合。在一貫例中, 24 201224190 氣包含氮氣。 「B」反應物傳送至基板表面 在第一次淨化之後’基板反應性位址可暴露於藉由使 載氣(例如氮氣或氬氣)通過「B」反應物之安瓶所形成之 「B」反應物氣體或蒸氣。「B」反應物氣體可以在約 lOsccm至約2000Sccm範圍内之任何適當流量傳送,例 如約5〇Sccm至約1000sccm ’且在特定實施例中為約 20〇Sccm。基板可暴露至rB」反應物氣體達約〇丨秒至 約8秒之範圍内的一段時間,例如約i秒至約5秒之間, 且在-特定實例中為約2秒。一旦「B」已吸附至可容易 X解之取代基上且與该專取代基反應,即可停止「B」反 :物氣體的流動,其中可容易水解之取代基係附著於先 前步驟中所沉積的矽前驅物。 第一次淨化 V止B」反應物氣體的流動之後,基板及腔室可暴 :淨化步驟。淨化氣體可以在約1Q似①至約2Q()〇sccm :範圍内(例如約5〇sccm至約1〇〇〇sccm,且在一特定實 勺1〇〇SCCm至約500sccm,例如約2〇〇sccm)之流 量祐遵· λ 何尚‘处理腔至中。淨化步驟移除了處理腔室内的任 進行驅物、μ產物以及其他的污染物。淨化步驟可 种5奶時間’範圍介於約〇.1秒至約8秒,例如約1 氣體、、、/ ’且在一特定實例中為約4秒。載氣、淨化 氣、=積氣體或其他製程氣體可含有I氣、氫氣、氬 氦氣、或其組合。在_實例中,載氣包含氮 25 201224190 氣。「B」反應物氣體亦可具有電漿之形式,電聚係自處 理腔室遠端產生。 含金屬前驅物傳送至基板表面 在第二次淨化之後,第二含金屬前驅物氣體或蒸氣傳 送至基板表面。含金屬前驅物可為上述之rAj前驅物, 或該含金屬前驅物可為上述之「c」前驅物。如上所述, 「C」前驅物可與「A」前驅物相同或不同。含有可與第 一「A」前驅物中存在的取代基交鏈之反應性單體的第二 含金屬前驅物脈衝化至基板表面,並與在與前驅物「B」 反應後留下的表面官能基反應。第二含金屬前驅物可傳 送作為前驅物氣體或蒸氣’前驅物氣體或蒸氣係藉由使 載氣(例如氮氣或氬氣)通過含前驅物(具有液態形式)的 安瓶而形成。安親可經加熱。「A」前驅物氣體可以在約 lOsccm至約2000sccm之範圍内的任何適當流量傳送’ 例如自約5〇Sccm至約卿_,且在特定實施例中介 於約lOOsccm至約5〇〇sccm,例如約2〇(^咖。基板⑽ 可暴露於含金屬「八」前驅物氣體達在0.1秒至約10秒 =範圍内之—段時間,例如約1秒至約5秒,且在特定 實例中達約2秒。 第三次淨化 在傳送第一 3金屬前驅物之後,可開始另一次淨化循 環,類似於第一次與第二次淨化循環。 暴攀於輻射(例如電子束、χ射線、遠紫外光或超紫外 光) 26 201224190 第1A圖說明基板100,在基板1〇〇上具有沉積層ιι〇, 沉積層110由—或多:欠ALD循環所形成。沉積層11〇包 含在「A」前驅物中的取代基以及在第二金屬前驅物中的 反應性可交鏈單體(其在可溶於顯影劑溶液之沉積層丨ι〇 中保持為部分未反應)。接著使含有可㈣單體之沉積層 選擇性暴露至遠紫外光或超紫外光,以產生圖案,使得 沉積層的暴露部分含有來自第一與第二含矽前驅物之交 鍵之單體,以於基板上形成切薄膜,切薄膜比未暴 露部分更不可溶於顯影劑溶液中,如第1B圖所示,層部 分110已暴露至遠紫外光或超紫外光以形成金屬氧化物 薄膜。部分125含有在第—與第二金屬氧化物前驅物中 的反應性可交鏈單體’該等可交鏈單體於沉積層110中 仍為部分未反應且因此可溶於顯影劑溶液(例如強鹼) 中。因此,沉積層的暴露部A 110含有第一與第二前驅 物中之交鏈之單體’且因而比沉積層的未暴露部分125 更不溶於顯影辦液中。接著使基板暴露於適當的顯% 劑溶液中,以潤洗清除未暴露部分125,以提供圖案: 之二氧化矽前驅物層,如第丨c圖所示。 ⑽光阻可沉積為具有小於約500埃之厚度例如介 於約300埃與約100埃之間,且在特定實例中為約2〇〇 埃。然而’材料的最終厚度最後是根據所需 材料的用途而定。 九阻 反應物脈衝的時間間隔可根據多種因素而變化 所使用之處理腔室的體積容量、對其耦接 ,例如 異空系統、 27 201224190 以及在ALD製程中所佳用 汀使用的反應物之揮發性/反應性。 舉例而言,大體積之處理腔室需要較長的時間來使處理 條件穩疋並確保以各前驅物使基板表面飽和,且在轉換 ^下#1 Μ ϋ物之Μ也需要長時間來有效淨化未反應之 月】艇物 ’在共平面配置中可使用較大體積之 腔室(可容納一個板-々甘> ••人的基板而供同時處理),該等腔室 間具有足夠的空間以供道λ a ei_ w 供¥入别驅物、載氣與淨化氣體。 此腔室配置有助於使用較低分壓之反應前驅物與較低反 應溫度’此料藉由簡單増加前驅物的暴露次數(以及總 :理次數)、同時仍在每單位時間内傳送等量或更大量的 完成基板而進行。反應物氣體的較低流量也會使處理條 件L疋化所*的時間較長,從而需要較長脈衝時間。較 低的腔室壓力可使反應物氣體更快速的自處理腔室排 出’從而需要較長脈衝時間。一般而言,可有利地選擇 處理條件,以使前驅物反應物氣體脈衝具有^夠的反應 物’而使至少一反應物單層可被吸收於基板上。 在帶有底層的基板上形成光阻 如上所述’基板可包含底層。現參照第2Α圖至第2D 圖’繪示了具有底層210之基板2〇〇β在特定實施例中, 底層210可為非晶碳底層。在其他實施例中,底層可為 旋塗之有機底層。非晶碳底廣與其形成方法係說明於共 同讓與之美國專利第6,573,請號、帛Μ4ι,34ι號、第' W3’526號、與第7,335,462 ^相對於在以氧為 之非等向性電锻钱刻製程中的二氧切,非晶碳層:有 28 201224190 之蝕刻選擇性介於100: 1與ίο: 1之範圍内。非晶碳底 層21 0可藉由各種方法而加以沉積,例如化學氣相沉 積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、 或其組合。取決於沉積中所使用的特定前驅物,非晶碳 底層210可包含碳與氫或碳、氫、氮與氧,以及其他推 質原子。 在一實施例中,非晶碳底層21〇係由烴化合物與惰性 氣體(例如氬、氦、氙、氡、氖、或其組合)之氣體混合 物所形成。在特定實施例中’碳來源為氣相烴、且一般 為未飽和烴、即碳原子間含有雙鍵或三鍵而易於聚合之 材料。纟-實施例中,烴化合物具有之一般化學式為
CxHy,其中X為介於2至4之範圍,y為介於2至1〇之 範圍。舉例而言,丙稀(_6)、丙快(C3H4)、丙烧(C3h8)、 丁烷(C4H10)、丁烯(C4h8)、丁炔(C4h6)、或乙炔(c2h2) 以及其組合,都可作為烴化合物使用。同樣地,視需要, 可於氣體-混合物中添加各種氣體,例如氫、氮、氨、或 其組合。氬氣、氦氣與氮氣係用於控制非晶碳層的密度 與沉積料。氮與氨的添加可用以控制非晶碳層的氮比 例’如下所述。 —般而言,下述沉積處理參數可用以形成非晶碳層。 處理參數之範圍係晶圓溫度介於約1〇〇。匸至約7⑽。〔 :二腔室壓力介於約!托耳至約20托耳之間,烴氣體济 量介於約5〇Sccm至約500sccm之間,RF功率介於麥 1W/in2至約20W/in2之間、例如介於約3W/in2至乡 29 201224190 且鐘層間隙為约則密耳至約_密耳。 B曰厌層可沉積至介於約扇埃至约Μ鳥埃 上述處理參數為非晶碳層提供了—般的沉積速率;; 約母分鐘_埃至約每分鐘5麟埃之範圍,且施 於由聖塔克拉拉夕;S田胃 之應用材料么司所供應的沉積腔 200mm或3 0〇mm之其也μ 1m 之基板上。可使用之沉積腔室之一實例 為Producer®系統上之辦⑧腔室,該系統由應用材料公 司所供應。 、也可使用其他的沉積腔室’且上述參數可根據用以形 成非晶碳層的特定沉積腔室而加以變化。舉例而古,其 他的沉積腔室可具有較大或較小之體積,從而需;比前 边應用材料公司所供應之沉積腔室更大或更小的氣體流 量0 如别述沉積之非晶碳底層21〇具有可調整之碳:氫比 :’介:氫含量約10%至約90%之範圍。需要控制非晶 炭層的氫比例來微調該層之光學特性與餘刻選擇性。具 體而言’當氫比例降低’沉積層的光學特性如吸收係數 00便會增加。同樣地,取決於所使用之蝕刻化學性質, 虽氫比例降低,非晶碳底層2 1 〇的抗蝕刻性便會增加。
因此,具有APF底層210之基板2〇〇可使用於ALD 處理序列中,以施用無機材料之輻射敏感薄層,此薄層 在圖案化之後可作為非等向性電漿蝕刻中ApF底層2工〇 對基板200之遮罩。對EUV敏感之薄有機層的ald方 式可包含任何的上述ALD處理序列,例如a、b、A、B 30 201224190 序列(各脈衝之間進行淨化),或_ a、b c、d序 脈衝之間進行淨化)。在—敎實例中,使用以金屬氧化 物為基礎之前驅物(例如揮發性主要基圏、或過渡金屬之 烴氧化物或南化物)「A」前驅物與「B」共反應物(例如 臭氧、h2o、h2〇2 ' h2〇/H2〇2之混合物或易於分解或 聚合之EUV敏感橋接配位基)之序列。在此特定情況中, WΜ擇&板溫度與化學性f ’以不會促進不可溶之 氧化物前驅物材料的形成,但可保持為可溶性,除非是 暴露於遠紫外線或EUV輻射,藉此提供—有效圖案化方 法。圖案顯影係利用顯影劑溶液而完成,例如適當之稀 釋驗性水溶液,該顯影劑溶液與用以顯影目前光阻材料 者類似。選擇性遠UVWUV暴露所產生的不可逆製程 (例如自由基與還原基製程)會促進暴露區域中不可溶之 錢材料的形成’此係因該材料之金屬氧化物類似組成 ㈣下方的非晶碳層而為圖案之氧反應性離子鞋刻轉移 提供了有效遮罩之故。 「A」前驅物的其他實例可為例如揮發性Hf或%之烴 氧化物前驅物’該前驅物耦合於「B」反應#,例如臭氧、 2〇 Η202、η20/η202之混合物、或一些其他Euv敏感 橋接配位基。在鶴的例子中,遠muv暴露後進行 上述之未暴露區域的選擇性濕式蝕刻移除(例如使用稀 釋驗性水溶液而以嫣酸鹽W(V2的形式移除未暴露的鎢 氧化物)’可用以產生抗氧反應性離子之遮罩。在此處理 序列中’ ALD前驅物的選擇(其受到有效表面飽和限制成 31 201224190 長而僅部分水解與縮a
、‘、σ )產生了保持為可溶性之非EUV 暴露區域。此允許進 _ 運订材枓的畜意設計,使材料呈現出 EUV誘生反應,從而道站 而導致圖案顯影所需之可溶性變化。 更一般而言,利用屌孚思^ 符、子層 >儿積(ALD)製程來形成可藉由 子込 或EUV暴露而圖案化之層22〇(如第π圖所 π )在ALD製私的不例實施例中,第一化學前驅物「a」) 經脈衝化,例如右笛_ 士 = & i + 在第一半反應中傳送含金屬物種之取代 基至基板表面。第—化學前驅物「A」經選擇,因此其金 ^與適當的下方物種(例如O' Η 4 〇H)反應,直到表面 完全飽和為止。過剩的未使用之反應物以及反應副產物 經移除,一般是藉由真空泵下送及/或藉由流動惰性淨化 氣體而加以移除。接著非金屬反應物B,例如含有反應 性氧或氮物種之氣體或電漿,傳送至表面,其中第一半 反應之先前反應的封端之取代基或配位基與「B」反應物 中新的配位基反應,產生交換副產物,與「B」反應物之 反應也屬於自身限制-反應僅進行直到金屬前驅物上的 反應性位址都消耗完為止。第二淨化週期一般用以移除 未使用之反應物與反應副產物。然後,第二前驅物「A」 (含有可與第一「A」前驅物中的取代基交鏈之反應性單 體)被脈衝化送至基板表面,第二前驅物ΓΑ」與非金屬 反應物中的配位基反應。一般而言,接著使用第三次淨 化來移除未使用之反應物以及反應副產物。A金屬氧化 物、「B」非金屬反應物、以及「A」前驅物脈衝(各脈衝 間一般包含淨化)的沉積循環會使在A前驅物中的取代 32 201224190 : A金屬氧化物前驅物及第二金屬氧化物前驅物 # Μ應性可交鏈單體在沉積層(可溶於顯影劑溶液)中 ’、…部分未反應。可知也可以使用其他上述ALD序 列’例如「A」前驅物、「B」反應物、「Cj前驅物與「D」 反應物之脈衝(在各脈衝之間包含淨化)。 3有部分未反應 < 可交鏈單體的沉積I 22〇接著經選 擇性暴露至遠料光或超紫外光,以產生圖案,使得沉 積層的暴露部分220(如帛1C圖中所示)含有來自第一與 第二前驅物之交鏈單體,以於基板上形成㈣氧化物薄 膜’該金屬氧化物薄膜比沉積層的未暴露部分2乃更不 溶於顯影劑溶液中。具有交鏈層部分22〇與可溶部分225 兩者之經圖案化基板可接著暴露於顯影劑溶液中,以移 除可溶部分225,而產生如第2D圖所示之結構。 現參第3 A圖至第3D圖,現將說明處理序列之另一實 施例。此製程可提供基板,該基板在圖案化暴露於輻射 時可變成選擇性地活化以與前驅物化學物質反應。在此 方式中,ALD材料可選擇性地成長在基板的圖案化區域 上。此基板係由以非晶碳為基礎之薄獏所構成,薄膜以 輕射敏感單體(例如在EUV暴露後可產生極性、反應性 表面單體(-OH、-NH等)之組成)封端、。此可藉由在apf 沉積之製程的最後數秒内導入穩定、非質子之含N及/ 或0之前驅物而完成。 第3A圖說明了基板300’基板300帶有可利用上述製 程而形成之非晶碳層310。在非晶碳層31〇上藉由輻射 33 201224190 (例如電子束、X射線、Euv、遠uv暴露)而形成薄表面 :320,輻射導致非晶碳層31〇之反應性(關於鍵結無機 則驅物)m此底層之輻射暴露可改變底層表面化學 性質,以使ALD介電薄膜選擇性成長於底層的暴露或非 暴露區域中,如本文將進一步說明者。或者,可藉由以 濕式或乾式真空f程形^積於非晶碳層3iq上方之輕 射敏感自組裝單層’而形成對電子束、X射線、EUV或 遠UV敏感之薄表面320。 /有非晶碳層310與經改質薄表面層320之基板300 係處於選擇性原子層沉積製 價表%中,以進仃氧化物蝕刻遮 罩之選擇性起始與ALD杰主 ». 成長。在輻射敏感表面薄層330 為以金屬為基礎(舉例而言’得自錫(IV)縮合觸媒前驅物) 實施例巾,需要在㈣暴露之後進行m先並在進行 選擇性ALD序列之前進行乾燥步驟。 此製知的效果將根撼起私旦册 种很骒輻射暴露(例如電子束、χ射線、 刪或遠UV)在表面32〇處所產生之薄潛在影像之選擇 性:定,輻射暴露導致與ALD製程中之揮發性金屬氧化
物刖驅物反應而形成改皙卩坫U Λ Μ ^ 取又資Q域330。特別有用於導向APF 薄膜製程末端的前驅物包含含 』匕3 3有雙鍵或三鍵且主要基 異質原子(特別是〇、N斑信絲从 ^ 與S)僅鍵結至碳(或彼此鍵結)而 非鍵結至鼠之反應性單體。八 σ併於(經由熱或低功率電 t聚合)APF型薄膜的表面 平览 T上之揮發性单體的非限制 實例包含丙烯腈、二乙缔 % τ &丙烯酸甲醋。改皙 區域330的化學物質 貝 WT包含其他基團以提升對比度及敏 201224190 感性,例如’含有第三丁基,第三丁基若保留,則可幫 助阻擋金屬氧化物ALD前驅物之吸收,同時作為在輕射 激發中間物提取後用於產生表面反應性〇_H、N_H或 鍵結之(氫)貯氣’藉此增進對比度。可在關閉電漿功率 之前或之後’立刻在前述非晶碳前驅物中加入經選擇之 添加物(例如以丙烯或乙炔為基礎之混合物)。 現參照第3C圖,可使用一種比上述方式更簡單的ald 製程而將改質區域330暴露於揮發性金屬氧化物中。因 此,可進打含金屬「A」前驅物與Γβ」反應物的 循環,使得前驅物「A」僅附著於表面改質ApF薄膜的 暴露、或未暴露區域,且接著前驅物「B」僅與吸收及反 應之前驅物「A」反應,而且該製程係繼續以僅於輕射暴 露區域中(或疋替代之光敏感層,僅於未暴露區域中)發 生氧化物遮罩前驅物之選擇性ALD成長。 非晶碳層310中不具有改質區域33〇以及碳層3ι〇上 沉積層區$ 340的區域可藉由適當㈣製程而被移除, 例如藉由氧之非等向性反應性離子蝕刻因而留下如第 3D圖所示之結構。
刻遮罩的特定組成及特性可隨 :也屋生於ALD序列中。餘 前驅物選擇而異,且除了 35 201224190 以-乳化發為基礎之組成外尚可包含多種材料,例如使 用鈦、錯或給之氟化物、煙氧化物、或院基酿胺錯合物 作為金屬前驅物,並使用水蒸氣作為反應物。 實例1-三矽烷胺與乙炔之PEALD沉積 光阻可經由電漿輔助原子層沉積(peald)而加以沉 積二前驅物為三矽烷胺,且沉積在基板上。基板表面可 接者暴露於乙炔(乙炔作為第二前驅物)。根據各種實施 二’此舉可在有電漿、或沒有電漿下進行。三残胺的 積或對乙块之暴露係進行到達到所需光阻厚度為止。 雖然不希望受任何特定理論限制’但在乙炔巾的未飽和 位址係提供了在暴露至輻射(例如euv、電子束等)時可 與三矽烷胺中的Si_H官能基交鏈之位址。 根據—或多個實施例,可解決與賴射(例如EUV微影) 有關的許多基本挑戰。本發明之實施例不應受理論限 制’應了解輻射(例如謂光子)會被吸收於僅達約阻劑 材料的上# 2〇0埃中’因此’更傳統之有機化合物—般 比因散佈至阻劑層中更深處之二次電子的產生與相互反 應而呈現出解析度損失者更厚。根據一或多個實施例, 蓴 阻劑層的圖案化可在該阻劑層之整個厚度間進 行’且該阻劑層之高度的氧化物硬遮罩式抗银刻性使 案可以轉移至下方薄膜中。 一個實施例」、「特定實施 一實施例」時,是代表關 、結構、材料或特性包含 在整份說明書中,在提及「 例」、「一或多個實施例」、或「 於實施例所描述之一特定特徵 36 201224190 於本發明的至少 一個實施例中。因此 不同位置所出現的「在 中j、「在一個實施例中 非必須指稱同一個發明 在整份說明書中 一個實施例中」、「在特定實施例 」或「在一實施例中」等用語並 實施例。此外,特定的特徵、結 稱、材料或特性係可以任何適當方式組合於一或多個實 & [J中上述方法的說明次序不應被視為限制,此等方 法也可以使用上述次戽 ,.B . ^ <人序以外、或疋次序有所刪減或增加 之操作。 應了解上述說明僅為摇述、而非限制之用。熟習該領 域技術之人士可在檢視上述說明後明顯得知許多其他實 'J因此本發明之範疇應參照如附申請專利範圍以 及申咕專利範圍之等效物之完整範圍而決定。 【圖式簡單說明】 為食匕詳、'、田了解本發明之上述特徵,可參照實施例而知 本發月之更特疋說明(簡要說明如上),其十一些實施例 係說明於如附圖式中。然應注意,如附圖式僅說明本發 月之典型實施例’因此不能被視為限制本發明之範疇之 用,因為本發明也可允許有其他的等效實施例。 第1A-1C圖說明了用於沉積光阻層以及在基板上形成 圖案之連續製程的實施例; 第2A-2D圖說明了用於沉積光阻層以及在基板上形成 圖案之連續製程的實施例’其中該基板上具有底層; 37 201224190 第3 A-3D圖說明了用於沉積光阻層以及在基板上形成 圖案之連續製程的實施例,其中該基板上具有底層;以 及 光阻層以及在基板 第4A_4C圖說明了用於沉積氧化石夕 上形成圖案之連續製程的實施例。 【主要元件符號說明】 100 基板 110 層部分 125 部分 2〇〇 基板 21〇 非晶碳底層 220 層 225 未暴露部分 300 基板 310 碳層 320 薄表面層 330 輻射敏感表面薄層 340 層區域 38
Claims (1)
- 201224190 七、申請專利範圍.· 1. 一種用於在一基板上形成一輻射敏感光阻之方法,包 含: 使一基板相繼暴露至一第一前驅物與一第 ^ —月1J驅 物的交替脈衝,該第一前驅物含有具可交鏈單體之取 代基以及一反應物,該反應物係選自臭氧、、 Η2〇2、NH3、反應性氧、反應性氮及反應性氫,該第 二前驅物含有反應性單體,該等反應性單體可與該第 一前驅物中的該等取代基交鏈形成一沉積層,使得在 該沉積層中該第一前驅物與該第二前驅物中的反應 性、可交鏈單體部分保持為未反應且可溶解於顯影劑 溶液;以及 選擇性使部分的該沉積層對輻射暴露以產生—圖 案,該輻射係選自超紫外線、遠紫外線、X射線與電 子束,在該圖案中該沉積層的暴露部分含有交鍵之單 體’該等交鏈之單體於該基板上形成一薄膜,該薄膜 比該沉積層的未暴露部分更不可溶。 2. 如請求項1所述之方法’其中該沉積層係藉由原子層 沉積而形成,包含: (a) 使該基板暴露至該第一前驅物之一脈衝,該第 一前驅物含有具沉積在該基板上之可交鏈單體的取代 基, (b) 使該基板暴露至一第一反應物脈衝以與該第, 39 201224190 前驅物表面反應,該第一反應物脈衝係選自臭氧、 H2〇、h2〇2、NH3、反應性氧、反應性氮及反應性氫; (c) 使該基板暴露至一第二前驅物’該第二前驅物 含有反應性單體,該等反應性單體可與該第一前驅物 中的該等取代基交鏈;以及 (d) 使該基板暴露至一第二反應物脈衝以與該第— 如驅物表面反應,該第二反應物脈衝係選自臭氧、 H2〇、H2〇2、NH3、反應性氧、反應性氮及反應性氫, 其中(a)至(d)包含一單原子層沉積循環,且該循環至少 重複一次。 3·如請求項2所述之方法,其中該第一前驅物與該第二 前驅物相同,或該第一前驅物與該第二前驅物不同。 4·如請求項2所述之方法,其中該第一前驅物為三矽烷 胺’且該第二前驅物為乙炔。 Ή求項丨至4中任—項所述之方法,其中該反應物 脈衝係選自反應性氧、氫與氮物種中之—者,其中該反 應性物種係於一遠端電漿中產生。 鍵之 如明求項1至4中任一項所述之方法,其中該等前驅 物係選自含金屬前驅物、揮發性含石夕前驅物、包含_ 月’J驅物、以及包含矽醇 7醇乙烯基、丙烯基或函代曱 基之前驅物。 、·冗積^求項1至4中任—項所述之方法,其中在形成該 =層Γ,該基板表面係塗佈以—非晶碳層或聚合物 该非晶碳層或聚合物薄膜係不溶於該顯影劑溶液 201224190 中ο 8·—種用於在一基板上形成一圖案化光阻之方法,包 含: 藉由原子層沉積使一基板相繼暴露至一含Si-H前 驅物與一含矽前驅物之交替脈衝,以於該基板上形成 一沉積層’其中該含<5夕前驅物含有可交鏈單體;以及 選擇性使部分的該層對輻射暴露,以形成一圖 案’其中該輻射係選自超紫外線、遠紫外線、X射線 與電子束’在該圖案中該沉積層的暴露部分比該基板 上该沉積層的未暴露部分更多交鏈,且該沉積層的該 等暴露部分係於該基板上形成一交鏈含矽薄膜。 9.如請求項8所述之方法,更包含在使該基板相繼暴露 至該含Si-H前驅物與含有可交鏈單體的該含矽前驅物之 後,使該基板暴露至一第一反應物脈衝,以與該第一前 驅物表面反應,其中該第一反應物脈衝係選自臭氧、 ΗζΟ、H2〇2、NH3、反應性氧、反應性氮及反應性氫。 1〇.如請求項8或9所述之方法,其中該等可交鏈單體包 含烴基、乙烯基、丙烯基或鹵代曱基,且該含si_H前驅 物係選自三碎院胺、^5·三料己院、二(二乙氨基)石夕 烷、二(丁氨基)矽烷、二氯矽烷、二溴矽烷、二碘矽烷 /、夕乙烷之群組,且其中提供一可交鏈單體之該第二含 石夕前驅物係含有—乙稀基取代基、—丙稀基取代基、一 :代:基取代基、烷氧化物、緩酸鹽、以及函化物中之 -或多者之—切前驅物’其對水暴料水解以形成石夕 201224190 醇取代基’其中至少部分在輻射暴露之前會於所產生等 膜中保持未縮合。 H·如請求項8或9所述之方法,其中該含SUH層之沉 積係使用在弓丨入該含Si-H前驅物前 '中或後立即執行2 一遠端電漿活化。 如請求項8或9所述之方法,其中㈣成該沉積層之 前,在該基板上沉積一底層,該底層係選自由化學氣相 况積所形成之含碳層與非晶碳層’且其中該沉積層的該 等暴露部分提供該底層之一遮罩。 A如請求項12所述之方法,更包含進行一氧反應性離 子蝕刻製程而經由該遮罩與該底層作圖案轉移,以形成 該圖案。 14. -種用於在一基板上形成一圖案化光阻之方法 含: 在S玄基板上形成一含碳薄膜; 在°亥含碳薄膜中形成表面層,該表面層對輻射敏 感; ^使一部分的該含碳薄膜對輻射暴露,以提供一暴 路表面部分’該輻射係選自超紫外線、遠紫外線' X 射線與電子束;以及 。藉由原子層沉積選擇性僅在該含碳薄膜的該等暴 露區域上沉積一含金屬薄膜。 15· 一種用於進行如往κ ^ ^ 灯如明求項1至13中任一項所述之方法 之设備,其中每4 ° °備包含一沉積腔室,該沉積腔室用於 42 201224190 在一基板上進行一薄膜之原子層沉積,該沉積腔室包含 一處理區以支撐該基板; 一第一前驅物入口,其與一第一前驅物之一供應 源流體相通,該第一前驅物具有含可交鏈單體之取代 基; 一反應物入口,其與一反應物氣體之一供應源流 體相通,該反應物氣體係選自臭氧、H20、H202、NH3、 反應性氧、反應性氮及反應性氫;以及 一第二前驅物之一供應源,其與該第一前驅物入 口或一第二前驅物入口流體相通,該第二前驅物含有 反應性單體,該等反應性單體可與該第一前驅物中的 該等取代基交鏈以形成一沉積層。 43
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US39039610P | 2010-10-06 | 2010-10-06 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW201224190A true TW201224190A (en) | 2012-06-16 |
Family
ID=45925410
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW100136064A TW201224190A (en) | 2010-10-06 | 2011-10-05 | Atomic layer deposition of photoresist materials and hard mask precursors |
TW100136310A TW201224191A (en) | 2010-10-06 | 2011-10-06 | Radiation patternable CVD film |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW100136310A TW201224191A (en) | 2010-10-06 | 2011-10-06 | Radiation patternable CVD film |
Country Status (5)
Country | Link |
---|---|
US (2) | US8465903B2 (zh) |
KR (1) | KR20140009169A (zh) |
CN (1) | CN103189962A (zh) |
TW (2) | TW201224190A (zh) |
WO (2) | WO2012048108A2 (zh) |
Cited By (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI696037B (zh) * | 2018-02-22 | 2020-06-11 | 日商信越化學工業股份有限公司 | 光阻材料及圖案形成方法 |
TWI730015B (zh) * | 2015-11-20 | 2021-06-11 | 美商蘭姆研究公司 | 氣相沉積的含金屬氧化物硬遮罩之極紫外光圖案化 |
US11209729B2 (en) | 2014-01-31 | 2021-12-28 | Lam Research Corporation | Vacuum-integrated hardmask processes and apparatus |
US11257674B2 (en) | 2017-05-16 | 2022-02-22 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
US11314168B2 (en) | 2020-01-15 | 2022-04-26 | Lam Research Corporation | Underlayer for photoresist adhesion and dose reduction |
TWI790407B (zh) * | 2018-11-13 | 2023-01-21 | 日商東京威力科創股份有限公司 | 抑制晶圓上的缺陷性、金屬微粒汙染、及膜成長的系統及方法 |
TWI796661B (zh) * | 2020-03-30 | 2023-03-21 | 台灣積體電路製造股份有限公司 | 半導體裝置之製造方法 |
TWI810405B (zh) * | 2018-11-30 | 2023-08-01 | 荷蘭商Asm 智慧財產控股公司 | 形成紫外輻射反應性含金屬氧化物膜之方法 |
US11921427B2 (en) | 2018-11-14 | 2024-03-05 | Lam Research Corporation | Methods for making hard masks useful in next-generation lithography |
TWI836233B (zh) * | 2020-07-01 | 2024-03-21 | 美商應用材料股份有限公司 | 形成光阻層的方法 |
US12062538B2 (en) | 2019-04-30 | 2024-08-13 | Lam Research Corporation | Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement |
US12084764B2 (en) | 2021-06-17 | 2024-09-10 | Applied Materials, Inc. | Vapor phase photoresists deposition |
Families Citing this family (379)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8728956B2 (en) | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9287113B2 (en) | 2012-11-08 | 2016-03-15 | Novellus Systems, Inc. | Methods for depositing films on sensitive substrates |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
TWI509695B (zh) | 2010-06-10 | 2015-11-21 | Asm Int | 使膜選擇性沈積於基板上的方法 |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
TW201224190A (en) * | 2010-10-06 | 2012-06-16 | Applied Materials Inc | Atomic layer deposition of photoresist materials and hard mask precursors |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9112003B2 (en) | 2011-12-09 | 2015-08-18 | Asm International N.V. | Selective formation of metallic films on metallic surfaces |
US8987494B2 (en) | 2012-04-11 | 2015-03-24 | Gelest Technologies, Inc. | Low molecular weight carbosilanes, precursors thereof, and methods of preparation |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9355839B2 (en) | 2012-10-23 | 2016-05-31 | Lam Research Corporation | Sub-saturated atomic layer deposition and conformal film deposition |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
US9171960B2 (en) | 2013-01-25 | 2015-10-27 | Qualcomm Mems Technologies, Inc. | Metal oxide layer composition control by atomic layer deposition for thin film transistor |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
TWI615497B (zh) * | 2013-02-28 | 2018-02-21 | 應用材料股份有限公司 | 金屬胺化物沉積前驅物及具有惰性安瓿襯裡之該前驅物的穩定化 |
US9612521B2 (en) | 2013-03-12 | 2017-04-04 | Applied Materials, Inc. | Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor |
US9632411B2 (en) | 2013-03-14 | 2017-04-25 | Applied Materials, Inc. | Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor |
US9354508B2 (en) | 2013-03-12 | 2016-05-31 | Applied Materials, Inc. | Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor |
US9417515B2 (en) | 2013-03-14 | 2016-08-16 | Applied Materials, Inc. | Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor |
US20140272684A1 (en) | 2013-03-12 | 2014-09-18 | Applied Materials, Inc. | Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor |
JP2015115599A (ja) * | 2013-12-13 | 2015-06-22 | 株式会社東芝 | パターン形成方法 |
US9305839B2 (en) * | 2013-12-19 | 2016-04-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Curing photo resist for improving etching selectivity |
US9934969B2 (en) * | 2014-01-31 | 2018-04-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Charged-particle-beam patterning without resist |
US9895715B2 (en) | 2014-02-04 | 2018-02-20 | Asm Ip Holding B.V. | Selective deposition of metals, metal oxides, and dielectrics |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10047435B2 (en) | 2014-04-16 | 2018-08-14 | Asm Ip Holding B.V. | Dual selective deposition |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9478411B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS |
US9478438B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
KR20160039739A (ko) | 2014-10-01 | 2016-04-12 | 삼성전자주식회사 | 하드 마스크막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법 |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9859138B2 (en) * | 2014-10-20 | 2018-01-02 | Lam Research Corporation | Integrated substrate defect detection using precision coating |
KR102319630B1 (ko) | 2014-10-23 | 2021-10-29 | 인프리아 코포레이션 | 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법 |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
FI126970B (en) * | 2014-12-22 | 2017-08-31 | Picosun Oy | Atomic layer cultivation in which the first and second species of source materials are present simultaneously |
KR102185458B1 (ko) * | 2015-02-03 | 2020-12-03 | 에이에스엠 아이피 홀딩 비.브이. | 선택적 퇴적 |
US9490145B2 (en) | 2015-02-23 | 2016-11-08 | Asm Ip Holding B.V. | Removal of surface passivation |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
US10428421B2 (en) | 2015-08-03 | 2019-10-01 | Asm Ip Holding B.V. | Selective deposition on metal or metallic surfaces relative to dielectric surfaces |
US10121699B2 (en) | 2015-08-05 | 2018-11-06 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US10566185B2 (en) | 2015-08-05 | 2020-02-18 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US10343186B2 (en) | 2015-10-09 | 2019-07-09 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10695794B2 (en) | 2015-10-09 | 2020-06-30 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10814349B2 (en) | 2015-10-09 | 2020-10-27 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
CN113534609A (zh) | 2015-10-13 | 2021-10-22 | 因普里亚公司 | 有机锡氧化物氢氧化物图案化组合物、前驱物及图案化 |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
WO2017095433A1 (en) * | 2015-12-04 | 2017-06-08 | Intel Corporation | Liquid precursor based dielectrics with control of carbon, oxygen and silicon composition |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10052622B2 (en) * | 2016-02-12 | 2018-08-21 | GM Global Technology Operations LLC | Method of forming a self-cleaning film system |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9981286B2 (en) | 2016-03-08 | 2018-05-29 | Asm Ip Holding B.V. | Selective formation of metal silicides |
US10551741B2 (en) | 2016-04-18 | 2020-02-04 | Asm Ip Holding B.V. | Method of forming a directed self-assembled layer on a substrate |
US10204782B2 (en) | 2016-04-18 | 2019-02-12 | Imec Vzw | Combined anneal and selective deposition process |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US11081342B2 (en) | 2016-05-05 | 2021-08-03 | Asm Ip Holding B.V. | Selective deposition using hydrophobic precursors |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10453701B2 (en) | 2016-06-01 | 2019-10-22 | Asm Ip Holding B.V. | Deposition of organic films |
US10373820B2 (en) | 2016-06-01 | 2019-08-06 | Asm Ip Holding B.V. | Deposition of organic films |
US9803277B1 (en) | 2016-06-08 | 2017-10-31 | Asm Ip Holding B.V. | Reaction chamber passivation and selective deposition of metallic films |
US10014212B2 (en) | 2016-06-08 | 2018-07-03 | Asm Ip Holding B.V. | Selective deposition of metallic films |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10134579B2 (en) * | 2016-11-14 | 2018-11-20 | Lam Research Corporation | Method for high modulus ALD SiO2 spacer |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US11430656B2 (en) | 2016-11-29 | 2022-08-30 | Asm Ip Holding B.V. | Deposition of oxide thin films |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9929012B1 (en) | 2016-12-14 | 2018-03-27 | International Business Machines Corporation | Resist having tuned interface hardmask layer for EUV exposure |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
CN108227412A (zh) * | 2016-12-15 | 2018-06-29 | Imec 非营利协会 | 光刻掩模层 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
TWI671792B (zh) | 2016-12-19 | 2019-09-11 | 荷蘭商Asm知識產權私人控股有限公司 | 基板處理設備 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
JP7169072B2 (ja) | 2017-02-14 | 2022-11-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
USD876504S1 (en) | 2017-04-03 | 2020-02-25 | Asm Ip Holding B.V. | Exhaust flow control ring for semiconductor deposition apparatus |
CN108695141A (zh) * | 2017-04-07 | 2018-10-23 | 新南威尔士大学创新公司 | 一种图形化材料的方法 |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US11501965B2 (en) | 2017-05-05 | 2022-11-15 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of metal oxide thin films |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
CN115233183A (zh) | 2017-05-16 | 2022-10-25 | Asm Ip 控股有限公司 | 电介质上氧化物的选择性peald |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10900120B2 (en) | 2017-07-14 | 2021-01-26 | Asm Ip Holding B.V. | Passivation against vapor deposition |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
DE102018110837A1 (de) | 2017-09-29 | 2019-04-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Prozesse zur Bildung von Merkmalen mit einem niedrigen K-Wert und dadurch gebildete Aufbauten |
US10304677B2 (en) | 2017-09-29 | 2019-05-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low-k feature formation processes and structures formed thereby |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
TWI791689B (zh) | 2017-11-27 | 2023-02-11 | 荷蘭商Asm智慧財產控股私人有限公司 | 包括潔淨迷你環境之裝置 |
CN111433886B (zh) * | 2017-11-29 | 2024-07-30 | 东京毅力科创株式会社 | 衬底的背侧摩擦减小 |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN116732497A (zh) | 2018-02-14 | 2023-09-12 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
JP7146690B2 (ja) | 2018-05-02 | 2022-10-04 | エーエスエム アイピー ホールディング ビー.ブイ. | 堆積および除去を使用した選択的層形成 |
KR20190128558A (ko) | 2018-05-08 | 2019-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
TWI764002B (zh) * | 2018-06-15 | 2022-05-11 | 美商應用材料股份有限公司 | 形成非晶碳膜及蝕刻基板之方法 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US11187983B2 (en) * | 2018-06-26 | 2021-11-30 | International Business Machines Corporation | EUV patterning of monolayers for selective atomic layer deposition |
KR20210024462A (ko) | 2018-06-27 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체 |
KR20210027265A (ko) | 2018-06-27 | 2021-03-10 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
JP2020056104A (ja) | 2018-10-02 | 2020-04-09 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
US10662526B2 (en) * | 2018-10-02 | 2020-05-26 | Lam Research Corporation | Method for selective deposition using a base-catalyzed inhibitor |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
CN113454763A (zh) * | 2019-02-14 | 2021-09-28 | 朗姆研究公司 | 使用牺牲性掩模的选择性蚀刻 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
KR102638425B1 (ko) | 2019-02-20 | 2024-02-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP7509548B2 (ja) | 2019-02-20 | 2024-07-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11965238B2 (en) | 2019-04-12 | 2024-04-23 | Asm Ip Holding B.V. | Selective deposition of metal oxides on metal surfaces |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
SG11202111962QA (en) | 2019-05-01 | 2021-11-29 | Lam Res Corp | Modulated atomic layer deposition |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
EP3990984A4 (en) * | 2019-06-27 | 2023-07-26 | Lam Research Corporation | DEVICE FOR DRY DEPOSITION OF PHOTOVARNISH |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
JP7359680B2 (ja) | 2019-07-22 | 2023-10-11 | 東京エレクトロン株式会社 | 熱処理装置及び処理方法 |
CN112289701A (zh) * | 2019-07-22 | 2021-01-29 | 东京毅力科创株式会社 | 热处理装置和热处理方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN114223050A (zh) * | 2019-08-01 | 2022-03-22 | 应用材料公司 | 图案化金属氧化物光刻胶的剂量减量 |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11139163B2 (en) | 2019-10-31 | 2021-10-05 | Asm Ip Holding B.V. | Selective deposition of SiOC thin films |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
US11822237B2 (en) | 2020-03-30 | 2023-11-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing a semiconductor device |
DE102021101486A1 (de) * | 2020-03-30 | 2021-09-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Photoresistschicht-oberflächenbehandlung, abdeckschichtund herstellungsverfahren einer photoresiststruktur |
US11705332B2 (en) * | 2020-03-30 | 2023-07-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern |
US11784046B2 (en) * | 2020-03-30 | 2023-10-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device |
TW202204658A (zh) | 2020-03-30 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 在兩不同表面上同時選擇性沉積兩不同材料 |
TW202140832A (zh) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽在金屬表面上之選擇性沉積 |
TW202140833A (zh) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 相對於金屬表面在介電表面上之氧化矽的選擇性沉積 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210127620A (ko) | 2020-04-13 | 2021-10-22 | 에이에스엠 아이피 홀딩 비.브이. | 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
US11942322B2 (en) * | 2020-05-22 | 2024-03-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing semiconductor devices and pattern formation method |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
US12002675B2 (en) * | 2020-06-18 | 2024-06-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photoresist layer outgassing prevention |
CN115702475A (zh) * | 2020-06-22 | 2023-02-14 | 朗姆研究公司 | 用于含金属光致抗蚀剂沉积的表面改性 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
US11621172B2 (en) | 2020-07-01 | 2023-04-04 | Applied Materials, Inc. | Vapor phase thermal etch solutions for metal oxo photoresists |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
WO2022016128A1 (en) * | 2020-07-17 | 2022-01-20 | Lam Research Corporation | Method of forming photo-sensitive hybrid films |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
KR20220030171A (ko) * | 2020-09-02 | 2022-03-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 패터닝된 특징부를 형성하기 위한 방법 및 시스템 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US20220342312A1 (en) * | 2021-04-26 | 2022-10-27 | Nanya Technology Corporation | Method for defining multiple resist patterns |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5885751A (en) | 1996-11-08 | 1999-03-23 | Applied Materials, Inc. | Method and apparatus for depositing deep UV photoresist films |
EP0942330A1 (en) | 1998-03-11 | 1999-09-15 | Applied Materials, Inc. | Process for depositing and developing a plasma polymerized organosilicon photoresist film |
US6072006A (en) * | 1998-11-06 | 2000-06-06 | Arch Specialty Chemicals, Inc. | Preparation of partially cross-linked polymers and their use in pattern formation |
KR100667731B1 (ko) | 1999-06-10 | 2007-01-11 | 삼성전자주식회사 | 일체형 디스크 기록/재생장치 |
KR100557599B1 (ko) * | 1999-08-31 | 2006-03-10 | 주식회사 하이닉스반도체 | 광조사에 의해 라디칼을 발생하는 그룹을 포함하는 포토레지스트단량체, 그의 공중합체 및 이를 이용한 포토레지스트 조성물 |
US6573030B1 (en) | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US6653045B2 (en) | 2001-02-16 | 2003-11-25 | International Business Machines Corporation | Radiation sensitive silicon-containing negative resists and use thereof |
US6989227B2 (en) | 2002-06-07 | 2006-01-24 | Applied Materials Inc. | E-beam curable resist and process for e-beam curing the resist |
US6835671B2 (en) * | 2002-08-16 | 2004-12-28 | Freescale Semiconductor, Inc. | Method of making an integrated circuit using an EUV mask formed by atomic layer deposition |
US7270931B2 (en) | 2003-10-06 | 2007-09-18 | International Business Machines Corporation | Silicon-containing compositions for spin-on ARC/hardmask materials |
US8153348B2 (en) * | 2008-02-20 | 2012-04-10 | Applied Materials, Inc. | Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch |
US7670894B2 (en) * | 2008-04-30 | 2010-03-02 | Intel Corporation | Selective high-k dielectric film deposition for semiconductor device |
TW201224190A (en) * | 2010-10-06 | 2012-06-16 | Applied Materials Inc | Atomic layer deposition of photoresist materials and hard mask precursors |
-
2011
- 2011-10-05 TW TW100136064A patent/TW201224190A/zh unknown
- 2011-10-06 US US13/267,341 patent/US8465903B2/en not_active Expired - Fee Related
- 2011-10-06 US US13/267,213 patent/US8536068B2/en active Active
- 2011-10-06 WO PCT/US2011/055102 patent/WO2012048108A2/en active Application Filing
- 2011-10-06 KR KR1020137011595A patent/KR20140009169A/ko not_active Application Discontinuation
- 2011-10-06 WO PCT/US2011/055074 patent/WO2012048094A2/en active Application Filing
- 2011-10-06 CN CN2011800519658A patent/CN103189962A/zh active Pending
- 2011-10-06 TW TW100136310A patent/TW201224191A/zh unknown
Cited By (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11209729B2 (en) | 2014-01-31 | 2021-12-28 | Lam Research Corporation | Vacuum-integrated hardmask processes and apparatus |
TWI787829B (zh) * | 2015-11-20 | 2022-12-21 | 美商蘭姆研究公司 | 氣相沉積的含金屬氧化物硬遮罩之極紫外光圖案化 |
TWI730015B (zh) * | 2015-11-20 | 2021-06-11 | 美商蘭姆研究公司 | 氣相沉積的含金屬氧化物硬遮罩之極紫外光圖案化 |
US11257674B2 (en) | 2017-05-16 | 2022-02-22 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
TWI696037B (zh) * | 2018-02-22 | 2020-06-11 | 日商信越化學工業股份有限公司 | 光阻材料及圖案形成方法 |
TWI790407B (zh) * | 2018-11-13 | 2023-01-21 | 日商東京威力科創股份有限公司 | 抑制晶圓上的缺陷性、金屬微粒汙染、及膜成長的系統及方法 |
US11921427B2 (en) | 2018-11-14 | 2024-03-05 | Lam Research Corporation | Methods for making hard masks useful in next-generation lithography |
TWI810405B (zh) * | 2018-11-30 | 2023-08-01 | 荷蘭商Asm 智慧財產控股公司 | 形成紫外輻射反應性含金屬氧化物膜之方法 |
US12062538B2 (en) | 2019-04-30 | 2024-08-13 | Lam Research Corporation | Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement |
US11314168B2 (en) | 2020-01-15 | 2022-04-26 | Lam Research Corporation | Underlayer for photoresist adhesion and dose reduction |
US11988965B2 (en) | 2020-01-15 | 2024-05-21 | Lam Research Corporation | Underlayer for photoresist adhesion and dose reduction |
TWI796661B (zh) * | 2020-03-30 | 2023-03-21 | 台灣積體電路製造股份有限公司 | 半導體裝置之製造方法 |
TWI836233B (zh) * | 2020-07-01 | 2024-03-21 | 美商應用材料股份有限公司 | 形成光阻層的方法 |
US12084764B2 (en) | 2021-06-17 | 2024-09-10 | Applied Materials, Inc. | Vapor phase photoresists deposition |
Also Published As
Publication number | Publication date |
---|---|
WO2012048094A3 (en) | 2012-07-26 |
US20120088369A1 (en) | 2012-04-12 |
TW201224191A (en) | 2012-06-16 |
WO2012048094A2 (en) | 2012-04-12 |
US20120088193A1 (en) | 2012-04-12 |
US8465903B2 (en) | 2013-06-18 |
WO2012048108A2 (en) | 2012-04-12 |
KR20140009169A (ko) | 2014-01-22 |
CN103189962A (zh) | 2013-07-03 |
WO2012048108A3 (en) | 2012-07-05 |
US8536068B2 (en) | 2013-09-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW201224190A (en) | Atomic layer deposition of photoresist materials and hard mask precursors | |
US11921427B2 (en) | Methods for making hard masks useful in next-generation lithography | |
JP6950012B2 (ja) | SiOCN薄膜の形成 | |
TWI246633B (en) | Method of pattern etching a low k dielectric layen | |
KR20210007862A (ko) | 포토레지스트 하부층을 포함한 구조체 및 이의 형성 방법 | |
JP2024045257A (ja) | Euvパターン化可能ハードマスクを形成するための方法 | |
TW202022493A (zh) | 形成紫外輻射反應性含金屬氧化物膜之方法 | |
TW200804614A (en) | Curing dielectric films under a reducing atmosphere | |
TW201030798A (en) | Front end of line plasma mediated ashing processes and apparatus | |
TW201220004A (en) | Mask pattern forming method, fine pattern forming method, and film deposition apparatus | |
WO2011036816A1 (ja) | パターン形成方法 | |
CN102027572A (zh) | 使用共形等离子体增强化学气相沉积(pecvd)膜来缩减关键尺寸的方法 | |
TW200916967A (en) | Antireflective coatings | |
JP2009141329A (ja) | 液浸リソグラフィーにおけるパターン崩壊を防止するためのプラズマ表面処理 | |
TW200939346A (en) | Elimination of photoresis material collapse and poisoning in 45-nm feature size using dry or immersion lithography | |
CN1867695B (zh) | 改善沉积的介电膜上的显影后光刻胶外形的方法 | |
CN116134383A (zh) | 用于含金属光致抗蚀剂的显影的金属螯合剂 | |
KR20230051195A (ko) | 감광성 하이브리드 막들을 형성하는 방법 | |
WO2023245047A1 (en) | Tin precursors for deposition of euv dry resist | |
CN116888536A (zh) | 含卤素及脂肪族的有机锡光致抗蚀剂及其方法 | |
TWI845559B (zh) | 可用於次世代微影法中的硬遮罩製作方法 | |
TWI839027B (zh) | 含碘碳膜之沈積 | |
TW202430689A (zh) | 含碘碳膜之沈積 | |
TW202401131A (zh) | 含金屬光阻的顯影後處理 | |
KR101027513B1 (ko) | 알카인(alkyne)화합물의 단분자막형성방법 |