TWI781368B - 處理基板的方法 - Google Patents
處理基板的方法 Download PDFInfo
- Publication number
- TWI781368B TWI781368B TW109102058A TW109102058A TWI781368B TW I781368 B TWI781368 B TW I781368B TW 109102058 A TW109102058 A TW 109102058A TW 109102058 A TW109102058 A TW 109102058A TW I781368 B TWI781368 B TW I781368B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- organic layer
- hard mask
- photoresist
- photoresist layer
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims description 100
- 239000000758 substrate Substances 0.000 title claims description 56
- 239000012044 organic layer Substances 0.000 claims abstract description 119
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 112
- 230000008021 deposition Effects 0.000 claims abstract description 29
- 239000010410 layer Substances 0.000 claims description 181
- 239000007789 gas Substances 0.000 claims description 81
- 229930195733 hydrocarbon Natural products 0.000 claims description 59
- 150000002430 hydrocarbons Chemical class 0.000 claims description 59
- 239000004215 Carbon black (E152) Substances 0.000 claims description 57
- 230000008569 process Effects 0.000 claims description 48
- 238000000151 deposition Methods 0.000 claims description 38
- -1 oxygen ions Chemical class 0.000 claims description 31
- 238000005530 etching Methods 0.000 claims description 27
- 229910003481 amorphous carbon Inorganic materials 0.000 claims description 20
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 18
- 239000003085 diluting agent Substances 0.000 claims description 17
- GETQZCLCWQTVFV-UHFFFAOYSA-N trimethylamine Chemical compound CN(C)C GETQZCLCWQTVFV-UHFFFAOYSA-N 0.000 claims description 16
- ZMANZCXQSJIPKH-UHFFFAOYSA-N Triethylamine Chemical compound CCN(CC)CC ZMANZCXQSJIPKH-UHFFFAOYSA-N 0.000 claims description 12
- JFDZBHWFFUWGJE-UHFFFAOYSA-N benzonitrile Chemical compound N#CC1=CC=CC=C1 JFDZBHWFFUWGJE-UHFFFAOYSA-N 0.000 claims description 12
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 10
- 239000001301 oxygen Substances 0.000 claims description 10
- 229910052760 oxygen Inorganic materials 0.000 claims description 10
- 238000000354 decomposition reaction Methods 0.000 claims description 9
- PAYRUJLWNCNPSJ-UHFFFAOYSA-N Aniline Chemical compound NC1=CC=CC=C1 PAYRUJLWNCNPSJ-UHFFFAOYSA-N 0.000 claims description 8
- ROSDSFDQCJNGOL-UHFFFAOYSA-N Dimethylamine Chemical compound CNC ROSDSFDQCJNGOL-UHFFFAOYSA-N 0.000 claims description 8
- BAVYZALUXZFZLV-UHFFFAOYSA-N Methylamine Chemical compound NC BAVYZALUXZFZLV-UHFFFAOYSA-N 0.000 claims description 8
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 claims description 8
- SMWDFEZZVXVKRB-UHFFFAOYSA-N Quinoline Chemical compound N1=CC=CC2=CC=CC=C21 SMWDFEZZVXVKRB-UHFFFAOYSA-N 0.000 claims description 8
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 7
- 239000011737 fluorine Substances 0.000 claims description 7
- 229910052731 fluorine Inorganic materials 0.000 claims description 7
- QQONPFPTGQHPMA-UHFFFAOYSA-N propylene Natural products CC=C QQONPFPTGQHPMA-UHFFFAOYSA-N 0.000 claims description 7
- 125000004805 propylene group Chemical group [H]C([H])([H])C([H])([*:1])C([H])([H])[*:2] 0.000 claims description 7
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 6
- 150000001336 alkenes Chemical class 0.000 claims description 5
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 claims description 5
- 229910021529 ammonia Inorganic materials 0.000 claims description 5
- 230000015572 biosynthetic process Effects 0.000 claims description 5
- NLHHRLWOUZZQLW-UHFFFAOYSA-N Acrylonitrile Chemical compound C=CC#N NLHHRLWOUZZQLW-UHFFFAOYSA-N 0.000 claims description 4
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 claims description 4
- 150000001345 alkine derivatives Chemical class 0.000 claims description 3
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 claims description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 2
- 229910052796 boron Inorganic materials 0.000 claims description 2
- 125000002887 hydroxy group Chemical group [H]O* 0.000 claims description 2
- 238000012512 characterization method Methods 0.000 claims 1
- 239000003575 carbonaceous material Substances 0.000 abstract description 5
- 239000011368 organic material Substances 0.000 abstract 1
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 37
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 20
- 229910052799 carbon Inorganic materials 0.000 description 20
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 19
- 229910052757 nitrogen Inorganic materials 0.000 description 19
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 16
- 239000000463 material Substances 0.000 description 13
- 229910052786 argon Inorganic materials 0.000 description 11
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 10
- 239000012895 dilution Substances 0.000 description 10
- 238000010790 dilution Methods 0.000 description 10
- 229910052734 helium Inorganic materials 0.000 description 10
- 239000002243 precursor Substances 0.000 description 10
- 229910052710 silicon Inorganic materials 0.000 description 10
- 239000010703 silicon Substances 0.000 description 10
- 239000001307 helium Substances 0.000 description 9
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 9
- 150000002500 ions Chemical class 0.000 description 9
- 229910052739 hydrogen Inorganic materials 0.000 description 7
- 239000001257 hydrogen Substances 0.000 description 7
- 239000012159 carrier gas Substances 0.000 description 6
- 238000001459 lithography Methods 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 6
- 150000001875 compounds Chemical class 0.000 description 5
- 239000000203 mixture Substances 0.000 description 5
- 230000003287 optical effect Effects 0.000 description 5
- 238000000059 patterning Methods 0.000 description 5
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 5
- 229920005591 polysilicon Polymers 0.000 description 5
- 239000000126 substance Substances 0.000 description 5
- 150000001335 aliphatic alkanes Chemical class 0.000 description 4
- 229910021417 amorphous silicon Inorganic materials 0.000 description 4
- 230000008033 biological extinction Effects 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- ZQBFAOFFOQMSGJ-UHFFFAOYSA-N hexafluorobenzene Chemical compound FC1=C(F)C(F)=C(F)C(F)=C1F ZQBFAOFFOQMSGJ-UHFFFAOYSA-N 0.000 description 4
- 150000002431 hydrogen Chemical class 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 238000000206 photolithography Methods 0.000 description 4
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 3
- WEVYAHXRMPXWCK-UHFFFAOYSA-N Acetonitrile Chemical compound CC#N WEVYAHXRMPXWCK-UHFFFAOYSA-N 0.000 description 3
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 3
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 3
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 3
- 150000001491 aromatic compounds Chemical class 0.000 description 3
- 238000004380 ashing Methods 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- AFABGHUZZDYHJO-UHFFFAOYSA-N dimethyl butane Natural products CCCC(C)C AFABGHUZZDYHJO-UHFFFAOYSA-N 0.000 description 3
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 3
- RAXXELZNTBOGNW-UHFFFAOYSA-N imidazole Natural products C1=CNC=N1 RAXXELZNTBOGNW-UHFFFAOYSA-N 0.000 description 3
- 229910052743 krypton Inorganic materials 0.000 description 3
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- VLKZOEOYAKHREP-UHFFFAOYSA-N n-Hexane Chemical compound CCCCCC VLKZOEOYAKHREP-UHFFFAOYSA-N 0.000 description 3
- OFBQJSOFQDEBGM-UHFFFAOYSA-N n-pentane Natural products CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 description 3
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 230000005855 radiation Effects 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 229910052724 xenon Inorganic materials 0.000 description 3
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 3
- SOZFIIXUNAKEJP-UHFFFAOYSA-N 1,2,3,4-tetrafluorobenzene Chemical compound FC1=CC=C(F)C(F)=C1F SOZFIIXUNAKEJP-UHFFFAOYSA-N 0.000 description 2
- GOYDNIKZWGIXJT-UHFFFAOYSA-N 1,2-difluorobenzene Chemical compound FC1=CC=CC=C1F GOYDNIKZWGIXJT-UHFFFAOYSA-N 0.000 description 2
- HNRMPXKDFBEGFZ-UHFFFAOYSA-N 2,2-dimethylbutane Chemical compound CCC(C)(C)C HNRMPXKDFBEGFZ-UHFFFAOYSA-N 0.000 description 2
- ZFFMLCVRJBZUDZ-UHFFFAOYSA-N 2,3-dimethylbutane Chemical compound CC(C)C(C)C ZFFMLCVRJBZUDZ-UHFFFAOYSA-N 0.000 description 2
- HZAXFHJVJLSVMW-UHFFFAOYSA-N 2-Aminoethan-1-ol Chemical compound NCCO HZAXFHJVJLSVMW-UHFFFAOYSA-N 0.000 description 2
- KXGFMDJXCMQABM-UHFFFAOYSA-N 2-methoxy-6-methylphenol Chemical compound [CH]OC1=CC=CC([CH])=C1O KXGFMDJXCMQABM-UHFFFAOYSA-N 0.000 description 2
- QTWJRLJHJPIABL-UHFFFAOYSA-N 2-methylphenol;3-methylphenol;4-methylphenol Chemical compound CC1=CC=C(O)C=C1.CC1=CC=CC(O)=C1.CC1=CC=CC=C1O QTWJRLJHJPIABL-UHFFFAOYSA-N 0.000 description 2
- PFEOZHBOMNWTJB-UHFFFAOYSA-N 3-methylpentane Chemical compound CCC(C)CC PFEOZHBOMNWTJB-UHFFFAOYSA-N 0.000 description 2
- KWOLFJPFCHCOCG-UHFFFAOYSA-N Acetophenone Chemical compound CC(=O)C1=CC=CC=C1 KWOLFJPFCHCOCG-UHFFFAOYSA-N 0.000 description 2
- KAKZBPTYRLMSJV-UHFFFAOYSA-N Butadiene Chemical compound C=CC=C KAKZBPTYRLMSJV-UHFFFAOYSA-N 0.000 description 2
- YNQLUTRBYVCPMQ-UHFFFAOYSA-N Ethylbenzene Chemical compound CCC1=CC=CC=C1 YNQLUTRBYVCPMQ-UHFFFAOYSA-N 0.000 description 2
- UEXCJVNBTNXOEH-UHFFFAOYSA-N Ethynylbenzene Chemical group C#CC1=CC=CC=C1 UEXCJVNBTNXOEH-UHFFFAOYSA-N 0.000 description 2
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 2
- YLQBMQCUIZJEEH-UHFFFAOYSA-N Furan Chemical compound C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 2
- RRHGJUQNOFWUDK-UHFFFAOYSA-N Isoprene Chemical compound CC(=C)C=C RRHGJUQNOFWUDK-UHFFFAOYSA-N 0.000 description 2
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 2
- YNAVUWVOSKDBBP-UHFFFAOYSA-N Morpholine Chemical compound C1COCCN1 YNAVUWVOSKDBBP-UHFFFAOYSA-N 0.000 description 2
- ISWSIDIOOBJBQZ-UHFFFAOYSA-N Phenol Chemical compound OC1=CC=CC=C1 ISWSIDIOOBJBQZ-UHFFFAOYSA-N 0.000 description 2
- NQRYJNQNLNOLGT-UHFFFAOYSA-N Piperidine Chemical compound C1CCNCC1 NQRYJNQNLNOLGT-UHFFFAOYSA-N 0.000 description 2
- ATUOYWHBWRKTHZ-UHFFFAOYSA-N Propane Chemical compound CCC ATUOYWHBWRKTHZ-UHFFFAOYSA-N 0.000 description 2
- KAESVJOAVNADME-UHFFFAOYSA-N Pyrrole Chemical compound C=1C=CNC=1 KAESVJOAVNADME-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- PPBRXRYQALVLMV-UHFFFAOYSA-N Styrene Chemical compound C=CC1=CC=CC=C1 PPBRXRYQALVLMV-UHFFFAOYSA-N 0.000 description 2
- RDOXTESZEPMUJZ-UHFFFAOYSA-N anisole Chemical compound COC1=CC=CC=C1 RDOXTESZEPMUJZ-UHFFFAOYSA-N 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- HUMNYLRZRPPJDN-UHFFFAOYSA-N benzaldehyde Chemical compound O=CC1=CC=CC=C1 HUMNYLRZRPPJDN-UHFFFAOYSA-N 0.000 description 2
- QARVLSVVCXYDNA-UHFFFAOYSA-N bromobenzene Chemical compound BrC1=CC=CC=C1 QARVLSVVCXYDNA-UHFFFAOYSA-N 0.000 description 2
- KDKYADYSIPSCCQ-UHFFFAOYSA-N but-1-yne Chemical compound CCC#C KDKYADYSIPSCCQ-UHFFFAOYSA-N 0.000 description 2
- 229930003836 cresol Natural products 0.000 description 2
- 238000004132 cross linking Methods 0.000 description 2
- 238000001723 curing Methods 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 230000032798 delamination Effects 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 238000000671 immersion lithography Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- NNPPMTNAJDCUHE-UHFFFAOYSA-N isobutane Chemical compound CC(C)C NNPPMTNAJDCUHE-UHFFFAOYSA-N 0.000 description 2
- QWTDNUCVQCZILF-UHFFFAOYSA-N isopentane Chemical compound CCC(C)C QWTDNUCVQCZILF-UHFFFAOYSA-N 0.000 description 2
- 239000007791 liquid phase Substances 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- QPJVMBTYPHYUOC-UHFFFAOYSA-N methyl benzoate Chemical compound COC(=O)C1=CC=CC=C1 QPJVMBTYPHYUOC-UHFFFAOYSA-N 0.000 description 2
- PYLWMHQQBFSUBP-UHFFFAOYSA-N monofluorobenzene Chemical compound FC1=CC=CC=C1 PYLWMHQQBFSUBP-UHFFFAOYSA-N 0.000 description 2
- NBVXSUQYWXRMNV-UHFFFAOYSA-N monofluoromethane Natural products FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 2
- CRSOQBOWXPBRES-UHFFFAOYSA-N neopentane Chemical compound CC(C)(C)C CRSOQBOWXPBRES-UHFFFAOYSA-N 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 239000005011 phenolic resin Substances 0.000 description 2
- 229920001568 phenolic resin Polymers 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 238000005549 size reduction Methods 0.000 description 2
- 229910021384 soft carbon Inorganic materials 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- VZGDMQKNWNREIO-UHFFFAOYSA-N tetrachloromethane Chemical compound ClC(Cl)(Cl)Cl VZGDMQKNWNREIO-UHFFFAOYSA-N 0.000 description 2
- 235000012431 wafers Nutrition 0.000 description 2
- YHQGMYUVUMAZJR-UHFFFAOYSA-N α-terpinene Chemical compound CC(C)C1=CC=C(C)CC1 YHQGMYUVUMAZJR-UHFFFAOYSA-N 0.000 description 2
- PMJHHCWVYXUKFD-SNAWJCMRSA-N (E)-1,3-pentadiene Chemical compound C\C=C\C=C PMJHHCWVYXUKFD-SNAWJCMRSA-N 0.000 description 1
- ZZAWSLKMIFIDFH-UHFFFAOYSA-N 1,1,1,2,2,3-hexafluorooctane Chemical compound CCCCCC(F)C(F)(F)C(F)(F)F ZZAWSLKMIFIDFH-UHFFFAOYSA-N 0.000 description 1
- JPQFXGPIWCJNSE-UHFFFAOYSA-N 1,1,1,2,2-pentafluorodecane Chemical compound CCCCCCCCC(F)(F)C(F)(F)F JPQFXGPIWCJNSE-UHFFFAOYSA-N 0.000 description 1
- PDNINSYYZYGZFZ-UHFFFAOYSA-N 1,1,1,2,2-pentafluorooctane Chemical compound CCCCCCC(F)(F)C(F)(F)F PDNINSYYZYGZFZ-UHFFFAOYSA-N 0.000 description 1
- KBOAVUSWPXRQBC-UHFFFAOYSA-N 1,1,1,2,2-pentafluoropentane Chemical compound CCCC(F)(F)C(F)(F)F KBOAVUSWPXRQBC-UHFFFAOYSA-N 0.000 description 1
- LVGUZGTVOIAKKC-UHFFFAOYSA-N 1,1,1,2-tetrafluoroethane Chemical compound FCC(F)(F)F LVGUZGTVOIAKKC-UHFFFAOYSA-N 0.000 description 1
- ISMIYCUQQQLPBZ-UHFFFAOYSA-N 1,1,1,7,7,7-hexafluoroheptane Chemical compound FC(CCCCCC(F)(F)F)(F)F ISMIYCUQQQLPBZ-UHFFFAOYSA-N 0.000 description 1
- GQYLIJMTXRHXDD-UHFFFAOYSA-N 1,1,1,9,9,9-hexafluorononane Chemical compound FC(F)(F)CCCCCCCC(F)(F)F GQYLIJMTXRHXDD-UHFFFAOYSA-N 0.000 description 1
- LDRPULCXZDDSGE-UHFFFAOYSA-N 1,1,1-trifluorobutane Chemical compound CCCC(F)(F)F LDRPULCXZDDSGE-UHFFFAOYSA-N 0.000 description 1
- LGXVIGDEPROXKC-UHFFFAOYSA-N 1,1-dichloroethene Chemical group ClC(Cl)=C LGXVIGDEPROXKC-UHFFFAOYSA-N 0.000 description 1
- CPLSOYONVLSMGL-UHFFFAOYSA-N 1,1-difluorobutane Chemical compound CCCC(F)F CPLSOYONVLSMGL-UHFFFAOYSA-N 0.000 description 1
- RWRHXNXYHWXCCL-UHFFFAOYSA-N 1,1-difluorodecane Chemical compound CCCCCCCCCC(F)F RWRHXNXYHWXCCL-UHFFFAOYSA-N 0.000 description 1
- DVQDTBDVUIXQMV-UHFFFAOYSA-N 1,1-difluorooctane Chemical compound CCCCCCCC(F)F DVQDTBDVUIXQMV-UHFFFAOYSA-N 0.000 description 1
- DURPTKYDGMDSBL-UHFFFAOYSA-N 1-butoxybutane Chemical group CCCCOCCCC DURPTKYDGMDSBL-UHFFFAOYSA-N 0.000 description 1
- OSSNTDFYBPYIEC-UHFFFAOYSA-N 1-ethenylimidazole Chemical compound C=CN1C=CN=C1 OSSNTDFYBPYIEC-UHFFFAOYSA-N 0.000 description 1
- FCBJLBCGHCTPAQ-UHFFFAOYSA-N 1-fluorobutane Chemical compound CCCCF FCBJLBCGHCTPAQ-UHFFFAOYSA-N 0.000 description 1
- JRHNUZCXXOTJCA-UHFFFAOYSA-N 1-fluoropropane Chemical compound CCCF JRHNUZCXXOTJCA-UHFFFAOYSA-N 0.000 description 1
- YPDABMXTZAZGFC-UHFFFAOYSA-N 2-(butoxymethyl)furan Chemical group CCCCOCC1=CC=CO1 YPDABMXTZAZGFC-UHFFFAOYSA-N 0.000 description 1
- CQMDBLRKZWOZIW-UHFFFAOYSA-N 2-Methyl-2-phenyl-undecane Chemical compound CC(C)(C)CC(C)(C)C1=CC=CC=C1 CQMDBLRKZWOZIW-UHFFFAOYSA-N 0.000 description 1
- PRNZBCYBKGCOFI-UHFFFAOYSA-N 2-fluoropropane Chemical compound CC(C)F PRNZBCYBKGCOFI-UHFFFAOYSA-N 0.000 description 1
- FBWYFZYJEAMPHJ-UHFFFAOYSA-N 3-fluoropentane Chemical compound CCC(F)CC FBWYFZYJEAMPHJ-UHFFFAOYSA-N 0.000 description 1
- OHHLOGCEVBSGDO-UHFFFAOYSA-N 5-fluorononane Chemical compound CCCCC(F)CCCC OHHLOGCEVBSGDO-UHFFFAOYSA-N 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- WSTYNZDAOAEEKG-UHFFFAOYSA-N Mayol Natural products CC1=C(O)C(=O)C=C2C(CCC3(C4CC(C(CC4(CCC33C)C)=O)C)C)(C)C3=CC=C21 WSTYNZDAOAEEKG-UHFFFAOYSA-N 0.000 description 1
- VVQNEPGJFQJSBK-UHFFFAOYSA-N Methyl methacrylate Chemical group COC(=O)C(C)=C VVQNEPGJFQJSBK-UHFFFAOYSA-N 0.000 description 1
- CTQNGGLPUBDAKN-UHFFFAOYSA-N O-Xylene Chemical compound CC1=CC=CC=C1C CTQNGGLPUBDAKN-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- QYKIQEUNHZKYBP-UHFFFAOYSA-N Vinyl ether Chemical compound C=COC=C QYKIQEUNHZKYBP-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- IPBVNPXQWQGGJP-UHFFFAOYSA-N acetic acid phenyl ester Natural products CC(=O)OC1=CC=CC=C1 IPBVNPXQWQGGJP-UHFFFAOYSA-N 0.000 description 1
- 239000002194 amorphous carbon material Substances 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- XNNQFQFUQLJSQT-UHFFFAOYSA-N bromo(trichloro)methane Chemical compound ClC(Cl)(Cl)Br XNNQFQFUQLJSQT-UHFFFAOYSA-N 0.000 description 1
- 239000001273 butane Substances 0.000 description 1
- WFYPICNXBKQZGB-UHFFFAOYSA-N butenyne Chemical group C=CC#C WFYPICNXBKQZGB-UHFFFAOYSA-N 0.000 description 1
- 239000011203 carbon fibre reinforced carbon Substances 0.000 description 1
- 150000001723 carbon free-radicals Chemical class 0.000 description 1
- 239000007833 carbon precursor Substances 0.000 description 1
- 238000001311 chemical methods and process Methods 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- KYKAJFCTULSVSH-UHFFFAOYSA-N chloro(fluoro)methane Chemical compound F[C]Cl KYKAJFCTULSVSH-UHFFFAOYSA-N 0.000 description 1
- 229940114081 cinnamate Drugs 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 125000000753 cycloalkyl group Chemical group 0.000 description 1
- 238000003795 desorption Methods 0.000 description 1
- 230000001627 detrimental effect Effects 0.000 description 1
- URQUNWYOBNUYJQ-UHFFFAOYSA-N diazonaphthoquinone Chemical compound C1=CC=C2C(=O)C(=[N]=[N])C=CC2=C1 URQUNWYOBNUYJQ-UHFFFAOYSA-N 0.000 description 1
- 150000001993 dienes Chemical class 0.000 description 1
- NZZFYRREKKOMAT-UHFFFAOYSA-N diiodomethane Chemical compound ICI NZZFYRREKKOMAT-UHFFFAOYSA-N 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000005670 electromagnetic radiation Effects 0.000 description 1
- 239000003822 epoxy resin Substances 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- UHCBBWUQDAVSMS-UHFFFAOYSA-N fluoroethane Chemical compound CCF UHCBBWUQDAVSMS-UHFFFAOYSA-N 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 238000009499 grossing Methods 0.000 description 1
- 150000008282 halocarbons Chemical class 0.000 description 1
- AHAREKHAZNPPMI-UHFFFAOYSA-N hexa-1,3-diene Chemical compound CCC=CC=C AHAREKHAZNPPMI-UHFFFAOYSA-N 0.000 description 1
- WMIYKQLTONQJES-UHFFFAOYSA-N hexafluoroethane Chemical compound FC(F)(F)C(F)(F)F WMIYKQLTONQJES-UHFFFAOYSA-N 0.000 description 1
- 125000004836 hexamethylene group Chemical group [H]C([H])([*:2])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[*:1] 0.000 description 1
- 230000001771 impaired effect Effects 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 239000001282 iso-butane Substances 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- UZKWTJUDCOPSNM-UHFFFAOYSA-N methoxybenzene Substances CCCCOC=C UZKWTJUDCOPSNM-UHFFFAOYSA-N 0.000 description 1
- 229940095102 methyl benzoate Drugs 0.000 description 1
- IJDNQMDRQITEOD-UHFFFAOYSA-N n-butane Chemical compound CCCC IJDNQMDRQITEOD-UHFFFAOYSA-N 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- 150000002835 noble gases Chemical class 0.000 description 1
- QYSGYZVSCZSLHT-UHFFFAOYSA-N octafluoropropane Chemical compound FC(F)(F)C(F)(F)C(F)(F)F QYSGYZVSCZSLHT-UHFFFAOYSA-N 0.000 description 1
- JRZJOMJEPLMPRA-UHFFFAOYSA-N olefin Natural products CCCCCCCC=C JRZJOMJEPLMPRA-UHFFFAOYSA-N 0.000 description 1
- HFPZCAJZSCWRBC-UHFFFAOYSA-N p-cymene Chemical compound CC(C)C1=CC=C(C)C=C1 HFPZCAJZSCWRBC-UHFFFAOYSA-N 0.000 description 1
- QNGNSVIICDLXHT-UHFFFAOYSA-N para-ethylbenzaldehyde Natural products CCC1=CC=C(C=O)C=C1 QNGNSVIICDLXHT-UHFFFAOYSA-N 0.000 description 1
- GTLACDSXYULKMZ-UHFFFAOYSA-N pentafluoroethane Chemical compound FC(F)C(F)(F)F GTLACDSXYULKMZ-UHFFFAOYSA-N 0.000 description 1
- MSSNHSVIGIHOJA-UHFFFAOYSA-N pentafluoropropane Chemical compound FC(F)CC(F)(F)F MSSNHSVIGIHOJA-UHFFFAOYSA-N 0.000 description 1
- YWAKXRMUMFPDSH-UHFFFAOYSA-N pentene Chemical compound CCCC=C YWAKXRMUMFPDSH-UHFFFAOYSA-N 0.000 description 1
- 229960004065 perflutren Drugs 0.000 description 1
- 229940049953 phenylacetate Drugs 0.000 description 1
- WLJVXDMOQOGPHL-UHFFFAOYSA-N phenylacetic acid Chemical compound OC(=O)CC1=CC=CC=C1 WLJVXDMOQOGPHL-UHFFFAOYSA-N 0.000 description 1
- 229920000647 polyepoxide Polymers 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 239000001294 propane Substances 0.000 description 1
- MWWATHDPGQKSAR-UHFFFAOYSA-N propyne Chemical compound CC#C MWWATHDPGQKSAR-UHFFFAOYSA-N 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- WBYWAXJHAXSJNI-VOTSOKGWSA-M trans-cinnamate Chemical compound [O-]C(=O)\C=C\C1=CC=CC=C1 WBYWAXJHAXSJNI-VOTSOKGWSA-M 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 229960000834 vinyl ether Drugs 0.000 description 1
- 229920002554 vinyl polymer Polymers 0.000 description 1
- 239000008096 xylene Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31058—After-treatment of organic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02118—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02266—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Optics & Photonics (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Treatments Of Macromolecular Shaped Articles (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
本揭示案之實施例係關於在形成於光阻劑或硬質遮罩中之特徵上方沉積正形有機材料,以減少臨界尺寸及線邊緣粗糙度。在各種實施例中,超正形碳基材料沉積在高分辨率光阻劑中形成的特徵上方。形成於光阻劑上方的正形有機層由此縮減特徵之臨界尺寸及線邊緣粗糙度。
Description
本發明實施例一般係關於積體電路之製造,尤其係關於在蝕刻製程期間變更特徵臨界尺寸之方法。
縮減積體電路(integrated circuit;IC)大小產生改良的效能、增大的容量及/或降低的成本。每一大小縮減需要更複雜的技術以形成IC。光微影術通常用以圖案化基板上之IC。IC之示例性特徵是材料線,該材料可為金屬、半導體或絕緣體。線寬度是線寬度,而間隔是相鄰線之間的距離。間距定義為兩個相鄰線上之同一點之間的距離。間距等於線寬度及間隔之和。然而,由於諸如光學裝置及光或輻射波長之因數,光微影技術具有最小間距,若小於此最小間距,則特定光微影技術無法可靠地形成特徵。由此,光微影技術之最小間距可限制特徵大小縮減。類似地,對經設計以產生寬度為100奈米或更多的通孔或線互連裝置的工具進行圖案化通常不能產生更小通孔。因此,在裝置縮減到該等小尺寸時,當前的微影術製程面臨產生具有選定臨界尺寸(critical dimension; CD)之圖案之挑戰。
IC特徵沿一個邊緣之寬度變動通常被稱作線邊緣粗糙度(line edge roughness; LER)。LER已日益變為先進技術節點之問題,如對於100奈米或更小數量級之特徵尺寸而言。在一個實例中,考慮不足14奈米技術節點之LER時,不受控制的LER可能在前端製程(Front End-Of-line; FEOL)應用中導致滲漏性電晶體破裂,或在後端製程(Back End-Of-line; BEOL)應用中導致互連裝置級別的可靠性損失。當前的LER在浸沒微影術中及超紫外線輻射(EUV)微影術中接近4-5奈米。
減少LER之一個方法是藉由使用佈植或電子束之光阻劑固化實現的。然而,該等方法降低產量及並不具有成本效益。此外,以上列舉的光阻劑固化方法不提供臨界尺寸(Critical Dimension; CD)縮小。需要臨界尺寸縮小以擴充當前的浸沒微影術流程,或協助利用超紫外線輻射獲得更小尺寸。
在給定當前技術狀態之情況下,先進技術節點應用持續需要縮減的臨界尺寸及LER縮減。
本案中揭示的實施例一般係關於用於減小特徵臨界尺寸的方法及設備,該特徵形成於光阻劑或硬質遮罩中。在一個實施例中,一種處理基板之方法可包括:在硬質遮罩層上之光阻劑層中形成圖案,該圖案形成一或更多個特徵,該等特徵曝露硬質遮罩層之一部分,直接在光阻劑層上沉積正形有機層,該正形有機層之沉積包括將烴源、電漿引燃氣體,及稀釋氣體引入處理腔室,在處理腔室中產生電漿以在圖案化特徵及硬質遮罩之曝露部分上沉積正形有機層,藉由蝕刻製程從底部部分移除正形有機層,蝕刻硬質遮罩層之曝露部分以在硬質遮罩層中形成凹槽,及藉由電漿灰化方法同時移除正形有機層及圖案化光阻劑層之剩餘部分。
在另一實施例中,一種處理基板之方法可包括向電漿腔室提供基板,該基板包括在光阻劑層中的圖案,該光阻劑層沉積在硬質遮罩層上,該圖案形成一或更多個特徵,該等特徵曝露硬質遮罩層之一部分,將烴源、電漿引燃氣體及稀釋氣體引入處理腔室,其中烴源:電漿引燃氣體:稀釋氣體之體積流速比例為1:0.5:20,在約300℃至約500℃之沉積溫度下在處理腔室中產生電漿,及直接在光阻劑及硬質遮罩之曝露上表面上沉積正形有機層,其中光阻劑及硬質遮罩之曝露表面中每一者具有1.6與1.7之間的折射率,而消光係數在193奈米波長下為0.00與0.12之間,且其中在沉積正形有機層的同時,基板溫度維持在低於100℃。
在另一實施例中,處理基板之一方法可包括:向電漿腔室提供在硬質遮罩上包括圖案化光阻劑之基板,以穿過圖案化光阻劑曝露硬質遮罩之一部分;將烴源、電漿引燃氣體及稀釋氣體引入處理腔室,其中含氮烴源:電漿引燃氣體:稀釋氣體之體積流速比為1:0.5:20,含氮烴源包括一或更多個選自由以下各者組成之群組的含氮烴化合物:甲胺、二甲胺、三甲胺(trimethylamine; TMA)、三乙胺、苯胺、喹啉、吡啶、丙烯腈、苯甲腈,及上述各者之組合;在處理腔室中產生電漿以在圖案化特徵及曝露部分上沉積正形有機層;在由圖案化光阻劑與硬質遮罩形成之圖案的場區域、側壁及底部部分上方沉積包括摻雜氮的非晶碳層之正形有機層,其中光阻劑具有1.6與1.7之間的折射率而消光係數在193奈米波長下為0.00與0.12之間,且具有化學通式SiOw
Nx
:Hy
之硬質遮罩,其中w之範圍在1與50之間,x之範圍在0與50之間,y之範圍在0與50之間,且其中在光阻劑之微影術曝露波長下,硬質遮罩光學特性充分匹配光阻劑之光學特性。
本案中描述之實施例係關於超正形碳基材料之沉積,該材料用於減少形成於光阻劑或硬質遮罩中之特徵的臨界尺寸及線邊緣粗糙度。在各種實施例中,超正形碳基材料沉積在特徵上方,該等特徵形成於高分辨率光阻劑中。在一個實例中,低溫正形可剝離有機層(在本案中被稱作「正形有機層」)可直接沉積在光阻劑上。本揭示案中所述術語「低溫」可指示約150℃至約600℃之溫度範圍,例如約300℃至約500℃,如約450℃。在沉積之前,光阻劑中將形成有特徵。形成於光阻劑上方的正形有機層由此藉由使特徵壁中之邊緣不均勻性平滑化來縮減特徵之臨界尺寸及特徵之LER。正形有機層具有對同一氧化學物起反應之優勢,該氧化學物用以移除光阻劑層。由此,正形有機層可在基於乾燥氧的電漿中在光阻劑剝離之同時被剝離。此正形有機層/光阻劑組合剝離節省一個製程步驟,由此降低成本。此外,能够在乾燥電漿中剝離克服了濕式剝離問題,如線塌陷(例如由於毛細效應),且克服濕式剝離誘發的缺陷。
本案中所述實施例可藉由使用任何適合處理腔室而執行,該腔室如電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition; PECVD)腔室。處理腔室可併入基板處理系統。適合系統之實例包括可使用DxZ™處理腔室之CENTURA®系統、PRECISION 5000®系統、PRODUCER™系統、PRODUCER GT™及PRODUCER SE™處理腔室,上述各者可自位於美國加利福尼亞州聖克拉拉市的應用材料公司購得。設想其他沉積製程系統亦可經調適以實施本案所述實施例,該等沉積製程系統包括可購自其他製造商的彼等沉積製程系統。
第1圖是流程圖,該圖圖示根據一個實施例用於處理基板之方法100。第2A-2H圖繪示橫剖面視圖,該等圖式表示如第1圖中所述之圖案化製程。方法100可包括:在步驟102中,在硬質遮罩層上之光阻劑層中形成圖案,該圖案形成一或更多個特徵,該等特徵曝露硬質遮罩層之曝露部分;在步驟104中,在光阻劑層上沉積正形有機層;在步驟106中,藉由蝕刻製程從底部部分移除正形有機層以曝露硬質遮罩層之曝露部分;在步驟108中,蝕刻硬質遮罩層之曝露部分以在硬質遮罩層中形成凹槽;及在步驟110中,藉由電漿灰化方法同時移除正形有機層及圖案化光阻劑層之剩餘部分。
第2A圖圖示數個可能的層,該等層包括多層基板200。在圖示中,多層基板200包括基板216、有效層214、氧化層212、金屬層210、碳層208、抗反射層206、硬質遮罩層204及光阻劑層202。
在一些實施例中,多層基板200亦可包括沉積在基板表面上之複數個交替的氧化物及氮化物材料(亦即氧化物-氮化物-氧化物(oxide-nitride-oxide; ONO))、一或更多個氧化物或氮化物材料、多晶矽或非晶矽材料、與非晶矽交替的氧化物、與多晶矽交替的氧化物、與摻雜矽交替的無摻雜矽、與摻雜多晶矽交替的無摻雜多晶矽,或與摻雜非晶矽交替的無摻雜非晶矽。多層基板200可為包括以下各者中一或更多者之層堆疊:晶態矽、氧化矽、氮氧化矽、氮化矽、應變矽、矽鍺、鎢、氮化鈦、摻雜或無摻雜多晶矽、摻雜或無摻雜矽晶圓及圖案化或非圖案化晶圓、絕緣體上矽(silicon on insulator; SOI)、摻雜碳的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、低介電常數介電質,及上述各者之組合。多層基板200亦可包括包含碳質材料之層,該等碳質材料如光阻劑、抗反射塗層,及其他旋塗塗層。
方法100始於步驟102,在該步驟中,在硬質遮罩層上之光阻劑層中形成圖案,該圖案形成曝露硬質遮罩層中曝露部分的一或更多個特徵。向電漿處理腔室提供多層基板200,其中多層基板200位於溫度控制基板固持器或卡盤中。然後,多層基板200經平衡至一溫度,該溫度低於光阻劑層202之分解溫度。在步驟102中,光阻劑層202安置在硬質遮罩層204上方並藉由使用微影術型製程而圖案化。
光阻劑層202可為對某一波長之電磁輻射十分靈敏之聚合物材料,且可經由旋塗製程或CVD製程而塗覆。在一些實施例中,光阻劑層202是對紫外線光十分靈敏之碳基聚合物,如酚醛樹脂、環氧樹脂或類似物。光阻劑層202可為正性或負性光阻劑。正性光阻劑可選自由以下各者組成的群組:248奈米光阻劑、193奈米光阻劑、157奈米光阻劑及具有重氮萘醌敏化劑之酚醛樹脂基質。負性光阻劑可選自由聚順異戊二烯及聚桂皮酸乙烯酯組成之群組。顯然,光阻劑材料將在遠低於多層基板200中存在的其他非碳質層的溫度下分解。本案中所述實施例中使用的光阻劑具有範圍自100℃至150℃之分解溫度。光阻劑分解導致圖案化效能受損及不良的製程產率。
如第2C圖所示,在步驟104中,正形有機層218沉積在由圖案化光阻劑層202形成的場區域、側壁及底部部分上方及縮減尺寸圖案轉印硬質遮罩層204的上表面上方。正形有機層218可利用氣態前驅物藉由PECVD製程安置在圖案化光阻劑層202上方,該等氣態前驅物經提供至包含多層基板200之反應器。
本揭示案之實施例可藉由使用任何適合處理腔室而執行,該腔室如電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition; PECVD)腔室。處理腔室可併入具有溫度控制卡盤的基板處理系統以固持多層基板200。適合系統之實例包括可使用DxZ™處理腔室之CENTURA®系統、PRECISION 5000®系統、PRODUCER™系統、PRODUCER GT™及PRODUCER SE™處理腔室,上述各者可自位於美國加利福尼亞州聖克拉拉市的應用材料公司購得。設想其他處理系統亦可經調適以實施本案所述實施例,該等處理系統包括可購自其他製造商的彼等處理系統。
當正形有機層218在藉由使用下文論述之製程條件而沉積時,將實現至少約80%或更多的台階覆蓋率,例如約100%或更多,如120%。正形有機層218之厚度可在約5 Å與約200 Å之間。在一個實施例中,正形有機層218是非晶碳(a-C)層。非晶碳可未摻雜或摻雜有氮。在一個實例中,正形有機層218是摻雜氮之非晶碳層。摻雜氮之非晶碳層可藉由任何適合沉積技術而沉積,如電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition; PECVD)製程。在一個實施例中,摻雜氮之非晶碳層可藉由使烴源、諸如N2
或NH3
之含氮氣體、電漿引燃氣體及稀釋氣體等等流入PECVD腔室而沉積。在另一實施例中,摻雜氮之非晶碳層可藉由使烴源、含氮烴源、電漿引燃氣體及稀釋氣體等等流入PECVD腔室而沉積。在又一實施例中,含氮烴源、電漿引燃氣體及稀釋氣體流入PECVD腔室以在圖案化特徵及基板200曝露表面上形成摻雜氮之非晶碳保護層。
烴源可為一或更多種烴化合物之混合物。烴源可包括氣相烴化合物及/或氣體混合物,該氣體混合物包括液相烴化合物及載氣之蒸汽,如下文中將進一步論述。電漿引燃氣體可為氦,因為氦易於游離;然而亦可使用諸如氬之其他氣體。稀釋氣體可為易於游離、相對大量及具有化學惰性的氣體,如氬、氪、氙。在一些情況下,可引入額外氫稀釋以進一步增大薄膜密度,如下文中將論述。
烴化合物可部分或完全為烴化合物之摻雜衍生物,該等衍生物包括烴化合物之含氟、含氧、含羥基及含硼衍生物。烴化合物可包含氮或利用含氮氣體沉積,該含氮氣體如氨,或烴化合物可具有諸如氟及氧之替代物。一般而言,可納入烴源之烴化合物或其衍生物可藉由通式Cx
Hy
描述,其中x具有1與20之間的範圍,且y具有1與20之間的範圍。在另一實施例中,可納入烴源之烴化合物或其衍生物可藉由通式Cx
Hy
Fz
描述,其中x具有1與24之間的範圍,y具有1與50之間的範圍,且z具有0至50之範圍,且x與y+c之比例是1:2或更大。在又一實施例中,烴源可藉由氧及/或氮取代化合物的通式Ca
Hb
Oc
Fd
Ne
描述,其中a具有1與24之間的範圍,b具有1與50之間的範圍,c具有1至10之範圍,d具有0至50之範圍,e具有0至10之範圍,且a與b+c+d+e之比例是1:2或更大。
適合烴化合物包括以下化合物中一或更多者,例如諸如甲烷(CH4
)、乙烷(C2
H6
)、丙烷(C3
H8
)、丁烷(C4
H10
)及其異構物異丁烷、戊烷(C5
H12
)及其異構物異戊烷及新戊烷、己烷(C6
H14
)及其異構物2-甲基戊烷、3-甲基戊烷、2,3-二甲基丁烷,及2,2-二甲基丁烷之烷烴,等等。額外的適合烴類可包括:烯烴,如乙烯、丙烯、丁烯及其異構物、戊烯及其異構物等等;二烯,如丁二烯、異戊二烯、戊二烯、己二烯等等;及鹵化烯烴,包括單氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯等等。此外,炔類,如乙炔(C2
H2
)、丙炔(C3
H4
)、丁炔(C4
H6
)、乙烯基乙炔及其衍生物可用作碳前驅物。此外,可使用環烴,如苯、苯乙烯、甲苯、二甲苯、乙苯、乙醯苯、苯甲酸甲酯、乙酸苯酯、苯乙炔(C8
H6
)、酚、甲酚、呋喃、α松油烯、異丙基甲苯、1,1,3,3-四甲基丁苯、第三丁醚、第三丁基乙烯、甲基丙烯酸甲酯,及第三丁基糠醚、具有化學式C3
H2
及C5
H4
之化合物、包括單氟苯、二氟苯、四氟苯、六氟苯之鹵化芳族化合物,等等。亦可使用鹵化烴,如四氯化碳(CCl4
)、二碘甲烷(CH2
I2
)、氟氯化碳(chlorofluorocarbon; CFC)、三氯溴甲烷(BrCCl3
)、1,1-二氯乙烯、溴苯,或上述各者之衍生物。
烴化合物之適合衍生物之實例可包括但不限於氟化烷烴、鹵化烷烴,及鹵化芳族化合物。氟化烷烴可包括但不限於單氟甲烷、二氟甲烷、三氟甲烷、四氟化碳、單氟乙烷、四氟乙烷、五氟乙烷、六氟乙烷、單氟丙烷、三氟丙烷、五氟丙烷、全氟丙烷、單氟丁烷、三氟丁烷、四氟丁烷、八氟丁烷、二氟丁烷、單氟戊烷、五氟戊烷、四氟己烷、四氟庚烷、六氟庚烷、二氟辛烷、五氟辛烷、二氟四氟辛烷、單氟壬烷、六氟壬烷、二氟癸烷、五氟癸烷,等等。鹵化烯烴可包括但不限於單氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、單氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯,等等。鹵化芳族化合物可包括但不限於單氟苯、二氟苯、四氟苯、六氟苯,等等。
可納入含氮烴源之含氮烴化合物或其衍生物可藉由通式Cx
Hy
Nz
描述,其中x具有1與12之間的範圍,且y具有2與20之間的範圍,且z具有1與10之間的範圍。適合的含氮烴化合物可包括以下化合物中之一或更多者:甲胺、二甲胺、三甲胺(trimethylamine; TMA)、三乙胺、喹啉、咪唑、乙烯基咪唑、乙腈、丙烯腈、苯胺、吡咯、吡啶、哌啶及苯甲腈。
在某些實施例中,諸如苯甲醛、乙醯苯、苯甲醚、二乙醚、丙酮、甲醇、乙醇、異丙醇、乙醇胺、甲酚、嗎啉或二乙烯基醚之含氧烴類化合物亦可用於非晶碳膜之沉積。
可在引燃烴化合物及電漿以開始沉積之前及/或同時將電漿引燃氣體引入PECVD腔室。電漿引燃氣體可為高游離電位氣體,該氣體包括但不限於氦氣、氫氣、氮氣、氬氣及上述各者之組合。電漿引燃氣體亦可為化學惰性氣體,如氦氣、氮氣或氬氣。適合氣體游離電位自約5 eV(電子電位)至25 eV。可在含氮烴源及/或烴源之前將電漿引燃氣體引入PECVD腔室,此舉允許穩定電漿得以形成及減小發生電弧機會。
惰性氣體通常用作稀釋氣體或載氣以與烴源、電漿引燃氣體、含氮烴源或上述各者之組合一同流動。適合稀釋氣體可包括氬(Ar)、氦(He)、氫(H2
)、氮(N2
)、氨(NH3
),諸如氪、氙之稀有氣體,或上述氣體之任何組合。在一個實例中,出於經濟原因,氬用作稀釋氣體。Ar、He,及N2
可用以控制非晶碳層之密度及沉積速率。在一些情況下,添加H2
及/或NH3
可用以控制非晶碳層之氫比例。在諸如乙炔(C2
H2
)之炔或諸如丙烯之烯烴用作烴源之情況下,在沉積期間可不使用載氣。
非晶碳之正形性可藉由選擇前驅物及沉積條件而得以增強。一般而言,具有較低H:C比例(比例小於1:1)之前驅物產出更高正形性。正形非晶碳膜之沉積的示例性製程條件描述如下。
烴源、含氮氣體及稀釋氣體可被引入PECVD腔室以沉積摻雜氮之非晶碳。烴源可為任何適合之烴化合物,如上文所論述。如若使用液態烴源,則前驅物流可在約50毫克/分鐘至約1000毫克/分鐘之間。如若使用氣態烴源,前驅物流可在約100 sccm至約5000 sccm之間,例如約200 sccm至約600 sccm。如若使用載氣,載流可在約500 sccm與約10000 sccm之間。電漿引燃氣體可為任何適合之電漿引燃氣體,如上文所論述,且電漿引燃氣體以自約0 sccm至約50,000 sccm之流速流動,例如在約400 sccm至約8,000 sccm之間。稀釋氣體可為如上所述之任何稀釋氣體,及稀釋氣體以自約0 sccm至約5,000 sccm之流速供應,例如約500 sccm至約1,000 sccm。本案中所述流速意欲用於300毫米基板,此流速依據基板大小而可不同。
在各種實施例中,含氮氣體可以含氮氣體與烴源之比例引入,該比例為約1:100至約20:1,例如約1:40至約10:1。稀釋氣體可以稀釋氣體與烴源之比例引入,該比例為約2:1至約40:1,例如約20:1至約30:1。在一個實施例中,烴源:含氮氣體:電漿引燃氣體:稀釋氣體之體積流速比例為例如約1:1:0.5:20,例如約1:0.5:0.5:20,例如約1:0.2:0.5:20,例如約1:0.2:0.5:30,例如約1:0.2:0.5:40。
如若使用含氮烴源(如上所述),則含氮烴氣可以自約10 sccm至約2,000 sccm的流速流動,例如自約500 sccm至約1,500 sccm。倘若含氮烴源是液態前驅物,則含氮烴源流可在15毫克/分鐘與2,000毫克/分鐘之間,例如在100毫克/分鐘與1,000毫克/分鐘之間。在一個實施例中,含氮烴源:電漿引燃氣體:稀釋氣體之體積流速比例為例如約1:0.5:20,例如約1:0.2:20,例如約1:0.8:20,例如約1:1:20,例如約1:0.5:30,例如約1:0.5:40。
在沉積期間,基板溫度可維持在約0℃至約250℃之間,例如在約25℃與約150℃之間,如25℃與75℃之間,以便使對先前形成之特徵或層的損害降至最低。處理腔室可維持在約100毫托至約100托之腔室壓力下,例如自約2托至約15托,例如約8托或更大,如約20托。可藉由在一功率密度下向基板表面區域施加自約0.001瓦特/平方公分至約5瓦特/平方公分的射頻功率而產生電漿,如自約0.01瓦特/平方公分至約1瓦特/平方公分,例如約0.04瓦特/平方公分至約0.07瓦特/平方公分。對於300毫米基板之功率施加可自約1瓦特至約2000瓦特,如自約10瓦特至約100瓦特。射頻功率可為單頻或雙頻。雙頻射頻功率施加被視作提供通量及離子能之獨立控制,因為撞擊薄膜表面的離子能影響薄膜密度。所施加之射頻功率及一或更多個頻率之使用可基於基板大小及所用設備而不同。如若使用單頻功率,則頻率功率可在約10 KHz與約30 MHz之間,例如約13.56 MHz或更大,如27 MHz或60 MHz。如若雙頻射頻功率用以產生電漿,則可使用混合射頻功率。混合射頻功率可提供範圍自約10 MHz至約60 MHz的高頻功率,例如約13.56 MHz、27 MHz或60 MHz,及範圍自約10 KHz至約1 MHz之低頻功率,例如約350 KHz。電極間隔(亦即基板與噴淋頭之間的距離)可為自約200密耳至約1000密耳,例如自約280密耳至約300密耳間隔。
如本案中所論述之製程範圍提供摻雜氮之非晶碳層的一沉積速率,該沉積速率範圍自約10 Å/分鐘至約30000 Å/分鐘。熟習該項技術者在閱讀本案中揭示內容之後,可計算適當製程參數,以便產生具有不同沉積速率的摻雜氮之非晶碳層。剛沉積之摻雜氮的非晶碳層具有可調整的碳對氮之比率,該比率範圍自約0.1原子%氮至約10原子%氮,如約2原子%至約6原子%。藉由本案中所述製程沉積的摻雜氮之非晶碳材料之實例提供如下。
如上文所論述,在適宜條件下,包括碳、氮及氫原子之某個組合的高能電漿與圖案化光阻劑層202之表面反應並黏接至該表面,以形成正形有機層218。正形有機層218在光阻劑層202表面上方均勻生長,且以物理及化學方式黏附至光阻劑層202之軟碳表面。在有利情況下咸信沉積低溫及光阻劑表面之低熱能減少高能衝擊碳、氮及氫原子之解吸附,由此導致原子對軟碳光阻劑表面之更大黏著及黏接。在不欲受理論限制之情況下,正形碳膜對碳質光阻劑之表面的化學鍵結可藉由碳-碳鍵結及碳-氮鍵結而實現。本案中執行之電漿製程可在基板表面處的原子中產生不飽和化學共價鍵及懸鍵,如含碳光阻劑。在表面上,碳懸鍵與高能碳自由基結合以形成新化學鍵。
低溫方法通常產出更高的正形有機層218生長率及更低的固有碳層應力。比較而言,由於增大交聯、收縮及密度差,更高的碳沉積溫度具有更高的應力,此可導致碳層218之分層及光阻劑層202之畸變。此外,一些實施例中的意外優勢是藉由對烴及氮前驅物之明智選擇而實現的。例如,丙烯及氨可產生對光阻劑黏附性增強、台階覆蓋率增大及其他合乎需要之碳層特性的碳層。在一個實例中,丙烯氣體及氨氣以按體積計的烴源與氮源之體積比例而被引入處理腔室,該體積比例在約50:1與約5:1之間,且其中電漿在處理腔室中產生並在約0.01瓦特/平方公分與約10瓦特/平方公分之間的射頻功率密度下傳送。
接著,在步驟106中,正形有機層218藉由使用各向異性蝕刻製程而被移除,如第2D圖中所圖示。在此,使用電漿蝕刻製程從特徵之頂部場區域與底部移除層218。在一些實施例中,選擇性蝕刻製程可為各向異性蝕刻製程,該製程經設計以僅從基板水平表面蝕刻材料。該等製程可具有電漿蝕刻劑,一電偏壓被施加至基板,以激勵電漿中之離子加速前往基板表面,並由此從底部移除正形有機層218,如第2D圖中所示。同時,此種製程亦可導致自光阻劑層202之場區域中顯著移除正形有機層218,此舉亦在第2D圖中圖示。使用氟與氧離子之反應性離子蝕刻是選擇性蝕刻製程之一個實例,該製程可用於實施本揭示案之實施例。亦可使用其他適合蝕刻方法,如藉由非反應性離子來蝕刻。
圖案轉印硬質遮罩層204可為源自於物理氣相沉積(physical vapor deposition; PVD)的硬質遮罩層,且可包括氧化矽或富矽氧化物,或PVD SiN或富矽SiN,或SiC或富矽SiC,或前述各者之組合,該組合包括一變動,該變動包括向化合物內之受控氫摻雜,該變動在此被稱作硬質遮罩層或SiOw
Nx
:Hy
,其中w、x、y中每一者的濃度可在自0%至100%變化。在一個實施例中,w具有1與50之間的範圍,x具有0與50之間的範圍,y具有0至50的範圍。
圖案轉印硬質遮罩層204將充當隨後蝕刻序列的蝕刻遮罩,且可為介電層、抗反射層,或阻障層,並可具有一個以上之此種特性。
硬質遮罩層204產生為具有光學特性之硬質遮罩,該等光學特性充分匹配光阻劑層202。硬質遮罩層204的諸如折射率(n)及消光係數(k)之光學特性與光阻劑層202匹配,以使得光阻劑層202與硬質遮罩層204之介面不產生損害微影圖案化製程的反射。在一些實施例中,匹配硬質遮罩與光阻劑的光學特性允許將在硬質遮罩層204上直接實施的微影術、蝕刻、光阻劑剝離及光阻劑再次施加的多個序列。此外,因為形成硬質遮罩層204之材料不受隨後電漿輔助灰化製程的影響,該電漿輔助灰化製程用以移除光阻劑層202及正形有機層218層,且由此允許隨後的光阻劑製程循環的微影術、蝕刻、光阻劑剝離及再次施加得以執行,執行次數等於在硬質遮罩層204中形成合乎需要的圖案的次數。在一個實施例中,光阻劑層202及硬質遮罩層204具有等於1.6與1.7之間的折射率(n),及在193奈米波長下等於0.00及0.12之消光係數(k),如0.05。因此,曝露電磁能將不在硬質遮罩層204與覆蓋的光阻劑層202之實體介面處反射或折射。
可經調適以用於及適合用於濺射沉積硬質遮罩層204的物理氣相沉積(physical vapor deposition; PVD)處理腔室(例如濺射處理腔室)之一個實例是Impulse™脈衝直流電PVD介電質腔室,該腔室可購自美國加利福尼亞州聖克拉拉市的應用材料公司。設想其他濺射處理腔室亦可經調適以實施本揭示案,該等濺射處理腔室包括可購自其他製造商的彼等濺射處理腔室。
在步驟104、106及/或108中所述的正形有機層218之沉積、正形有機層218之移除及曝露部分之蝕刻可在步驟110中重複一或更多個次。應注意,步驟104可單獨重複,步驟104與106可依序重複;步驟104、106與108可依序重複;或上述各者之組合。在一個實例中,共完成四(4)個週期。第一週期由步驟104及106組成;第二週期由步驟104組成;第三週期由步驟104、106及108組成;及第四週期由步驟104及106組成。亦可設想更多種組合。
如第2E圖所示,可選的第二正形有機層220沉積在由圖案化光阻劑層202形成的場區域、側壁及底部部分、正形有機層218及縮減尺寸圖案轉印硬質遮罩層204之上表面上方或之上。第二正形有機層220可利用氣態前驅物藉由PECVD製程安置在正形有機層218及圖案化光阻劑層202上方或之上,該等氣態前驅物經提供至包含多層基板200之反應器。用於沉積製程的適合處理腔室、氣體及製程參數大體上可與上文參考第2C圖所述相同。設想其他處理系統亦可經調適以實施本案所述實施例,該等處理系統包括可購自其他製造商的彼等處理系統。
當第二正形有機層220在藉由使用下文論述之製程條件而沉積時,將實現至少約80%或更多的台階覆蓋率,例如約100%或更多,如120%。第二正形有機層220之厚度可在約5 Å與約200 Å之間。在一個實施例中,第二正形有機層220具有與正形有機層218相同的組成。在一個實例中,第二正形有機層220是摻雜氮之碳層。第二正形有機層220藉由使用沉積氣體而沉積,該沉積氣體包括至少一烴源。用於第二正形有機層220之烴源可選自參考第2C圖所述之可能的源。
烴源可為一或更多種烴化合物之混合物。烴源可包括氣相烴化合物及/或氣體混合物,該氣體混合物包括液相烴化合物及載氣之蒸汽,如參考第2C圖所述。沉積氣體可藉由使用電漿引燃氣體引燃。電漿引燃氣體可為氦,因為氦易於游離;然而亦可使用諸如氬之其他氣體。稀釋氣體可為易於游離、相對巨大及具有化學惰性的氣體,如氬、氪、氙。在一些情況下,可引入額外氫稀釋以進一步增大薄膜密度,如下文中將論述。
可在引燃烴化合物及電漿以開始沉積之前及/或之同時將電漿引燃氣體引入PECVD腔室。電漿引燃氣體可為高游離電位氣體,該氣體包括但不限於氦氣、氫氣、氮氣、氬氣及上述各者之組合。電漿引燃氣體亦可為化學惰性氣體,如氦氣、氮氣或氬氣。適合氣體游離電位自約5 eV(電子電位)至25 eV。可在含氮烴源及/或烴源之前將電漿引燃氣體引入PECVD腔室,此舉允許形成穩定電漿及減小電弧機會。
惰性氣體通常用作稀釋氣體或載氣以與烴源、電漿引燃氣體、含氮烴源或上述各者之組合一同流動。適合的稀釋氣體及其參數可與參考第2C圖所述的稀釋氣體相同。非晶碳之正形性可藉由選擇前驅物及沉積條件而得以最佳化。一般而言,具有較低H:C比例(比例小於1:1)之前驅物產出更高正形性。
如上文所論述,在適宜條件下,包括碳、氮及氫原子之某個組合的高能電漿與圖案化光阻劑層202之表面及正形有機層218之表面反應及黏接至該兩個表面,以形成第二正形有機層220。第二正形有機層220在光阻劑層202表面及正形有機層218上方均勻生長,並以物理及化學方式黏附至光阻劑層202及正形有機層218之表面。藉由沉積第二正形有機層220,特徵臨界尺寸進一步縮減,而不會變更光阻劑層202之厚度。
低溫方法通常產出更高的第二正形有機層220生長率及更低的固有碳層應力。比較而言,由於增大的交聯、收縮及密度差,更高的碳沉積溫度具有更高的應力,此可導致第二正形有機層220之分層及光阻劑層202之畸變。此外,一些實施例中的意外優勢是藉由對烴及氮前驅物之明智選擇而實現的。例如,丙烯及氨可產生對光阻劑黏附性增強、台階覆蓋率增大及其他合乎需要之碳層特性的碳層。在一個實例中,丙烯氣體及氨氣以按體積計的烴源與氮源之體積比例而被引入處理腔室,該體積比例在約50:1與約5:1之間,且其中電漿在處理腔室中產生及在約0.01瓦特/平方公分與約10瓦特/平方公分之間的射頻功率密度下傳送。可選擇不同的化學物以實現對正形有機層218的更佳黏著。
接著,第二正形有機層220藉由使用各向異性蝕刻製程而移除,如第2F圖中圖示。在此,使用電漿蝕刻製程從特徵之頂部場區域與底部移除第二正形有機層220。在一些實施例中,選擇性蝕刻製程可為各向異性蝕刻製程,該製程經設計以僅從基板水平表面蝕刻材料。該等製程可大體上類似於參考從底部移除正形有機層218所述之製程,如參考第2C圖及第2D圖所述。同時,此種製程亦可導致自光阻劑層202之場區域中顯著移除第二正形有機層220,此舉亦在第2D圖中圖示。使用氟與氧離子之反應性離子蝕刻是選擇性蝕刻製程之一個實例,該製程可用於實施本揭示案之實施例。亦可使用其他適合之蝕刻方法,如藉由非反應性離子來蝕刻。
如第2G圖中圖示,在步驟108中,沉積在側壁上之正形有機層218及第二正形有機層220充當蝕刻遮罩以用於在硬質遮罩層204中產生縮小尺寸特徵。側壁上之正形有機層218及第二正形有機層220之厚度界定蝕刻至硬質遮罩層204內的圖案之臨界尺寸。例如,如若最初形成於光阻劑中之凹槽或圖案的寬度為40奈米,則在方向性或各向異性蝕刻之後,相對側壁上之寬度為5奈米的正形有機層218及相對側壁上之寬度為5奈米的第二正形有機層220將使得硬質遮罩層204中蝕刻的圖案之寬度縮小至20奈米。如若第二正形有機層220由相對於用以蝕刻硬質遮罩層204的蝕刻劑而言具有高蝕刻選擇性的材料形成,則第二正形有機層220將僅緩慢或完全不蝕刻,從而保留在硬質遮罩層204中蝕刻的縮小臨界尺寸特徵,如第2E圖中所示。硬質遮罩層204之蝕刻可藉由任何已知方法實施,以蝕刻形成硬質遮罩層204的材料。在一個實施例中,藉由不會顯著蝕刻正形有機層218或第二正形有機層220之製程來實施蝕刻。對於保存第二正形有機層220的側壁剩餘物,同時在硬質遮罩層204中蝕刻縮小尺寸圖案而言,諸如在偏壓下藉由使用反應性或非反應性離子進行蝕刻的方向性蝕刻可為有利的。方向性選擇性蝕刻製程可為方向性或各向異性蝕刻製程,該製程經設計以僅從基板水平表面蝕刻材料。此類製程可具有電漿蝕刻劑,一電偏壓被施加至基板,以激勵電漿中之離子加速前往基板表面。在該等製程中,加速的離子一般將行進深人圖案凹槽,以便反應性物種之絕大部分影響凹槽底部部分,如第2F圖及第2G圖中所示。使用氟與氧離子之反應性離子蝕刻是選擇性蝕刻製程之一個實例,該製程可用於實施本揭示案之實施例。亦可使用其他蝕刻方法,如藉由非反應性離子來蝕刻。
執行多個步驟以在安置在第2H圖中之基板216上方的有效層214中實現縮小尺寸。層214可描述為包括縮小尺寸線及通孔的有效層,該等線及通孔在介電材料中經圖案化。如本案中所述,方法100可用於產生某種圖案,該等圖案所具有之臨界尺寸小於特定微影術設備或製程的能力。
本案中描述之實施例係關於超正形碳基材料之沉積,該材料用於減少形成於光阻劑或硬質遮罩中之特徵的臨界尺寸及線邊緣粗糙度。藉由在形成的特徵上方沉積正形有機層,在蝕刻裝置組件層之前,蝕刻特徵之大小可得以縮小,此縮小在一些情況下超過由裝置可以光學方式產生的最小蝕刻尺寸。此外,正形有機層將使蝕刻光阻劑的側壁粗糙度平滑化。由此,與由其他方法形成的特徵相比,該方法所產生的特徵將更小,且具有減少的缺陷。
儘管前述內容係針對本案中所述方法及裝置,但可在不背離本案基本範疇之前提下設計其他及更多實施例,且本案範疇由下文之專利申請範圍決定。
100:方法
102:步驟
104:步驟
106:步驟
108:步驟
110:步驟
200:多層基板
202:光阻劑層
204:硬質遮罩層
206:抗反射層
208:碳層
210:金屬層
212:氧化層
214:有效層
216:基板
218:正形有機層
220:第二正形有機層
為詳細理解本方法及裝置之上述特徵,可藉由參考實施例對上文中簡短概述之本發明進行更為具體之描述,該等實施例中之一些者在附圖中進行圖示。然而,將注意,附圖僅圖示典型實施例,因此將不被視作限制本案之範疇,因為該等方法及裝置可承認其他同等有效的實施例。
第1圖是一流程圖,該圖繪示根據本揭示案之一個實施例與示例性圖案化方法關連之步驟。
第2A-2H圖圖示橫剖面視圖,該等圖式表示根據本揭示案之一實施例由第1圖介紹的圖案化方法。
為便於理解,在可能之情況下已使用相同元件符號以指定圖式中共有之相同元件。設想在一個實施例中揭示之元件可以有利方式用於其他實施例,無需特定詳述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記)
無
國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記)
無
100:方法
102:步驟
104:步驟
106:步驟
108:步驟
110:步驟
Claims (20)
- 一種處理一基板的方法,包括:於一基板溫度在一光阻劑層中形成一特徵,以曝露沉積在該光阻劑層下方的一硬質遮罩層之一部分,該基板溫度低於該光阻劑層的分解溫度;在該光阻劑層上直接沉積一第一有機層,該第一有機層正形地(conformally)覆蓋該特徵的多個曝露表面以及該硬質遮罩層的多個曝露部分;從該光阻劑層的一頂表面及該硬質遮罩層的該等曝露部分選擇性移除該第一有機層,同時使該特徵的多個側壁上沉積的該第一有機層保持實質上完整;在該光阻劑層的該頂表面、該硬質遮罩層的該曝露部分、及該特徵的該等側壁上正形地形成一第二有機層;從該光阻劑層的該頂表面及該硬質遮罩層的該曝露部分選擇性移除該第二有機層,同時使該特徵的該等側壁上的該第一有機層上所沉積的該第二有機層保持實質上完整;及使用該光阻劑層、該第一有機層、及該第二有機層作為一遮罩,將一圖案形成至該硬質遮罩層中,其中在該光阻劑層中形成該特徵期間、沉積該第一有機層期間、及形成該第二有機層期間,該基板維持在比該光阻劑層的分解溫度低的溫度。
- 如請求項1所述之方法,其中將該圖案形成至該硬質遮罩層中會曝露在該硬質遮罩層下方沉積的一 抗反射層的一部分。
- 如請求項1所述之方法,進一步包括:移除該第一有機層及該第二有機層及該光阻劑層。
- 如請求項1所述之方法,其中在該等側壁上的該第一有機層的厚度以及該第二有機層的厚度界定形成至該硬質遮罩層中的該圖案的臨界尺寸。
- 如請求項1所述之方法,其中將該圖案形成至該硬質遮罩層中是藉由一方向性蝕刻或一各向異性蝕刻製程在有施加至該基板的一電偏壓的情況下執行。
- 如請求項5所述之方法,其中該方向性蝕刻或該各向異性蝕刻製程使用氟及氧離子。
- 如請求項1所述之方法,其中該第一有機層的沉積是維持在介於約攝氏25度至約攝氏150度的溫度。
- 一種處理一基板的方法,包括:於一基板溫度在一光阻劑層中形成一特徵,以曝露沉積在該光阻劑層下方的一硬質遮罩層之一部分,該基板溫度低於該光阻劑層的分解溫度;藉由將該光阻劑層曝露至由一烴源、一電漿引燃氣體、及一稀釋氣體形成的一電漿,而在該光阻劑層上直接沉積一第一有機層,該第一有機層正形地覆蓋該特徵的多個曝露表面以及該硬質遮罩層的多個曝露部分;從該光阻劑層的一頂表面及該硬質遮罩層的該等曝露部分選擇性移除該第一有機層,同時使該特徵的多個側 壁上沉積的該第一有機層保持實質上完整;在該光阻劑層的該頂表面、該硬質遮罩層的該曝露部分、及該特徵的該等側壁上正形地形成一第二有機層;從該光阻劑層的該頂表面及該硬質遮罩層的該曝露部分選擇性移除該第二有機層,同時使該特徵的該等側壁上的該第一有機層上所沉積的該第二有機層保持實質上完整;及使用該光阻劑層、該第一有機層、及該第二有機層作為一遮罩,將一圖案形成至該硬質遮罩層中,其中在該光阻劑層中形成該特徵期間、沉積該第一有機層期間、及形成該第二有機層期間,該基板維持在比該光阻劑層的分解溫度低的溫度。
- 如請求項8所述之方法,其中該烴源是一含氮烴源,該含氮烴源包括:甲胺、二甲胺、三甲胺(TMA)、三乙胺、苯胺、喹啉、吡啶、丙烯腈、苯甲腈、或上述各者之組合。
- 如請求項8所述之方法,其中該烴源包括一含氟氣體、一含氧氣體、一含羥基氣體及一含硼氣體。
- 如請求項10所述之方法,其中該烴源進一步包括一含氮氣體。
- 如請求項11所述之方法,其中該烴源包括烯烴或炔烴。
- 如請求項12所述之方法,其中該烴源包括乙炔(C2H2)或丙烯。
- 如請求項13所述之方法,其中該烴源是丙烯且該含氮氣體是氨。
- 如請求項14所述之方法,其中該烴源是以一第一體積流速引入一處理腔室,而該含氮氣體是以一第二體積流速引入該處理腔室,且該第一體積流速對該第二體積流速的比例介於約50:1至約5:1之間。
- 如請求項8所述之方法,其中該第一有機層的沉積是維持在介於約攝氏25度至約攝氏150度的溫度。
- 一種處理一基板的方法,包括:於一基板溫度在一光阻劑層中形成一特徵,以曝露沉積在該光阻劑層下方的一硬質遮罩層之一部分,該基板溫度低於該光阻劑層的分解溫度;在該光阻劑層上直接沉積一第一有機層,其中該第一有機層是一非晶碳層,該非晶碳層正形地覆蓋該特徵的多個曝露表面以及該硬質遮罩層的多個曝露部分;從該光阻劑層的一頂表面及該硬質遮罩層的該等曝露部分選擇性移除該第一有機層,同時使該特徵的多個側壁上沉積的該第一有機層保持實質上完整;在該光阻劑層的該頂表面上正形地形成一第二有機層,其中該第二有機層是一非晶碳層;從該光阻劑層的該頂表面及該硬質遮罩層的該曝露部分選擇性移除該第二有機層,同時使該特徵的該等側壁上的該第一有機層上所沉積的該第二有機層保持實質上 完整;及使用該光阻劑層、該第一有機層、及該第二有機層作為一遮罩,將一圖案形成至該硬質遮罩層中,其中在該光阻劑層中形成該特徵期間、沉積該第一有機層期間、及形成該第二有機層期間,該基板維持在比該光阻劑層的分解溫度低的溫度。
- 如請求項17所述之方法,其中該第一有機層的沉積是維持在介於約攝氏25度至約攝氏150度的溫度。
- 如請求項17所述之方法,其中在該光阻劑層上直接沉積該第一有機層是藉由下述方式執行:將該光阻劑層曝露至由一含氮烴源、一電漿引燃氣體、及一稀釋氣體形成的一電漿。
- 如請求項19所述之方法,其中該含氮烴源包括:甲胺、二甲胺、三甲胺(TMA)、三乙胺、苯胺、喹啉、吡啶、丙烯腈、苯甲腈、或上述各者之組合。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201562174248P | 2015-06-11 | 2015-06-11 | |
US62/174,248 | 2015-06-11 | ||
US15/137,486 | 2016-04-25 | ||
US15/137,486 US9659771B2 (en) | 2015-06-11 | 2016-04-25 | Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202034384A TW202034384A (zh) | 2020-09-16 |
TWI781368B true TWI781368B (zh) | 2022-10-21 |
Family
ID=57504551
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW109102058A TWI781368B (zh) | 2015-06-11 | 2016-05-13 | 處理基板的方法 |
TW105114825A TWI686846B (zh) | 2015-06-11 | 2016-05-13 | 先進圖案化中所用的降低線邊緣粗糙度的正形可剝離碳膜 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW105114825A TWI686846B (zh) | 2015-06-11 | 2016-05-13 | 先進圖案化中所用的降低線邊緣粗糙度的正形可剝離碳膜 |
Country Status (5)
Country | Link |
---|---|
US (2) | US9659771B2 (zh) |
KR (2) | KR102464290B1 (zh) |
CN (1) | CN107667415B (zh) |
TW (2) | TWI781368B (zh) |
WO (1) | WO2016200498A1 (zh) |
Families Citing this family (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP6495025B2 (ja) | 2014-01-31 | 2019-04-03 | ラム リサーチ コーポレーションLam Research Corporation | 真空統合ハードマスク処理および装置 |
US10566212B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
US10832909B2 (en) | 2017-04-24 | 2020-11-10 | Lam Research Corporation | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
US20180323061A1 (en) * | 2017-05-03 | 2018-11-08 | Tokyo Electron Limited | Self-Aligned Triple Patterning Process Utilizing Organic Spacers |
US10796912B2 (en) * | 2017-05-16 | 2020-10-06 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
US10658174B2 (en) * | 2017-11-21 | 2020-05-19 | Lam Research Corporation | Atomic layer deposition and etch for reducing roughness |
US10566194B2 (en) * | 2018-05-07 | 2020-02-18 | Lam Research Corporation | Selective deposition of etch-stop layer for enhanced patterning |
US11131919B2 (en) * | 2018-06-22 | 2021-09-28 | International Business Machines Corporation | Extreme ultraviolet (EUV) mask stack processing |
JP2022507368A (ja) | 2018-11-14 | 2022-01-18 | ラム リサーチ コーポレーション | 次世代リソグラフィにおいて有用なハードマスクを作製する方法 |
CN113785381A (zh) * | 2019-04-30 | 2021-12-10 | 朗姆研究公司 | 用于极紫外光刻抗蚀剂改善的原子层蚀刻及选择性沉积处理 |
TWI837391B (zh) | 2019-06-26 | 2024-04-01 | 美商蘭姆研究公司 | 利用鹵化物化學品的光阻顯影 |
JP7189375B2 (ja) | 2020-01-15 | 2022-12-13 | ラム リサーチ コーポレーション | フォトレジスト接着および線量低減のための下層 |
US11322352B2 (en) | 2020-04-20 | 2022-05-03 | Applied Materials, Inc. | Nitrogen-doped carbon hardmask films |
US12119226B2 (en) * | 2021-03-29 | 2024-10-15 | Changxin Memory Technologies, Inc. | Method for manufacturing mask structure, semiconductor structure and manufacturing method thereof |
US20240194541A1 (en) * | 2022-12-08 | 2024-06-13 | Applied Materials, Inc. | Two step implant to control tip-to-tip distance between trenches |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW490712B (en) * | 1999-06-25 | 2002-06-11 | Lam Res Corp | Methods for reducing profile variation in photoresist trimming |
TW200709277A (en) * | 2005-05-31 | 2007-03-01 | Lam Res Corp | Critical dimension reduction and roughness control |
TW201007832A (en) * | 2008-05-13 | 2010-02-16 | Applied Materials Inc | Method for critical dimension shrink using conformal PECVD films |
US20120196155A1 (en) * | 2010-07-28 | 2012-08-02 | Applied Materials, Inc. | Resist fortification for magnetic media patterning |
TW201500572A (zh) * | 2013-03-15 | 2015-01-01 | Applied Materials Inc | 碳摻雜氧化物膜之層對層沉積 |
Family Cites Families (29)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE3751651T2 (de) | 1986-10-14 | 1996-10-17 | Minolta Camera Kk | Elektrophotographisches lichtempfindliches Element, das einen Überzug enthält |
US4871630A (en) * | 1986-10-28 | 1989-10-03 | International Business Machines Corporation | Mask using lithographic image size reduction |
US5705409A (en) * | 1995-09-28 | 1998-01-06 | Motorola Inc. | Method for forming trench transistor structure |
US6228747B1 (en) * | 1998-03-25 | 2001-05-08 | Texas Instruments Incorporated | Organic sidewall spacers used with resist |
US6596599B1 (en) | 2001-07-16 | 2003-07-22 | Taiwan Semiconductor Manufacturing Company | Gate stack for high performance sub-micron CMOS devices |
US6500756B1 (en) | 2002-06-28 | 2002-12-31 | Advanced Micro Devices, Inc. | Method of forming sub-lithographic spaces between polysilicon lines |
US20040175926A1 (en) * | 2003-03-07 | 2004-09-09 | Advanced Micro Devices, Inc. | Method for manufacturing a semiconductor component having a barrier-lined opening |
US7235478B2 (en) | 2005-01-12 | 2007-06-26 | Intel Corporation | Polymer spacer formation |
US7491647B2 (en) * | 2005-03-08 | 2009-02-17 | Lam Research Corporation | Etch with striation control |
US7390746B2 (en) | 2005-03-15 | 2008-06-24 | Micron Technology, Inc. | Multiple deposition for integration of spacers in pitch multiplication process |
US7829471B2 (en) * | 2005-07-29 | 2010-11-09 | Applied Materials, Inc. | Cluster tool and method for process integration in manufacturing of a photomask |
US8852851B2 (en) | 2006-07-10 | 2014-10-07 | Micron Technology, Inc. | Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same |
US7807575B2 (en) | 2006-11-29 | 2010-10-05 | Micron Technology, Inc. | Methods to reduce the critical dimension of semiconductor devices |
CN101339361A (zh) * | 2007-06-01 | 2009-01-07 | 应用材料公司 | 利用间隔物掩模的频率加倍 |
KR100955265B1 (ko) | 2007-08-31 | 2010-04-30 | 주식회사 하이닉스반도체 | 반도체 소자의 미세패턴 형성방법 |
US20090311634A1 (en) | 2008-06-11 | 2009-12-17 | Tokyo Electron Limited | Method of double patterning using sacrificial structure |
US8435608B1 (en) | 2008-06-27 | 2013-05-07 | Novellus Systems, Inc. | Methods of depositing smooth and conformal ashable hard mask films |
US7709396B2 (en) | 2008-09-19 | 2010-05-04 | Applied Materials, Inc. | Integral patterning of large features along with array using spacer mask patterning process flow |
US8105465B2 (en) | 2008-10-14 | 2012-01-31 | Applied Materials, Inc. | Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD) |
US8084310B2 (en) | 2008-10-23 | 2011-12-27 | Applied Materials, Inc. | Self-aligned multi-patterning for advanced critical dimension contacts |
US7972959B2 (en) | 2008-12-01 | 2011-07-05 | Applied Materials, Inc. | Self aligned double patterning flow with non-sacrificial features |
US7842622B1 (en) | 2009-05-15 | 2010-11-30 | Asm Japan K.K. | Method of forming highly conformal amorphous carbon layer |
US8404592B2 (en) | 2009-07-27 | 2013-03-26 | GlobalFoundries, Inc. | Methods for fabricating FinFET semiconductor devices using L-shaped spacers |
US8658541B2 (en) | 2010-01-15 | 2014-02-25 | Applied Materials, Inc. | Method of controlling trench microloading using plasma pulsing |
US20110244142A1 (en) * | 2010-03-30 | 2011-10-06 | Applied Materials, Inc. | Nitrogen doped amorphous carbon hardmask |
KR20130115085A (ko) * | 2010-04-30 | 2013-10-21 | 어플라이드 머티어리얼스, 인코포레이티드 | 개선된 스택 결함을 위한 비결정질 탄소 증착 방법 |
US8551874B2 (en) * | 2010-05-08 | 2013-10-08 | International Business Machines Corporation | MOSFET gate and source/drain contact metallization |
US9117764B2 (en) | 2010-08-27 | 2015-08-25 | Tokyo Electron Limited | Etching method, substrate processing method, pattern forming method, method for manufacturing semiconductor element, and semiconductor element |
US20130189845A1 (en) | 2012-01-19 | 2013-07-25 | Applied Materials, Inc. | Conformal amorphous carbon for spacer and spacer protection applications |
-
2016
- 2016-04-25 US US15/137,486 patent/US9659771B2/en active Active
- 2016-04-28 CN CN201680030126.0A patent/CN107667415B/zh active Active
- 2016-04-28 KR KR1020177037325A patent/KR102464290B1/ko active IP Right Grant
- 2016-04-28 KR KR1020227038305A patent/KR102551689B1/ko active IP Right Grant
- 2016-04-28 WO PCT/US2016/029746 patent/WO2016200498A1/en active Application Filing
- 2016-05-13 TW TW109102058A patent/TWI781368B/zh active
- 2016-05-13 TW TW105114825A patent/TWI686846B/zh active
-
2017
- 2017-05-23 US US15/602,862 patent/US10014174B2/en active Active
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW490712B (en) * | 1999-06-25 | 2002-06-11 | Lam Res Corp | Methods for reducing profile variation in photoresist trimming |
TW200709277A (en) * | 2005-05-31 | 2007-03-01 | Lam Res Corp | Critical dimension reduction and roughness control |
TW201007832A (en) * | 2008-05-13 | 2010-02-16 | Applied Materials Inc | Method for critical dimension shrink using conformal PECVD films |
US20120196155A1 (en) * | 2010-07-28 | 2012-08-02 | Applied Materials, Inc. | Resist fortification for magnetic media patterning |
TW201500572A (zh) * | 2013-03-15 | 2015-01-01 | Applied Materials Inc | 碳摻雜氧化物膜之層對層沉積 |
Also Published As
Publication number | Publication date |
---|---|
WO2016200498A1 (en) | 2016-12-15 |
TW202034384A (zh) | 2020-09-16 |
US10014174B2 (en) | 2018-07-03 |
US20160365248A1 (en) | 2016-12-15 |
TWI686846B (zh) | 2020-03-01 |
KR20220153103A (ko) | 2022-11-17 |
CN107667415B (zh) | 2021-10-26 |
TW201701068A (zh) | 2017-01-01 |
CN107667415A (zh) | 2018-02-06 |
US20170278709A1 (en) | 2017-09-28 |
KR102464290B1 (ko) | 2022-11-04 |
KR20180016427A (ko) | 2018-02-14 |
KR102551689B1 (ko) | 2023-07-04 |
US9659771B2 (en) | 2017-05-23 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI781368B (zh) | 處理基板的方法 | |
JP7266068B2 (ja) | 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク | |
US10074534B2 (en) | Ultra-conformal carbon film deposition | |
US10236182B2 (en) | Conformal amorphous carbon for spacer and spacer protection applications | |
KR101003475B1 (ko) | 포토레지스트 접착 및 재생 일관성을 개선하기 위한 수소처리 | |
TWI671795B (zh) | 利用共形碳薄膜減低臨界尺寸之方法 | |
IL179695A (en) | Plasma peeling procedure using periodic modulation of gas chemistry and hydrocarbon addition | |
KR20060127250A (ko) | 금속 에칭 하드마스크 분야용 비정질 탄소막 증착 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GD4A | Issue of patent certificate for granted invention patent |