JP2008078649A - 部分エッチングによる反射防止コーティングのパターニング法 - Google Patents

部分エッチングによる反射防止コーティングのパターニング法 Download PDF

Info

Publication number
JP2008078649A
JP2008078649A JP2007238098A JP2007238098A JP2008078649A JP 2008078649 A JP2008078649 A JP 2008078649A JP 2007238098 A JP2007238098 A JP 2007238098A JP 2007238098 A JP2007238098 A JP 2007238098A JP 2008078649 A JP2008078649 A JP 2008078649A
Authority
JP
Japan
Prior art keywords
pattern
layer
arc
thin film
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007238098A
Other languages
English (en)
Inventor
Sandra L Hyland
エル ハイランド サンドラ
Shannon W Dunn
ダヴリュー ダン シャノン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Tokyo Electron America Inc
Original Assignee
Tokyo Electron Ltd
Tokyo Electron America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Tokyo Electron America Inc filed Critical Tokyo Electron Ltd
Publication of JP2008078649A publication Critical patent/JP2008078649A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】 薄膜(220)のパターニング方法について説明されている。
【解決手段】 当該方法は、基板(210)上にパターニングされる薄膜(220)を形成する工程、その薄膜(220)上に反射防止コーティング(ARC)層(240)を形成する工程、及びそのARC層(240)上にマスク層(250)を有する工程を有する。その後、マスク層(250)はパターニングされて、その内部にパターン(254)が形成される。そのパターン(254)は、エッチングプロセスのような転写プロセスを用いることによって、ARC層(240)へ部分的に転写されて、ARCパターン(242)が形成される。一旦マスク層(250)が除去されると、パターン(242)は、エッチングプロセスを用いることによって、ARC層(240)へ完全に転写され、ARC層(240)中のパターン(242)は、下地の薄膜(220)へ転写される。
【選択図】 図2D

Description

本発明は、基板上の薄膜のパターニング法に関し、より詳細には部分的にエッチングされた反射防止コーティング(ARC)層を用いて、基板上の薄膜をパターニングする方法に関する。
材料プロセスの方法では、パターンエッチングは、たとえばフォトレジストのような感光性材料の薄膜を基板上部表面に成膜する工程を有する。その感光性材料の薄膜は、エッチング中に、基板上にある下地の薄膜にこのパターンを転写するためのマスクを供するためにパターニングされる。感光性材料のパターニングは一般的に、たとえばフォトリソグラフィシステムを用いる感光性材料のレクチル(及び関連の光学系)を介した放射線源による露光を有する。それに続いて、現像溶液を用いることによって、感光性材料の照射領域(ポジのフォトレジストを用いた場合)又は非照射領域(ネガのフォトレジストを用いた場合)が除去される。しかもこのマスク層は、多層の副次層(sub−layer)を有して良い。
一旦パターンが、下地の薄膜に転写されると、下地の薄膜の材料特性を損傷させないようにマスク層を除去することが重要となる。たとえば薄膜は、低誘電率(low−k、超low−k)誘電体薄膜を有して良い。その低誘電率誘電体薄膜は、エレクトロニクス素子のバックエンドプロセス(BEOL)メタライゼーション段階で用いられて良い。係る材料は、非有孔性低誘電率誘電体及び有孔性低誘電率誘電体を有して良いが、これらは、マスク層及びその副次層を除去するのに必要な化学物質に曝露されるときに、たとえば誘電率の上昇、水の吸収、残余物の生成等の損傷を受けやすい。従って、係るパターンを形成して、必要な(複数の)マスク層を除去するときに、下地の薄膜へ損傷を及ぼす危険性を小さくするパターン転写方法を確立することが重要である。
米国特許出願第11/534261号明細書 米国特許出願第11/534365号明細書 米国特許出願第11/534365号明細書 米国特許出願第11/534538号明細書 米国特許第6316167号明細書 米国特許出願第10/644958号明細書
本発明は、基板上の薄膜のパターニング方法に関する。
一の実施例では、反射防止コーティング(ARC)層を用いた薄膜のパターニング法について説明する。ARC層を覆うように存在するマスク層内に形成されるパターンは部分的にARC層へ転写され、続いてマスク層は除去される。その後パターンは、エッチングプロセスを用いて、ARC層へ完全に転写される。
他の実施例では、基板上の薄膜のパターニング法及びパターニング用のコンピュータによる読み取りが可能な媒体について説明する。当該方法及び当該媒体は:基板上に膜の積層体を備える工程であって、その膜の積層体が、基板上に形成された薄膜、その薄膜上に形成された反射防止コーティング(ARC)層、及びそのARC層上に形成されたマスク層を有する工程;そのマスク層中にパターンを形成する工程;パターンをARC層の厚さ未満の深さまで転写することによって、パターンをARC層へ部分的に転写する工程;パターンを部分的にARC層へ転写した後、マスク層の残った部分を除去する工程;ARC層をエッチングすることによって、ARC層へのパターン転写を完了させる工程;及びARC層を実質的に除去させながらパターンを薄膜へ転写する工程;を有する。
以降の説明では、限定目的ではなく説明目的で、たとえば特定のプロセス及びパターニングシステムのような特定の詳細について述べる。しかし本発明は、これら特定の詳細から逸脱した他の実施例でも実施可能であることに留意して欲しい。
ここで図を参照すると、複数の図を通じて、同様の参照番号は、同一又は対応する部分を指している。図1Aから図1Jは、従来技術に従った基板のパターニング方法を概略的に図示している。図1Aに図示されているように、リソグラフィ構造100は、基板110上に形成された膜の積層体を有する。膜の積層体は、基板110に形成されたたとえば誘電体層のような薄膜120、薄膜120上に形成された有機平坦化層(OPL)130、OPL130上に形成された反射防止コーティング(ARC)層140、及びARC層140上に形成されたフォトレジスト層150を有する。
図1Bに図示されているように、フォトレジスト層150は、フォトリソグラフィシステムを用いることによって、第1像パターン152に曝露される。その後図1Cでは、第1像パターン152が現像溶媒中で現像されることで、フォトレジスト層150中に第1パターン154が形成される。フォトレジスト層150中の第1パターン154は、ドライエッチングプロセスを用いることによって下地のARC層140へ転写され、図1Dに図示されたような第1ARCパターン142が形成される。
そこで図1Eに図示されているように、フォトレジスト層150が除去され、かつ第2フォトレジスト層160が、ARC層140層へ塗布される。図1Fに図示されているように、第2フォトレジスト層160は、フォトリソグラフィシステムを用いることによって、第2像パターン162に曝露される。その後図1Gでは、第2像パターン162が現像溶媒中で現像されることで、第2フォトレジスト層160中に第2パターン164が形成される。第2フォトレジスト層160中の第2パターン164は、エッチングプロセスを用いることによって、下地のARC層140へ転写され、図1Hに図示されたような第2ARCパターン144が形成される。
図1I及び図1Jにそれぞれ図示されているように、第2フォトレジスト層160が除去され、第1ARCパターン142及び第2ARCパターン144は、1以上のエッチングプロセスを用いることによって、下地のOPL130及び薄膜120へ転写されることで、第1特徴部位パターン122及び第2特徴部位パターン124が形成される。しかし図1Jに図示されているように、一旦薄膜120へのパターン転写が完了すると、ARC層140は、一部しか除去しないので、除去されるべき材料が残ったOPLと共に残ってしまう。発明者らは、たとえばフラッシュエッチングのような、残ったARC層を除去するのに必要なプロセスが、下地の薄膜120の材料特性に対して害を及ぼすことを発見した。
たとえば薄膜120は、低誘電率(low−k、超low−k)誘電体薄膜を有して良い。その低誘電率誘電体薄膜は、エレクトロニクス素子のバックエンドプロセス(BEOL)メタライゼーション段階で用いられて良い。係る材料は、非有孔性低誘電率誘電体及び有孔性低誘電率誘電体を有して良いが、これらは、ARC層140を除去するのに必要な化学物質に曝露されるときに、たとえば誘電率の上昇、水の吸収、残余物の生成等の損傷を受けやすい。
1つの対策は、ARC層140の厚さを減少させることで、パターンを薄膜120へ転写する間に、ARC層140が実質的に除去するようにすることである。しかしARC層140の厚さは、フォトレジスト層をパターニングする間に反射防止特性を供するための要件に支配される。たとえばARC層が、入射電磁(EM) 放射線と反射EM放射線との破壊的干渉を引き起こすように備えられているときには、ARC層140の厚さ(τ)は、フォトレジスト層を画像化する間、入射EM放射線の1/4波長(つまりτ〜λ/4、3λ/4、5λ/4等)とあるように選ばれなくてはならない。 あるいは、たとえばARC層140が、EM放射線を吸収すうように備えられるときには、ARC層140の厚さ(τ)は、EM放射線を吸収できるほどに十分厚くなるように選ばれなければならない。いずれの場合でも発明者らは、現時点での構成では、反射防止特性を供するのに必要な最小厚さであっても、下地の薄膜にパターンを転写した後には、わずか一部のARC層しか除去しないことを発見した。
従って本発明の実施例に従うと、基板のパターニング法が、図2Aから図2K、及び図3に示されている。当該方法は、フローチャート500で示され、かつ510において、基板210上に形成される膜の積層体を有するリソグラフィ構造を形成することから始まる。膜の積層体は、基板210上に形成された薄膜220、薄膜220上に形成された任意の有機平坦化層(OPL)230、任意のOPL230(又はOPL230が存在しない場合には薄膜220)上に形成された反射防止コーティング(ARC)層240、及びARC層240上に形成されたフォトレジスト層250を有する。たとえ膜の積層体が基板210上に直接形成される様子が図示されているとしても、膜の積層体と基板210との間にはさらに別な層が存在して良い。たとえば半導体素子では、膜の積層体は、一のインターコネクトレベルの形成を補助し、かつこのインターコネクトレベルは、基板210上の他のインターコネクトレベル上に形成されて良い。さらに薄膜220は、単一の材料層又は複数の材料層を有して良い。たとえば薄膜220は、キャップ層を有するバルク材料を有して良い。
薄膜220は、導体層、非導体層又は半導体層を有して良い。たとえば薄膜220は、金属、金属酸化物、金属窒化物、金属酸窒化物、金属シリケート、金属シリサイド、シリコン、多結晶シリコン(ポリシリコン)、ドーピングシリコン、二酸化シリコン、シリコン窒化物、シリコンカーバイド、シリコン酸窒化物等を有する材料層を有して良い。それに加えて、たとえば薄膜220は、SiOの誘電率よりも名目上の誘電率が小さな低誘電率(low−k)又は超低誘電率(ultra−low−k)誘電体薄膜を有して良い。SiOの誘電率は約4(たとえば熱処理された二酸化シリコンの誘電率は3.8から3.9の間であると考えられる)である。より詳細には薄膜220は、3.7以下の誘電率を有すると考えられる。その範囲は、1.6から3.7であると考えられる。
これらの誘電層は、有機材料、無機材料又は無機−有機混成材料のうちの少なくとも1を有して良い。それに加えて、これらの誘電体層は有孔性又は非有孔性であって良い。たとえばこれらの誘電体層は、たとえば炭素がドープされた酸化物(又は有機シロキサン)のような、CVD法を用いて堆積された無機のシリケートベース材料を有して良い。そのような膜の例には、アプライドマテリアルズ(Applied Materials)社から販売されているブラックダイヤモンド(Black Diamond)(登録商標)CVDオルガノシリケートガラス(OSG)膜、ノベルスシステムズ(Novellus Systems)社から販売されているコーラル(Coral)(登録商標)CVD膜が含まれる。あるいはその代わりに誘電体層は、有孔性の無機−有機混成膜を有して良い。その有孔性の無機−有機混成膜は単一相を有して良い。そのような膜は、たとえば硬化又は堆積プロセス中に小さな気泡(又は孔)を生成するため、完全に膜が緻密化するのを妨げるCH結合を有するシリコン酸化物ベースの母体のようなものである。あるいはその代わりに、これらの誘電体層は、有孔性の無機−有機混成膜を有して良い。その有孔性の無機−有機混成膜は少なくとも2相を有して良い。そのような膜は、たとえば硬化プロセス中に分解及び蒸発する有機材料(たとえばポロゲン)の孔を有する炭素ドープされたシリコン酸化物ベースの母体のようなものである。あるいはその代わりに、これらの誘電体層は、たとえば水素シルセスキオキサン(HSQ)又はメチルシルセスキオキサン(MSQ)のような、SOD(スピンオン誘電体)法を用いて堆積された無機のシリケートベース材料を有して良い。係る膜の例には、ダウコーニング(Dow Corning)から販売されているフォックス(Fox)(登録商標)HSQ、ダウコーニングから販売されているXLK有孔性HSQ、及びJSRマイクロエレクトロニクスから販売されているLKD−5109が含まれる。あるいはその代わりに、これらの誘電体層は、SOD法を用いて堆積された有機材料を有して良い。係る膜の例には、ダウコーニングから販売されている、SiLK−I、SiLK−J、SiLK−H、SiLK−D、及び有孔性SiLK(登録商標)半導体誘電性樹脂、並びに、ハネウエル(Honeywell)から販売されている、GX−3(商標)及びGX−3P(商標)半導体誘電性樹脂が含まれる。
薄膜220は、気相成長法を用いて形成されて良い。気相成長法とはたとえば、化学気相成長(CVD)、プラズマ支援CVD(PECVD)、原子層堆積(ALD)、プラズマ支援ALD(PEALD)、物理気相成長(PVD)、イオン化PVD(iPVD)、又はスピンオン法である。それらの方法は、東京エレクトロン株式会社(TEL)から販売されている、クリーントラックアクト(Clean Track Act)8SOD(スピンオン誘電体)、アクト12SOD及びリシウス(Lithius)コーティングシステム中で行われる。クリーントラックアクト8(200mm)、アクト12(300mm)及びリシウス(300mm)コーティングシステムは、SOD材料をコーティング、ベーキング及び硬化する装置を供する。トラックシステムは、100mm、200mm、300mm及びそれ以上の大きさの基板を処理するように備えられて良い。基板上の薄膜を形成する他のシステム及び方法は、スピンオン法と気相成長両方の技術分野の当業者にとって周知である。
任意のOPL230は、感光性有機ポリマー又はエッチング型の有機化合物を有して良い。たとえば感光性有機ポリマーは、ポリアクリラート樹脂、エポキシ樹脂、フェノール樹脂、ポリアミド樹脂、ポリイミド樹脂、不飽和ポリエステル樹脂、ポリフェニレンエーテル樹脂、ポリフェニレンサルファイド樹脂、又はベンゾシクロブテン(BCB)であって良い。これらの材料は、スピンオン法を用いて形成されて良い。
ARC層240は、反射防止コーティングとしての利用に適した材料特性を有する。ARC層240は、有機材料又は無機材料を有して良い。たとえばARC層240は、アモルファスカーボン(a−C)、a−FC又はR:C:H:Xの構造式を有する材料を有して良い。ここでRは、Si、Ge、B、Sn、Fe、Ti及びこれらの混合物からなる群から選択され、Xは存在しないか、又はO、N、S及びFのうちの1以上からなる群から選択される。ARC層240は、屈折率nの範囲が、およそ1.40<n<2.60で、かつ消散係数kの範囲が、およそ0.01<k<0.78となるように作製されて良い。あるいはその代わりに、屈折率及び消散係数のうちの少なくとも1が、ARC層240の厚さに従って傾斜を有して(変化して)良い。さらなる詳細については、特許文献5に供されている。
さらにARC層240は、化学気相成長(CVD)及びプラズマ支援CVD(PECVD)を含む気相成長法を用いて形成されて良い。たとえばARC層240は、特許文献6で詳細に説明されているように、PECVDを用いて形成されて良い。たとえば屈折率のような、ARC層240の光学特性は、下地の(複数の)層の光学特性とほぼ一致するように選択されて良い。たとえば、非有孔性誘電体膜のような下地層では、その屈折率nの範囲が、1.4<n<2.6となることを求められ、有孔性誘電体膜のような下地層では、その屈折率nの範囲が、1.2<n<2.6となることを求められて良い。
フォトレジスト層250は、248nm(ナノメーター)レジスト、193nmレジスト、157nmレジスト又はEUV(極端紫外)レジストを有して良い。フォトレジスト層250は、トラックシステムを用いて形成されて良い。たとえばトラックシステムは、東京エレクトロン株式会社(TEL)から販売されている、クリーントラックアクト(Clean Track Act)8、アクト12又はリシウス(Lithius)コーティング及び現像システム中で行われる。基板上にフォトレジスト膜を形成する他のシステム及び方法は、スピンオンレジスト法の当業者にとっては周知である。
図2B及び図2Cにそれぞれ図示されているように、520では、フォトレジスト層520がパターニング及び現像される。図2Bに図示されているように、フォトレジスト層250には、フォトリソグラフィシステムを用いて像パターン252を有する像が生成される。レクチルを介したEM放射線による露光は、ドライ又はウエットフォトリソグラフィシステムで実行される。像パターンは、如何なる適切な従来のステッピングリソグラフィ又は走査型リソグラフィシステムを用いて形成されても良い。たとえばフォトリソグラフィシステムは、ASMLオランダ(Netherlands)B.V又はキャノンUSA社半導体装置部門から販売されている。
図2Cに図示されているように、露光されたフォトレジスト層250は、現像プロセスで処理されることで、像パターン252が除去され、かつフォトレジスト層250中にマスクパターン254が形成される。現像プロセスは、たとえばトラックシステムのような現像システム内の現像溶媒に基板を曝露する工程を有して良い。たとえばトラックシステムは、東京エレクトロン株式会社(TEL)から販売されている、クリーントラックアクト(Clean Track Act)8、アクト12又はリシウス(Lithius)コーティング及び現像システムを有して良い。
図2Dに図示されているように、530では、マスクパターン254は、下地のARC層へ部分的に転写されることで、ARCパターン242が形成される。ARCパターン242は、ARC層240の厚さ未満の深さまで進展する。たとえばマスクパターン254は、ドライエッチングプロセス又はウエットエッチングプロセスのようなエッチングプロセスを用いて、下地のARC層へ部分的に転写されて良い。あるいはその代わりに、たとえばマスクパターン254は、ドライプラズマエッチングプロセス又は非プラズマエッチングプロセスを用いて、下地のARC層240へ部分的に転写されて良い。あるいはその代わりに、たとえばマスクパターン254は、異方性ドライエッチングプロセス、反応性イオンエッチングプロセス、レーザー支援エッチングプロセス、イオンミリングプロセス、若しくはインプリントプロセス又はこれらの結合プロセスを用いて、下地のARC層240へ部分的に転写されて良い。
540では、フォトレジスト層250が除去される。たとえばフォトレジスト層250は、ウエット剥離プロセス、ドライプラズマアッシングプロセス又はドライ非プラズマアッシングプロセスを用いて除去されて良い。その後図2Eに図示されているように、任意の第2フォトレジスト層260が、ARC層240上に形成される。
任意の第2フォトレジスト層260は、248nm(ナノメーター)レジスト、193nmレジスト、157nmレジスト又はEUV(極端紫外)レジストを有して良い。任意の第2フォトレジスト層260は、トラックシステムを用いて形成されて良い。たとえばトラックシステムは、東京エレクトロン株式会社(TEL)から販売されている、クリーントラックアクト(Clean Track Act)8、アクト12又はリシウス(Lithius)コーティング及び現像システム中で行われる。基板上にフォトレジスト膜を形成する他のシステム及び方法は、スピンオンレジスト法の当業者にとっては周知である。
図2F及び図2Gにそれぞれ図示されているように、任意の第2フォトレジスト層260には、任意の第2像パターン262を有する像が生成される。また露光された任意の第2フォトレジスト層260は、現像プロセスで処理されることで、任意の第2像パターン領域が除去され、かつ任意の第2フォトレジスト層260中に任意の第2マスクパターン264が形成される。
図2Hに図示されているように、任意の第2マスクパターン264は、下地のARC層240へ部分的に転写されることで、任意の第2ARCパターン244が形成される。任意の第2ARCパターン244は、ARC層240の厚さ未満の深さまで進展する。その後図2Iに図示されているように、任意の第2フォトレジスト層260は除去される。
単一層のフォトレジストを用いてARC層240を2重パターニング又は多重パターニングする他の方法が用いられても良い。たとえば単一層のフォトレジストは2回像形成され、続いて下地のARC層への2重パターンの部分転写に続いて除去される。あるいはその代わりに、たとえば単一層のフォトレジストに像形成及び現像され、これらの2工程が、同一層のフォトレジストで繰り返されても良い。その後フォトレジスト層は、下地のARC層への2重パターンの部分転写に続いて除去される。
図2Jに図示されているように、550では、ARC層を薄くしながら、ARC層240へのARCパターン242及び任意の第2ARCパターン244の部分転写が完了する。たとえばARCパターン242及び任意の第2ARCパターン244は、たとえばドライエッチングプロセス又はウエットエッチングプロセスのようなエッチングプロセスを用いて、ARC層240の厚さを介して実質的に転写されて良い。あるいはその代わりに、たとえばエッチングプロセスは、ドライプラズマエッチングプロセス又はドライ非プラズマエッチングプロセスを有して良い。ARC層240を実質的に介してARCパターン242及び任意の第2ARCパターン244の転写が行われる間、平坦面246がエッチングされ、ARC層240の厚さは減少する。
図2Kに図示されているように、560では、下地のOPLが存在する場合には、ARCパターン242及び任意の第2ARCパターン244は、1以上のエッチングプロセスを用いることによって、OPL230へ転写され、かつ薄膜220へ転写されることによって、特徴部位パターン222及び任意の第2特徴部位パターン224が形成される。図2Kに図示されているように、1以上のエッチングプロセスの間、ARC層240は、ほぼ除去する。1以上のエッチングプロセスは、ウエット又はドライエッチングプロセスの如何なる結合を有しても良い。ドライエッチングプロセスは、ドライプラズマエッチングプロセス又はドライ非プラズマエッチングプロセスを有して良い。その後、OPL230が存在する場合には、OPL230は除去されて良い。
たとえ本発明の特定実施例のみが上記で詳細に説明されたとしても、当業者は、本発明の新規な教示及び利点から実質的に逸脱することなく多くの修正型が可能であることをすぐに理解する。たとえば複数の実施例は、ポジでの現像が可能なレジスト及び現像可能なARC層の利用について示している。しかし、ポジでの現像が可能なレジスト及び現像可能なARC層の利用が可能な実施例も考えられる。従って、係るすべての修正型は本発明の範囲内に含まれるものと解される。
基板上の薄膜をパターニングする既知の方法を概略的に図示している。 基板上の薄膜をパターニングする既知の方法を概略的に図示している。 基板上の薄膜をパターニングする既知の方法を概略的に図示している。 基板上の薄膜をパターニングする既知の方法を概略的に図示している。 基板上の薄膜をパターニングする既知の方法を概略的に図示している。 基板上の薄膜をパターニングする既知の方法を概略的に図示している。 基板上の薄膜をパターニングする既知の方法を概略的に図示している。 基板上の薄膜をパターニングする既知の方法を概略的に図示している。 基板上の薄膜をパターニングする既知の方法を概略的に図示している。 基板上の薄膜をパターニングする既知の方法を概略的に図示している。 本発明の実施例に従った、基板上の薄膜をパターニングする方法を概略的に図示している。 本発明の実施例に従った、基板上の薄膜をパターニングする方法を概略的に図示している。 本発明の実施例に従った、基板上の薄膜をパターニングする方法を概略的に図示している。 本発明の実施例に従った、基板上の薄膜をパターニングする方法を概略的に図示している。 本発明の実施例に従った、基板上の薄膜をパターニングする方法を概略的に図示している。 本発明の実施例に従った、基板上の薄膜をパターニングする方法を概略的に図示している。 本発明の実施例に従った、基板上の薄膜をパターニングする方法を概略的に図示している。 本発明の実施例に従った、基板上の薄膜をパターニングする方法を概略的に図示している。 本発明の実施例に従った、基板上の薄膜をパターニングする方法を概略的に図示している。 本発明の実施例に従った、基板上の薄膜をパターニングする方法を概略的に図示している。 本発明の実施例に従った、基板上の薄膜をパターニングする方法を概略的に図示している。 本発明の実施例に従った、基板上の薄膜をパターニングする方法のフローチャートを図示している。
符号の説明
100 リソグラフィ構造
110 基板
120 薄膜
122 第1特徴部位パターン
124 第2特徴部位パターン
130 有機平坦化層
140 反射防止コーティング
142 第1ARCパターン
144 第2ARCパターン
150 フォトレジスト層
152 第1像パターン
154 第1パターン
160 第2フォトレジスト層
162 第2像パターン
164 第2パターン
200 リソグラフィ構造
210 基板
220 薄膜
222 第1特徴部位パターン
224 第2特徴部位パターン
230 有機平坦化層
240 反射防止コーティング
242 第1ARCパターン
244 第2ARCパターン
250 フォトレジスト層
252 第1像パターン
254 第1パターン
260 第2フォトレジスト層
262 第2像パターン
264 第2パターン

Claims (16)

  1. 基板上の薄膜をパターニングする方法であって:
    前記基板上に膜の積層体を備える工程であって、前記膜の積層体が、前記基板上に形成された前記薄膜、前記薄膜上に形成された反射防止コーティング(ARC)層、及び前記ARC層上に形成されたマスク層を有する工程;
    前記マスク層中にパターンを形成する工程;
    前記パターンをARC層の厚さ未満の深さまで転写することによって、前記パターンを前記ARC層へ部分的に転写する工程;
    前記パターンを部分的に前記ARC層へ転写した後、前記マスク層の残った部分を除去する工程;
    前記ARC層をエッチングすることによって、前記ARC層へのパターン転写を完了させる工程;及び
    前記ARC層を実質的に除去させながら前記パターンを前記薄膜へ転写する工程;
    を有する方法。
  2. 前記のマスク層中にパターンを形成する工程が、フォトレジスト層中にパターンを形成する工程を有する、請求項1に記載の方法。
  3. 前記のマスク層中にパターンを形成する工程が:
    フォトリソグラフィシステムを用いることによって、像パターンで前記フォトレジスト層に像を形成する工程;及び
    前記フォトレジスト層中に前記像を形成するために、前記フォトレジスト層を現像する工程;
    を有する、
    請求項2に記載の方法。
  4. 前記のパターンをARC層へ部分的に転写する工程が、ドライエッチング、ウエットエッチング又はこれらの結合エッチングのうちの少なくとも1を有する、請求項1に記載の方法。
  5. 前記のパターンをARC層へ部分的に転写する工程が、ドライプラズマエッチング、ドライ非プラズマエッチング又はこれらの結合エッチングを実行する工程を有する、請求項4に記載の方法。
  6. 前記のパターンをARC層へ部分的に転写する工程が、異方性ドライエッチングプロセス、反応性イオンエッチングプロセス、レーザー支援エッチングプロセス、イオンミリングプロセス、若しくはインプリントプロセス又はこれらの結合プロセスを有する、請求項4に記載の方法。
  7. 前記のARC層へのパターン転写を完了させる工程が、ドライエッチング、ウエットエッチング又はこれらの結合エッチングのうちの少なくとも1を有する、請求項1に記載の方法。
  8. 前記のマスク層を形成する工程が、前記ARC層上に、248nmレジスト、193nmレジスト、157nmレジスト若しくはEUVレジスト又はこれらを2以上の混合レジストを形成する工程を有する、請求項1に記載の方法。
  9. 前記の膜の積層体を形成する工程が:
    前記薄膜上に有機平坦化層(OPL)を形成する工程;及び
    前記OPL上に前記ARC層を形成する工程;
    をさらに有する、
    請求項1に記載の方法。
  10. 前記のOPLを形成する工程が、ポリアクリラート樹脂、エポキシ樹脂、フェノール樹脂、ポリアミド樹脂、ポリイミド樹脂、不飽和ポリエステル樹脂、ポリフェニレンエーテル樹脂、ポリフェニレンサルファイド樹脂、若しくはベンゾシクロブテン(BCB)、又はこれらの2以上の混合物を形成する工程を有する、請求項9に記載の方法。
  11. 前記の薄膜にパターンを転写する工程の前に、前記OPLに、前記ARC層中の前記パターンを転写する工程をさらに有する、請求項9に記載の方法。
  12. 前記OPLに、前記ARC層中の前記パターンを転写する工程が、前記OPLに前記パターンをエッチングする工程をさらに有する、請求項11に記載の方法。
  13. 前記の薄膜にパターンを転写する工程に続いて前記OPLを除去する工程をさらに有する、請求項9に記載の方法。
  14. 前記OPLに、前記ARC層中の前記パターンを転写する工程が、前記ARC層をほぼ除去させる、請求項9に記載の方法。
  15. 前記のARC層を形成する工程が、有機層、無機層又はこれら両方を形成する工程を有する、請求項1に記載の方法。
  16. 制御システム上で実行されるプログラム命令を有するコンピュータによる読み取り可能な媒体であって、前記制御システムによって実行されるときに、パターニングシステムが

    前記基板上に膜の積層体を備える工程であって、前記膜の積層体が、前記基板上に形成された前記薄膜、前記薄膜上に形成された反射防止コーティング(ARC)層、及び前記ARC層上に形成されたマスク層を有する工程;
    前記マスク層中にパターンを形成する工程;
    前記パターンをARC層の厚さ未満の深さまで転写することによって、前記パターンを前記ARC層へ部分的に転写する工程;
    前記パターンを部分的に前記ARC層へ転写した後、前記マスク層の残った部分を除去する工程;
    前記ARC層をエッチングすることによって、前記ARC層へのパターン転写を完了させる工程;及び
    前記ARC層を実質的に除去させながら前記パターンを前記薄膜へ転写する工程;
    を実行する、コンピュータによる読み取り可能な媒体。
JP2007238098A 2006-09-22 2007-09-13 部分エッチングによる反射防止コーティングのパターニング法 Pending JP2008078649A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/534,420 US20080073321A1 (en) 2006-09-22 2006-09-22 Method of patterning an anti-reflective coating by partial etching

Publications (1)

Publication Number Publication Date
JP2008078649A true JP2008078649A (ja) 2008-04-03

Family

ID=39223816

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007238098A Pending JP2008078649A (ja) 2006-09-22 2007-09-13 部分エッチングによる反射防止コーティングのパターニング法

Country Status (5)

Country Link
US (1) US20080073321A1 (ja)
JP (1) JP2008078649A (ja)
KR (1) KR20080027200A (ja)
CN (1) CN101150052A (ja)
TW (1) TW200818261A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012506642A (ja) * 2008-10-21 2012-03-15 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 傾斜型の光学的特性を有するbarcを用いるフォトリソグラフィを実行するための方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4930095B2 (ja) * 2007-02-22 2012-05-09 富士通株式会社 ウエットエッチング方法および半導体装置の製造方法
CN102054684B (zh) * 2009-11-10 2012-10-03 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
PT2486343T (pt) * 2009-12-01 2019-05-13 Siemens Concentrated Solar Power Ltd Tubo recetor de calor, método de fabrico do tubo recetor de calor, coletor de canal parabólico com o tubo recetor e uso do coletor de canal parabólico
JP6040089B2 (ja) * 2013-04-17 2016-12-07 富士フイルム株式会社 レジスト除去液、これを用いたレジスト除去方法およびフォトマスクの製造方法
WO2019169122A1 (en) * 2018-03-02 2019-09-06 Tokyo Electron Limited Method to transfer patterns to a layer

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5753417A (en) * 1996-06-10 1998-05-19 Sharp Microelectronics Technology, Inc. Multiple exposure masking system for forming multi-level resist profiles
US6844131B2 (en) * 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US7364832B2 (en) * 2003-06-11 2008-04-29 Brewer Science Inc. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
KR100611151B1 (ko) * 2003-11-27 2006-08-09 삼성에스디아이 주식회사 박막트랜지스터 및 그의 제조방법
US7265056B2 (en) * 2004-01-09 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming novel BARC open for precision critical dimension control
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
KR100598105B1 (ko) * 2004-06-17 2006-07-07 삼성전자주식회사 반도체 패턴 형성 방법
US7271108B2 (en) * 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7579137B2 (en) * 2005-12-24 2009-08-25 International Business Machines Corporation Method for fabricating dual damascene structures
US20070166648A1 (en) * 2006-01-17 2007-07-19 International Business Machines Corporation Integrated lithography and etch for dual damascene structures
US20080020327A1 (en) * 2006-07-19 2008-01-24 International Business Machines Corporation Method of formation of a damascene structure
US7432191B1 (en) * 2007-03-30 2008-10-07 Tokyo Electron Limited Method of forming a dual damascene structure utilizing a developable anti-reflective coating

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012506642A (ja) * 2008-10-21 2012-03-15 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 傾斜型の光学的特性を有するbarcを用いるフォトリソグラフィを実行するための方法

Also Published As

Publication number Publication date
TW200818261A (en) 2008-04-16
CN101150052A (zh) 2008-03-26
KR20080027200A (ko) 2008-03-26
US20080073321A1 (en) 2008-03-27

Similar Documents

Publication Publication Date Title
US7432191B1 (en) Method of forming a dual damascene structure utilizing a developable anti-reflective coating
US20090311634A1 (en) Method of double patterning using sacrificial structure
US7935477B2 (en) Double patterning strategy for contact hole and trench
KR101044984B1 (ko) 조정 가능한 반사방지 코팅을 포함하는 구조 및 그의 형성 방법
TWI488239B (zh) 互連線結構中之絕緣介電質的覆蓋層之移除方法
US8470708B2 (en) Double patterning strategy for contact hole and trench in photolithography
TWI452419B (zh) 細微圖案光罩及其製造方法、及使用其之細微圖案形成方法
JP2007523034A (ja) アモルファスカーボン膜を含む構造およびその形成方法。
US20100310995A1 (en) Double patterning strategy for contact hole and trench in photolithography
US7767386B2 (en) Method of patterning an organic planarization layer
TWI397108B (zh) 可顯影之抗反射塗層的雙重圖形化方法
JP2008078649A (ja) 部分エッチングによる反射防止コーティングのパターニング法
US6858542B2 (en) Semiconductor fabrication method for making small features
US7883835B2 (en) Method for double patterning a thin film
US7932017B2 (en) Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
JP2003163265A (ja) 配線構造およびその製造方法
US7811747B2 (en) Method of patterning an anti-reflective coating by partial developing
US6861376B1 (en) Photoresist scum free process for via first dual damascene process
KR101752948B1 (ko) 스핀-온 층에 고종횡비 패터닝을 하는 방법
US7642184B2 (en) Method for dual damascene process
US7858293B2 (en) Method for double imaging a developable anti-reflective coating