KR100849573B1 - 오가노실리케이트층의 증착방법 - Google Patents

오가노실리케이트층의 증착방법 Download PDF

Info

Publication number
KR100849573B1
KR100849573B1 KR1020010074264A KR20010074264A KR100849573B1 KR 100849573 B1 KR100849573 B1 KR 100849573B1 KR 1020010074264 A KR1020010074264 A KR 1020010074264A KR 20010074264 A KR20010074264 A KR 20010074264A KR 100849573 B1 KR100849573 B1 KR 100849573B1
Authority
KR
South Korea
Prior art keywords
delete delete
range
layer
deposition chamber
sic
Prior art date
Application number
KR1020010074264A
Other languages
English (en)
Other versions
KR20020041320A (ko
Inventor
프레드릭 가일라르
리-쿤 지아
엘리 이에
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20020041320A publication Critical patent/KR20020041320A/ko
Application granted granted Critical
Publication of KR100849573B1 publication Critical patent/KR100849573B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Silicon Polymers (AREA)

Abstract

본 발명은, 오가노실리케이트층의 형성방법을 설명하는 것으로서, 상기 오가노실리케이트층은 페닐계 알콕시실란 화합물을 포함하는 가스 혼합물을 반응시킴으로써 형성된다. 전기장을 인가시켜서 가스 혼합물을 반응시킬 수도 있는데, 이 가스 혼합물은 오가노실란 화합물 뿐만 아니라 산화가스를 임의적으로 포함할 수도 있다. 상기 오가노실리케이트층은 집적회로 제조공정에서도 호환가능하다. 임의의 집적회로 제조공정에서는 오가노실리케이트층을 무반사 코팅(ARC)으로 사용한다. 다른 집적회로 제조공정에서는 오가노실리케이트층을 하드마스크로서 사용하기도 하며, 또 다른 집적회로 제조공정에서는 오가노실리케이트층을 다마신 구조물에 포함시킨다.

Description

오가노실리케이트층의 증착방법{METHOD OF DEPOSITING ORGANOSILICATE LAYERS}
도1은 본원에 기재된 실시예를 실시하는 데 사용할 수 있는 디바이스의 개략도를 나타낸다.
도2는 화학기상증착(CVD) 챔버의 개략적인 단면도를 나타낸다.
도3a-3e는 무반사 코팅(ARC)으로서 오가노실리케이트층을 포함시킨 집적회로 제조의 각 단계에서의 기판의 개략적인 단면도를 나타낸다.
도4a 내지 4e는 하드마스크로서 오가노실리케이트층을 포함시킨 집적회로 제조의 각 단계에서의 기판의 개략적인 단면도를 나타낸다.
도5a 내지 5e는 다마신(damascene) 구조물중에 오가노실리케이트층을 포함하는 집적회로 제조의 각 단계에서 그 구조물의 개략적인 단면도를 나타낸다.
* 도면의 주요부분에 대한 부호의 설명 *
110: 제어유닛 36, 38, 40, 41: 프로세스 챔버
46: 로드락 챔버 50: 전사챔버
51: 전사로봇 54: 마이크로프로세서 제어기
102: 진공펌프 120: 샤워헤드
130: 가스패널 150: 웨이퍼 지지용 받침대
170: 히터소자 172: 온도센서
190: 반도체 웨이퍼 300, 400: 기판
302, 404: 오가노실리케이트층
304, 408: 에너지 감지 레지스트 물질
350, 450: 기판구조물 410: 마스크
본 발명은, 오가노실리케이트층, 집적회로 제작시 이의 용도 및 오가노실리케이트층의 형성방법에 관한 것이다.
집적회로는 단일칩 상에 수백만의 구성부(예를 들어, 트랜지스터, 캐패시터 및 레지스터)를 포함할 수 있는 복합 장치로 발전하여 왔다. 칩 디자인이 발전할수록, 보다 빠른 순환성 및 훨씬 더 큰 회로밀도가 계속적으로 요구되고 있다. 훨씬 더 큰 회로밀도를 확보하기 위해서는, 집적회로 구성부의 치수를 감소시켜야 한다.
상기 집적회로 구성부의 치수가 감소(예를 들어, 미크론 이하의 치수)함에 따라, 그러한 구성부를 제조하는 데 사용된 물질이 그것의 전기적인 성능에 영향을 주는 데, 예를 들어 저-저항성 금속 상호연결부(예를 들어, 구리 및 알루미늄)는 집적회로상의 구성부 사이에 전도로를 제공한다. 일반적으로, 상기 금속 상호연결부는 절연물질에 의해서 서로 전기적으로 절연되어 있다. 인접하는 금속 상호연결부 사이의 거리 및/또는 상기 절연물질의 두께가 미크론 이하의 치수일 경우, 그 상호연결부 사이에서 용량결합이 잠재적으로 발생한다. 인접하는 금속 상호연결부 사이의 용량결합으로 인해서, 집적회로의 전반적인 성능을 저하시키는 누화 및/또는 저항-커패시터(RC) 지연이 발생할 수도 있다. 인접하는 금속 상호연결부 사이의 용량결합을 방지하기 위해서, 유전 상수(k)가 낮은 절연물질(예를 들어, 유전 상수가 약 4.5 미만인 것)이 필요하다.
훨씬 더 큰 집적회로 밀도를 얻기 위해서는, 집적회로 제조에 사용된 공정 시퀀스가 필요하다. 예를 들어, 종래 리소그래픽 기술을 사용하는 공정 시퀀스에서 에너지 감지 레지스트층이 기판 위의 물질층으로 이루어진 스택 상에 형성된다. 이러한 하부 물질층의 대부분은 원자외선광을 반사시키는데, 이러한 반사로 말미암아 상기 에너지 감지 레지스트 물질로 형성되는 라인 및 바이어스와 같은 기능부의 치수가 변화될 수 있다.
하부 물질층으로부터의 반사를 최소화시키기 위해서 제안된 하나의 기술은, 무반사 코팅(ARC)을 사용하는 것인데, 상기 ARC는 레지스트를 패턴화시키기에 앞서서 반사물질층 상에 형성된다. 이 ARC는, 에너지 감지 레지스트층 내에서의 정확한 패턴복제를 제공하면서, 레지스트가 이미지화(imazing)되는 동안에 하부 물질층 외로 반사되는 것을 억제한다.
직후, 상기 에너지 감지 레지스트 물질 내에 형성된 패턴은 일반적으로 하부 물질층으로 전사되며, 하부 물질층으로의 패턴전사를 용이하게 하기 위해서 에너지 감지 레지스트층과 하부 물질층 사이에 하드마스크층을 종종 사용하기도 한다.
따라서, 당업계에서는 집적회로를 제조하기에 적합한 저유전 상수 물질이 필요한데, 특히 ARC 및/또는 하드마스크로도 적합한 저유전 상수 물질이 요망된다.
본 발명은, 집적회로 제작에 사용하기 위한 오가노실리케이트층을 형성시키는 방법을 제공한다. 제 1 실시예에서, 페닐계 알콕시실란 화합물을 포함하는 가스 혼합물을 반응시켜서 오가노실리케이트층을 형성한다. 전기장을 페닐계 알콕시실란 화합물을 포함하는 가스혼합물에 인가시켜서, 페닐계 알콕시실란 화합물을 포함하는 가스 혼합물을 반응시킬 수도 있다. 상기 가스 혼합물은 선택적으로 오가노실란 화합물, 산화가스, 및/또는 불활성가스를 포함할 수 있다.
상기 오가노실리케이트층은 집적회로 제조공정에서도 호환가능하다. 임의의 집적회로의 제조 시퀀스에서, DUV 리소그래피용 무반사 코팅(ARC)으로서 오가노실리케이트층을 사용한다. 그러한 실시예에서, 바람직한 공정 시퀀스에는 기판 상에 오가노실리케이트층을 형성시키는 것이 포함된다. 상기 오가노실리케이트층의 굴절율(n)은 약 250nm 미만의 파장에서 약 1.20 내지 약 1.70의 범위 내이며, 흡수 계수(κ)는 약 0.1 내지 0.7의 범위 내이다. 오가노실리케이트층의 굴절율 및 흡수 계수가, 층 형성시에 사용된 가스조성물 뿐만 아니라 증착온도의 함수로서 바람직한 범위 내에서 변화한다는 점에서, 상기 굴절율(n) 및 흡수 계수(κ)를 조정할 수 있다. 오가노실리케이트층을 기판 상에 형성시키고 나서, 에너지 감지 레지스트 물질층을 그 위에 형성시킨다. 약 250nm 미만 파장의 에너지 감지 레지스트 내에서 패턴을 형성시키고나면, 상기 에너지 감지 레지스트 물질 내에 형성된 패턴이 오가노실리케이트층으로 전사된다. 오가노실리케이트층을 패턴화시킨 후에, 그 패턴은 하드마스크로서 오가노실리케이트 ARC층을 사용하여 기판으로 임의적으로 전사된다.
또 다른 집적회로의 제조공정에서, 상기 오가노실리케이트층을 다마신 구조물 내에 포함시킨다. 그러한 실시예에 대한 바람직한 공정 시퀀스에는, 기판 상에 제 1 유전층을 증착시키는 것이 포함된다. 그런 다음, 상기 제 1 유전층 상에 오가노실리케이트층을 형성시키고 나서 바로, 상기 오가노실리케이트층을 패턴화하고 에칭시켜, 이것을 통하여 콘택트/바이어스를 형성시킨다. 상기 오가노실리케이트층을 패턴화하고 에칭한 후, 제 2 유전층을 그 위에 증착시키고 나서, 상기 제 2 유전층을 패턴화하고 에칭시키고, 이것을 통해서 상호연결부를 형성시킨다. 상기 제 2 유전층 내에 형성된 상호연결부는 오가노실리케이트층 내에 형성된 콘택트/바이어스 위에 위치한다. 상호연결부가 형성된 후에, 오가노실리케이트층 내에 형성된 콘택트/바이어스가, 하드마스크로서 상기 오가노실리케이트층을 사용하는 기판표면에 대하여 제 1 유전층을 통하여 에칭된다. 그 후, 상호연결부와 콘택트/바이어스를 전도성 물질로 충전시킴으로써, 다마신 구조물을 완성시킨다.
첨부된 도면과 함께 하기 상세한 설명을 참조하여, 본 발명의 요지를 용이하게 이해할 수 있다.
도1은 본원에 기재된 실시예에 따른 집적회로를 제작하는데 사용할 수 있는 웨이퍼 프로세싱 시스템(35)의 구조도이다. 이 디바이스는 일반적으로 프로세스 챔버(36, 38, 40, 41), 로드-락(load-lock) 챔버(46), 전사챔버(50), 마이크로프로세서 제어기(54) 및 전원(도시되지 않음) 및 진공펌프(도시되지 않음)와 같은 기타 하드웨어 구성부를 포함한다. 상기 웨이퍼 프로세싱 시스템(35)의 예로서, CENTURAⓡ 시스템(캘리포니아 산타 클라라에 소재한 어플라이드 머티어리얼스, 인코포레이티드 제품)이 있다.
본 발명에 사용된 상기 웨이퍼 프로세싱 시스템(35)은 1993년 2월 16일에 특허결정된, 명칭 "Staged-Vaccum Substrate Processing System and Method"로서 공동 양도된 미국특허 제 5,186,718호에 기재되어 있는데, 이는 참조로서 본원에 통합되어 있다. 이 시스템(35)의 현저한 특징에 대해 간단하게 하기한다.
웨이퍼 프로세싱 시스템(35)에는 전사로봇(51)을 구비하는 전사챔버(50)가 포함되어 있다. 상기 전사챔버(50)는 프로세스 챔버(36, 38, 40, 41)의 클러스터 뿐만 아니라 로드-락(load-lock) 챔버(46)와 연결되어 있다.
로드-락 챔버(46)를 통하여 상기 웨이퍼 프로세싱 시스템(35)으로 기판(도시되지 않음)이 로드된 후, 전사로봇(51)은 1개 이상의 프로세스 챔버(36, 38, 40, 41) 사이로 기판을 이동시킨다.
각종 집적회로 제조 시퀀스를 수행하는데 상기 프로세스 챔버(36, 38, 40, 41)가 사용된다. 예를 들어, 특히 프로세스 챔버(36, 38, 40, 41)에는, 물리기상증착(PVD) 챔버, 이온화금속 플라즈마 물리기상증착(IMP PVD) 챔버, 화학기상증착(CVD) 챔버, 고속 열처리(RTP) 챔버 및 무반사 코팅(ARC) 챔버 등이 포함된다.
도2는 웨이퍼 프로세싱 시스템(35)중 화학기상증착(CVD) 프로세스 챔버(36)의 개략적인 단면도를 나타낸다. CVD 프로세스 챔버(36)는 본원에 기재된 실시예에 따라 오가노실리케이트층을 증착시키는데 사용할 수도 있다. 그러한 CVD 프로세스 챔버(36)의 한 실례가 DXZTM(캘리포니아 산타 클라라에 소재한 어플라이드 머티어리얼스, 인코포레이티드 제품)이다.
CVD 프로세스 챔버(36)는 일반적으로 가스패널(130), 제어유닛(110) 및 전원 및 진공펌프와 같은 기타 하드웨어 구성부를 포함한다. 상기 CVD 프로세스 챔버(36)에 대한 상세한 설명이, 참고로 본원에 기재되어 있으며, 발명의 명칭 "High Temperature Chemical Deposition Chamber"로서 1998년 12월 14일에 출원된, 공동 양도된 미국 특허출원번호 제 09/211,998호에 기재되어 있다. 상기 CVD 프로세스 챔버(36)의 특이할만한 특징에 대해 간단하게 하기한다.
상기 CVD 프로세스 챔버(36)에는, 일반적으로 반도체 웨이퍼(190)와 같은 기판을 지지하는데 사용되는 지지용 받침대(pedestal)(150)가 하우징되어 있다. 이 받침대(150)는 일반적으로 이동 메커니즘(도시되지 않음)을 사용하여 챔버(36) 내부에서 수직방향으로 이동할 수 있다. 특정 공정에 따라서, 층을 증착시키기 전에 웨이퍼(190)를 일부 바람직한 온도로 승온시킬 수 있는데, 예를 들어 상기 웨이퍼 지지용 받침대(150)는 내장된 히터소자(170)에 의해서 가열되며, 또 상기 받침대는 AC 전원(106)으로부터 히터소자(170)까지 전류를 인가시킴으로써 저항성 가열시킬 수도 있다. 상기 웨이퍼(190)는 받침대(150)에 의해 순서대로 가열된다.
열전쌍(thermocouple)과 같은 온도센서(172)가 웨이퍼 지지용 받침대(150) 내에 내장되어, 상기 받침대(150)의 온도를 일반적인 방법으로 조정한다. 측정된 온도는 피드백 루프에 사용되어, 특정 프로세스 용도에 적합한 목적하는 온도에서 웨이퍼온도를 유지하거나 제어하도록 상기 히터소자(170)용 전원(106)을 제어한다. 받침대(150)는 플라즈마를 사용하여 또는 복사열(도시되지 않음)에 의해 임의로 가열된다.
진공펌프(102)가 프로세스 챔버(36)를 배기하고, 챔버(36) 내부에 적절한 기류 및 압력을 유지하는데 사용된다. 이것을 통하여 챔버(36) 내로 프로세스 가스를 주입시키는 샤워헤드(120)가 웨이퍼 지지용 받침대(150) 위에 위치하는데, 이 샤워헤드(120)는 가스패널(130)에 접속되며, 이 가스패널(130)은 공정 시퀀스의 각 단계에 사용된 각종 가스를 제어하고 공급한다.
상기 샤워헤드(120) 및 웨이퍼 지지용 받침대(150)는 한쌍의 이격된 전극을 형성한다. 이 전극 사이에서 전기장이 발생될 경우, 챔버(36) 내로 도입되는 프로세스 가스가 플라즈마로 연소된다. 일반적으로, 웨이퍼 지지용 받침대(150)가 정합망(matching network; 도시되지 않음)을 통하여 RF 전원(도시되지 않음)에 연결됨으로써 전기장이 발생한다. 또한, 상기 RF 전원 및 정합망을, 샤워헤드(120)에만 연결시키거나, 샤워헤드(120)와 웨이퍼 지지용 받침대(150) 양쪽에 모두 연결시킬 수도 있다.
플라즈마 화학기상증착(PECVD) 기술은, 기판 표면 근처의 반응영역에 전기장을 인가함으로써 반응가스의 여기 및/또는 해리를 촉진시키면서, 반응성 종의 플라즈마를 생성시킨다. 플라즈마 내에서 상기 종의 반응은 사실상 이러한 PECVD 프로세스에 요구되는 온도를 저하시키기 때문에, 화학반응을 일으키는데 필요한 에너지가 감소된다.
적어도 하나의 실시예에서, 페닐트리에톡시실란과 같은, 1개 이상의 알콕시 작용기를 함유하는 페닐계 실란 화합물을 플라즈마 산화시킴으로써, 오가노실리케이트층의 증착이 실시된다. 흐름이 제어된 가스로서 가스패널(130)을 제어한 상태에서, 상기 페닐계 알콕시실란 화합물이 프로세스 챔버(36) 내에 주입된다.
유량 제어기(도시되지 않음) 및 컴퓨터(도시되지 않음)에 의해서, 상기 가스패널(130)을 통한 가스흐름을 적절하게 제어 및 조절한다. 상기 샤워헤드(120)는, 가스패널(130)로부터 프로세스 가스가 프로세스 챔버(100) 내에서 균일하게 주입되어 분산되도록 한다.
도1을 참고하면, 상기 CVD 프로세스 챔버(36)는 마이크로프로세서 제어기(54)에 의해서 제어된다. 마이크로프로세서 제어기(54)는, 각종 챔버 및 서브-프로세서를 제어하기 위한 산업적 셋팅에 사용할 수 있는 임의 형태의 범용 컴퓨터 프로세서(CPU)중 어느 하나일 수도 있다. 상기 컴퓨터는 RAM(Random Access Memort), ROM(Read Only Memory), 플로피 디스크 드라이브, 하드 디스크와 같은 임의의 적합한 메모리, 또는 디지탈 스토리지를, 로컬로 또는 원격으로 사용할 수도 있다. 프로세서를 지원하기 위해 종래방법으로 각종 지원 회로를 CPU에 연결시킬 수도 있으며, 요구되는 소프트웨어 루틴(a software routine)을 메모리에 저장시키거나, 원거리에 위치하는 제 2 CPU에 의해서 실행시킬 수도 있다.
기판을 받침대 위에 정위시키고 나서, 소프트웨어 루틴을 실행시켰다. 실행시 소프트웨어 루틴은, 범용 컴퓨터를 챔버 공정이 실시되도록 챔버 공정을 제어하는 특정 공정 컴퓨터로 변형시킨다. 또한, 본 발명의 공정은 어플리케이션 특정 집적회로 또는 기타 유형의 하드웨어 구현(implementation)과 같은 하드웨어 내에서 실행되거나, 소프트웨어 또는 하드웨어의 조합으로 실행될 수도 있다.
오가노실리케이트층의 증착
일 실시예에서, 페닐계 알콕시실란 화합물을 포함하는 가스 혼합물을 반응시켜 오가노실리케이트층을 형성시킨다. 페닐계 알콕시실란 화합물은 하기 화학식으로 표시된다:
Figure 112001031034310-pat00030
상기 식에서, R은 OxCyHz 치환기를 포함할 수도 있으며, 여기에서 x는 0 내지 3의 범위 내이고, y는 0 내지 6의 범위 내이며, z는 1 내지 30의 범위 내이다. 이중에서, 예를 들어, 페닐트리에톡시실란(SiO3C12H20), 페닐트리메톡시실란(SiO3C9H14), 디페닐디에톡시실란(SiO2C16H20) 및 디페닐디메톡시실란(SiO2C14H16)을 페닐계 알콕시실란 화합물로 사용할 수 있다.
가스 혼합물은 하기 화학식의 오가노실란 화합물을 임의로 포함할 수도 있 다:
Figure 112001031034310-pat00031
상기 식에서, R은 OxCyHz 치환기를 포함할 수도 있으며, 여기에서 x는 0 내지 3의 범위 내이고, y는 0 내지 6의 범위 내이며, z는 1 내지 30의 범위 내이다. 이중에서, 트리메틸실란(SiC3H10), 메틸실란(SiCH6), 트리에틸실란(SiC6H16), 디메틸실란(SiC2H8), 테트라메틸실란(SiC4H12), 메톡시실란(SiCH6O), 디메틸디메톡시실란(SiC4H12O2), 디에틸디에톡시실란(SiC8H20O2), 디메틸디에톡시실란(SiC6H16O2), 디에틸디에톡시실란(SiC6H16O2), 헥사메틸디실록산(Si2C6H18O), 비스(메틸실라노)메탄(Si2C3H12), 1,2-비스(메틸실라노)에탄(Si2C4H14)을 오가노실란 화합물로 사용할 수도 있다.
뿐만 아니라, 가스 혼합물은 산소함유 가스를 임의로 포함할 수도 있는데, 이중에서도, 산소(O2), 오존(O3), 아산화질소(N2O), 일산화탄소(CO), 이산화탄소(CO2) 또는 이들의 조합체를 산소함유 가스로 사용할 수도 있다.
또한, 상기 가스 혼합물은 불활성 가스를 포함할 수 있는데, 이중에서도 아르곤(Ar), 헬륨(He), 네온(Ne), 크세논(Xe), 질소(N2) 및 이들의 조합체를 불활성 가스로 사용할 수도 있다.
일반적으로, 도2에 도시된 것과 유사한 CVD 프로세스 챔버를 사용하여 오가노실리케이트층을 형성시키는데, 하기 증착 프로세스 파라미터를 사용할 수 있다. 상기 프로세스 파라미터에는, 약 50℃ 내지 약 500℃의 웨이퍼 온도, 약 1 torr 내지 약 500 torr의 챔버압, 약 10 mg/분(mgm) 내지 약 1500 mgm의 페닐계 알콕시실란 화합물의 가스유속, 약 10 sccm 내지 약 2000 sccm의 오가노실란 화합물의 가스유속, 약 10 sccm 내지 약 2000 sccm의 산소함유 가스의 유속, 약 1 sccm 내지 약 10000 sccm의 불활성 가스의 유속 및 약 1 watts/㎠ 내지 약 1500 watts/㎠의 RF 전력이 포함될 수 있다. 상기 프로세스 파라미터는, 증착챔버(캘리포니아 산타 클라라에 소재한 어플라이드 머티어리얼스, 인코포레이티드 제품) 내의 200mm(밀리미터) 기판 상에서 실장시키는 경우, 약 500Å/분 내지 약 20000Å/분의 범위 내에서 오가노실리케이트층에 대한 증착율을 제공한다.
본 발명의 범주 내에 있는 기타 증착챔버 및 증착 파라미터는, 오가노실리케이트층을 형성시키는데 사용된 특정 증착챔버에 따라서 달라질 수도 있는데, 예를 들어 기타 증착챔버는 더 크거나(예를 들어, 300mm의 기판을 수납하도록 구성됨), 더 적은 부피를 지닐 수도 있으며, 이들은 어플라이드 머티어리얼스, 인코포레이티드 제품인 증착챔버에서 열거된 것보다 더 크거나 더 작은 가스 유속을 요한다.
상기 증착된 오가노실리케이트층은 집적회로의 절연물질로 사용하기에 적당한, 약 무반사 미만의 유전 상수를 보유한다. 상기 오가노실리케이트층의 유전 상수는 반응온도의 함수로서 목적하는 범위 내에서 변화될 수 있다는 점에서 조정가능하다. 특히, 온도가 증가함에 따라 상기 증착층의 유전 상수는 감소한다.
또한, 상기 오가노실리케이트층의 유전 상수는 층 형성 동안에 가스 혼합물 조성의 함수로서 조정될 수 있다. 가스 혼합물 내의 탄소농도가 증가함에 따라, 상기 증착된 오가노실리케이트층의 탄소함량도 마찬가지로 증가하는 반면, 그것의 유전 상수는 감소한다.
오가노실리케이트층은 또한 약 250nm 미만의 파장에서 약 0.1 내지 약 0.7의 범위에서 조정가능한 흡수 계수를 갖는다. 상기 흡수 계수는 반응온도의 함수로서 조정가능한데, 특히 온도가 증가할수록 증착층의 흡수 계수는 증가한다.
집적회로 제작 프로세스
A. 오가노실리케이트 무반사 코팅(ARC)
도3a 내지 3e는 무반사 코팅(ARC)으로서 오가노실리케이트층을 포함시키는 집적회로 제조 시퀀스의 각 단계에서 기판(300)의 개략적인 단면도를 나타낸다. 일반적으로, 상기 기판(300)은 막 가공이 실시되는 임의의 워크피스(workpiece)를 의미하며, 기판구조물(350)는 일반적으로 기판(300) 상에 형성된 기타 물질층과 함께 상기 기판(300)을 정의하는데 사용된다. 프로세싱의 특정단계에 따라서, 기판(300)은 실리콘 웨이퍼, 또는 기판 상에 이미 형성된 기타 물질층이 될 수도 있다. 예를 들어, 도3a는 기판(300)이 실리콘 웨이퍼인 기판 구조물(350)의 단면도를 나타낸다.
오가노실리케이트층(302)은 기판구조물(350) 상에 형성되는데, 이 오가노실리케이트층(302)은 상기 프로세스 파라미터에 따라서 기판구조물(350) 상에 형성된다. 상기 오가노실리케이트층의 흡수 계수(κ)는 약 250nm(나노미터) 미만의 파장에서 약 0.1 내지 약 0.7에서 변화할 수 있는데, 이 범위에 있는 오가노실리케이트층을 심원자외선(DUV) 파장에서 무반사 코팅(ARC)으로 사용하기에 적합하다. 오가노실리케이트층의 흡수 계수는, 이것이 증착온도 뿐만 아니라 층형성 동안에 가스 혼합물중의 탄소농도의 함수로서 목적하는 범위 내에서 변화될 수 있다는 점에서, 조정가능하다. 상기 오가노실리케이트층(302)의 두께는 프로세싱의 특정 단계에 따라 달라질 수 있는데, 일반적으로 오가노실리케이트층의 두께는 약 200Å 내지 약 2000Å이다.
도3b는 도3a의 기판구조물(350) 상에 형성된 에너지 감지 레지스트 물질(304)층을 나타낸다. 에너지 감지 레지스트 물질층을 기판구조물(350) 상에 약 2000Å 내지 약 6000Å의 범위 내 두께로 스핀도포할 수도 있다. 상기 에너지 감지 레지스트 물질은 약 250nm 미만의 파장을 갖는 DUV 방사선에 감지된다.
마스크(306)를 통하여 이러한 에너지 감지 레지스트 물질(304)을 DUV 방사선에 노광시킴으로써, 패턴 이미지를 에너지 감지 레지스트 물질(304)층 내로 도입시킨다. 상기 패턴 이미지를 에너지 감지 레지스트 물질(304)층으로 도입시킬 경우, 오가노실리케이트층(302)은 하부 물질층(예를 들어, 옥시드, 금속류)이 조금이라도 반사되지 않도록 한다. 이러한 반사에 의해서, 에너지 감지 레지스트 물질(304)층 내에 도입된 패턴 이미지가 손상될 수 있다.
에너지 감지 레지스트 물질(304)층 내로 도입된 패턴 이미지는 적당한 현상액 내에서 현상되어, 도3c에 표시한 대로 이 레지스트 물질(304)층을 관통하여 패턴을 형성시킨다. 그리고 나서, 도3d를 참고로 하여 에너지 감지 레지스트 물질(304) 내에 형성된 패턴은 오가노실리케이트층(302)을 통하여 전사된다. 이 패턴은 마스크로서 에너지 감지 레지스트 물질(304)을 사용하여, 오가노실리케이트층(302)을 통해 전사된다. 또한, 적당한 화학에칭제(예를 들어, 카본 테트라플루오라이드(CF4), 또는 트리플루오로메탄, 트리플루오로에탄 또는 플루오로부텐(CHF3) 및 산소(O2)를 포함하는 가스 혼합물)를 사용하여 에칭시킴으로써, 상기 패턴이 오가노실리케이트층(302)을 통하여 전사된다.
도3e는 오가노실리케이트층(302) 내에 형성된 패턴을 기판(300)으로 전사시켜서, 집적회로 제조 시퀀스가 완료됨을 나타낸다. 하드마스크로서 오가노실리케이트층(302)을 사용하여, 기판(300)으로 패턴을 전사시킨다. 또한, 적당한 화학에칭제(예를 들어, CF4)를 사용하여 기판(300)을 에칭시킴으로써, 기판(300) 내로 패턴을 전사시킨다.
상기 기판(300)을 패턴화시킨 후에, 적당한 화학에칭제(예를 들어, 카본 테트라플루오라이드(CF4), 또는 트리플루오로메탄(CHF3) 및 산소(O2)를 포함하는 가스 혼합물)를 사용하여 에칭시킴으로써, 기판구조물(350)로부터 오가노실리케이트층 (302)을 임의적으로 박리시킬 수 있다.
B. 오가노실리케이트 하드마스크
도4a 내지 4e는 하드마스크로서 오가노실리케이트층을 포함하는 집적회로 제조 시퀀스의 각 단계에서 기판(400)의 개략적인 단면도를 나타낸다. 일반적으로, 기판(400)은 이 위에 프로세싱이 실시되는 임의의 워크피스를 의미하며, 기판구조물(450)은 상기 기판(400) 상에 형성된 기타 물질층과 함께 상기 기판을 일반적으로 정의하는데 사용된다. 프로세싱의 특정단계에 따라서, 상기 기판(400)은 실리콘기판, 또는 기판 상에 이미 형성된 기타 물질층일 수도 있다. 도4a는, 그 위에 물질층(402)이 형성되어 있는, 예를 들어 기판구조물(450)의 단면도를 나타내는데, 상기 물질층(402)은 옥시드(예를 들어, 실리콘 디옥시드)일 수도 있다. 일반적으로, 상기 기판(400)에는, 실리콘, 실리시드, 금속 또는 기타 물질로 된 층이 포함될 수 있다. 또한, 도4a는 상기 기판(400)이 실리콘 디옥시드층이 그 위에 형성되어 있는 실리콘인 경우의 한 실시예를 나타낸다.
도4b는 도4a의 기판구조물(450) 상에 형성된 오가노실리케이트층(404)을 나타내는데, 이 오가노실리케이트층(404)은 상기 프로세스 파라미터에 따라서 기판구조물(450) 상에서 형성된다. 오가노실리케이트층의 두께는 프로세싱의 특정단계에 따라 달라지는데, 일반적으로 약 200Å 내지 약 2000Å의 두께로 오가노실리케이트층(404)이 증착된다.
에너지 감지 레지스트 물질(408)층은 오가노실리케이트층(404) 상에 형성되는데, 이 에너지 감지 레지스트 물질(408)층은 약 4000Å 내지 약 10000Å의 범위 내 두께로 기판 상에 스핀도포될 수 있다. 대부분의 에너지 감지 레지스트 물질은 약 450nm(나노미터) 미만의 파장을 갖는 자외선(UV) 방사선에 감지되며, 심자외선(DUV) 레지스트 물질은 약 245nm 미만의 파장을 갖는 UV 방사선에 감지된다.
제조 시퀀스에 사용된 에너지 감지 레지스트 물질(408)의 에칭 화학특성에 따라서, 에너지 감지 레지스트 물질(408)층에 앞서 오가노실리케이트층(404) 상에 중간층(406)이 형성된다. 상기 에너지 감지 레지스트 물질(408) 및 오가노실리케이트층(404)을 동일한 화학에칭제를 사용하여 에칭시킬 수 있는 경우에, 상기 중간층(406)이 오가노실리케이트층(404)에 대한 마스크로서 작용한다. 상기 중간층(406)을 오가노실리케이트층(404) 상에 통상적으로 형성시킬 수 있으며, 이 중간층(406)은 옥시드, 니트리드, 실리콘 옥시니트리드, 비정질 실리콘 또는 기타 적당한 물질일 수도 있다.
이러한 에너지 감지 레지스트 물질(408)을 마스크(410)를 통하여 DUV 방사선에 노광시킴으로써, 패턴 이미지를 에너지 감지 레지스트 물질(408)층에 도입시킨다. 에너지 감지 레지스트 물질(408)층 내에 도입된 패턴 이미지는 적당한 현상액 내에서 현상되어, 도4c에 표시된 대로 이 에너지 감지 레지스트 물질(408)층을 관통하는 패턴을 형성시킨다.
그런 다음, 도4d를 참고로 하여, 에너지 감지 레지스트 물질(408) 내에 형성된 패턴이, 마스크로서 에너지 감지 레지스트 물질(408)을 사용하여 오가노실리케이트층(404)을 통해 전사된다. 또한, 적당한 화학에칭제를 사용하여, 오가노실리케이트층(404)을 통해 패턴이 전사되는데, 오가노실리케이트층(404)을 화학적으로 에칭시키기 위해서, 예를 들어 카본 테트라플루오라이드(CF4), 플루오로에탄(C2F6), 및 플루오로부텐(C4F8)과 같은 플루오로카본 화합물을 사용할 수도 있다.
또한, 중간층(406)이 존재하는 경우, 에너지 감지 레지스트 물질(408) 내에 형성된 패턴이, 마스크로서 에너지 감지 레지스트 물질(408)을 사용하여 중간층(406)을 통해 먼저 전사된다. 그 후에, 마스크로서 중간층(406)을 사용하여 오가노실리케이트층(404)을 통하여 패턴이 전사되며, 적당한 화학에칭제를 사용하여 상기 중간층(406) 뿐만 아니라 오가노실리케이트층(404) 양자를 통해 패턴이 전사된다.
도4e는 하드마스크로서 오가노실리케이트층(404)을 사용하여, 실리콘 디옥시드층(402)을 통하여 오가노실리케이트층(404) 내에 형성된 패턴을 전사시킴으로써, 집적회로의 제조 시퀀스가 완료됨을 나타낸다.
상기 실리콘 디옥시드층(402)을 패턴화시킨 다음, 적당한 화학에칭제를 사용하여 에칭시켜서, 기판(400)으로부터 오가노실리케이트층(404)을 임의로 박리시킬 수 있다.
C. 오가노실리케이트층이 포함된 다마신 구조물
도5a 내지 5e는 오가노실리케이트층을 내부에 포함시킨 다마신 구조물 제조 시퀀스의 각 단계에서의 기판(500)의 개략적인 단면도를 나타낸다. 다마신 구조물은 집적회로 상에 금속성 상호연결부를 형성시키는데 일반적으로 사용된다. 프로세싱의 특정단계에 따라서, 기판(500)은 실리콘기판, 또는 그 기판(500) 상에 이미 형성된 기타 물질층일 수도 있다. 도5a는, 예를 들어, 전도성 기능부(501), 중간금속 유전체(503), 베리어층(505) 및 그 위에 제 1 유전층(502)이 형성된 기판(500)의 단면도를 나타낸다. 상기 전도성 기능부(501)는 금속(예를 들어, 알루미늄, 구리)일 수도 있으며, 중간금속 유전체(503) 및 베리어층(505)은 낮은 유전 상수를 갖는 물질(예를 들어, 오가노실리케이트 물질, 실리콘 카바이드)일 수도 있다. 제 1 유전층(502)은 옥시드(예를 들어, 실리콘 디옥시드, 플루오로실리케이트 유리)일 수 있는데, 일반적으로 기판(500)은 실리콘, 실리시드, 금속 또는 기타 물질층을 포함할 수도 있다.
도5a는 기판(500)이 실리콘이며, 전도성 기능부(501)가 구리이고, 중간금속 유전체(503)가 실리콘 옥시드이며, 제 1 유전층(502)이 플루오로실리케이트 유리인 하나의 실시예를 나타낸다. 상기 제 1 유전층(502)의 두께는, 제작할 구조물의 크기에 따라 달라지긴 하지만, 대략 1000Å 내지 10000Å이다.
오가노실리케이트층(504)은 제 1 유전층(502) 상에 형성되는데, 이 오가노실리케이트층(504)은 상기 프로세스 파라미터에 따라서 제 1 유전층(502) 상에 형성된다. 다마신 구조물 내에 형성될 금속 상호연결부 사이의 용량결합을 방지하거나 최소화시키기 위한, 상기 오가노실리케이트층(504)의 유전 상수는 약 무반사 미만이다. 그러나, 층형성 동안에 가스 혼합물의 조성 뿐만 아니라 반응온도의 함수로서 오가노실리케이트층의 유전 상수가 목적하는 범위 내에서 변화될 수 있다는 점에서, 이 유전 상수는 조정될 수 있다.
상기 오가노실리케이트(504)의 두께는 프로세싱의 특정단계에 따라서 달라질 수 있다. 후속하여 그 위에 형성된 바닥 유전물질에 대한 에칭 선택도와 그것의 유전 상수에 따라 달라지긴 하지만(예를 들어, 상부 유전층에 대한 베리어층의 에칭선택도가 약 10:1를 훨씬 초과하는 것이 바람직함), 일반적으로 오가노실리케이트층(504)의 두께는 약 200Å 내지 약 1000Å이다.
도5b를 참고로 하여, 오가노실리케이트층(504)을 패턴화시키고 에칭시켜, 콘택트/바이어스가 형성될 영역 내에, 이 콘택트/바이어스 개구부(506)를 형성시키고, 제 1 유전층(502)을 노광시킨다. 상기 콘택트/바이어스 개구부(506)는 전도성 기능부(501) 상에 위치한다. 상기 오가노실리케이트층(504)은 통상적인 리소그래피(도4b 내지 4d를 참고로 하여 상기한 대로임)를 사용하여 패턴화시키고, 플루오로메탄(CF4), 플루오로에탄(C2F6), 플루오로부텐(C4F8) 및 이들의 조합체와 같은 플루오로카본 화합물을 사용하여 에칭된다.
오가노실리케이트층(504)을 패턴화시키고 나서, 도5c에 표시한 대로, 제 2 유전층(508)을 오가노실리케이트층(504) 상에 증착시킨다. 제 2 유전층(508)은 옥시드(예를 들어, 실리콘 디옥시드, 플루오로실리케이트 유리)일 수도 있으며, 제작할 구조물의 크기에 따라 달라지긴 하지만, 제 2 유전층(508)의 두께는 약 1000Å 내지 약 10000Å이다.
그리고 나서, 도5d에 도시한 대로 상호연결부(510)를 형성시키기 위해서 제 2 유전층(508)을 패턴화시키는데, 상기한 대로 통상적인 리소그래피 공정을 사용하는 것이 바람직하다. 제 2 유전층(508) 내에 형성된 상호연결부(510)를 오가노실리케이트층(504) 내의 콘택트/바이어스 개구부(506) 상에 정위시키고 나서, 전도성 기능부(501)의 표면을 노광시키기 위해서 상호연결부(510) 및 콘택트/바이어스(506)를, 반응성 이온에칭 또는 기타 비등방성 에칭기술을 사용하여 에칭시킨다.
도5e를 참고로 하여, 상호연결부(510) 및 콘택트/바이어스(506)를 알루미늄(Al), 구리(Cu), 텅스텐(W) 또는 이들의 조합체와 같은 전도성 물질(514)로 충전시킨다. 일반적으로, 상호연결부(510) 및 콘택트/바이어스(506)를 충전시키는데 저항이 낮은(저항율 약 1.7μΩ-cm) 구리를 사용한다. 상기 전도성 물질(514)을 화학 기상증착법, 물리 기상증착법, 전기도금법 또는 이들의 조합 방법으로 증착시켜서, 다마신 구조물을 형성한다. 바람직하게는, 탄탈륨, 탄탈륨 니트리드, 또는 기타 적합한 베리어 물질과 같은 베리어층(512)을 상호연결부(510) 및 콘택트/바이어스(506)의 측벽 위에 적당하게 먼저 증착시켜서, 근방의 유전층(502, 508) 뿐만 아니라 오가노실리케이트층(504)으로 금속이 이동되는 것을 방지한다.
본 발명의 취지에 부합되는 다수의 바람직한 실시예를 나열하여 상세하게 설명하였다 하더라도, 당업자는 이러한 취지에 부합되는 다수의 기타 변형실시예를 쉽게 고안해 낼 수 있다.
집적회로를 제조하는데 적합한 일종의 저유전 상수 물질인 본 발명의 오가노실리케이트층은, 집적회로 제조 시퀀스와 호환가능하며, 임의의 집적회로 연속제조시에 오가노실리케이트층을 무반사 코팅(ARC) 및 하드마스크로서 사용할 수도 있을 뿐만 아니라, 이 오가노실리케이트층을 다마신 구조물에 포함시켜서 사용할 수도 있다.

Claims (113)

  1. 박막 증착 방법으로서,
    증착 챔버 내에 기판을 정위(positioning)시키는 단계;
    가스 혼합물을 상기 증착 챔버에 제공하는 단계 - 상기 가스 혼합물은 페닐계 알콕시실란 화합물, 및 트리메틸실란(SiC3H10), 트리에틸실란(SiC6H16), 메틸실란(SiCH6), 디메틸실란(SiC2H8), 테트라메틸실란(SiC4H12), 메톡시실란(SiCH6O), 디메틸디메톡시실란(SiC4H12O2), 디에틸디에톡시실란(SiC8H20O2), 디메틸디에톡시실란(SiC6H16O2), 디에틸디에톡시실란(SiC6H16O2), 헥사메틸디실록산 (Si2C6H18O), 비스(메틸실라노)메탄(Si2C3H12), 1,2-비스(메틸실라노)에탄(Si2C4H14) 및 이들의 조합들의 그룹에서 선택된 오가노실란 화합물을 포함함 - ;
    상기 기판 상에 오가노실리케이트층을 형성하기 위해 상기 가스 혼합물을 반응시키는 단계
    를 포함하는 박막 증착 방법.
  2. 제1항에 있어서,
    상기 가스 혼합물은 상기 증착 챔버 내의 상기 가스 혼합물에 전기장을 인가함으로써 반응되는, 박막 증착 방법.
  3. 제1항에 있어서,
    상기 페닐계 알콕시실란 화합물은 하기의 화학식으로 표시되고,
    Figure 112008009868160-pat00042
    상기 식에서 R은 OxCyHz 치환기(substituent)를 포함하고, 상기 치환기에서 x는 0 내지 3의 범위 내이고, y는 0 내지 6의 범위 내이며, z는 1 내지 30의 범위 내인, 박막 증착 방법.
  4. 제3항에 있어서,
    상기 페닐계 알콕시실란 화합물은 페닐트리에톡시실란(SiO3C12H20), 페닐트리메톡시실란(SiO3C9H14), 디페닐디에톡시실란(SiO2C16H20), 디페닐디메톡시실란(SiO2C14H16) 및 이들의 조합들의 그룹에서 선택되는, 박막 증착 방법.
  5. 제1항에 있어서,
    상기 가스 혼합물은 산소-함유 가스를 더 포함하는, 박막 증착 방법.
  6. 제5항에 있어서,
    상기 산소-함유 가스는 아산화질소(N2O), 산소(O2), 오존(O3), 일산화탄소(CO), 이산화탄소(CO2) 및 이들의 조합들의 그룹에서 선택되는, 박막 증착 방법.
  7. 제2항에 있어서,
    상기 증착 챔버 내의 상기 가스 혼합물에 인가된 상기 전기장은 라디오 주파수(RF) 전력인, 박막 증착 방법.
  8. 제7항에 있어서,
    상기 RF 전력은 1 watts/㎠ 내지 1500 watts/㎠의 범위 내인, 박막 증착 방법.
  9. 제1항에 있어서,
    상기 증착 챔버는 1 torr 내지 500 torr의 압력으로 유지되는, 박막 증착 방법.
  10. 제1항에 있어서,
    상기 페닐계 알콕시실란 화합물은 10 mgm(mg/분) 내지 1500 mgm 범위 내의 유속으로 상기 증착 챔버에 제공되는, 박막 증착 방법.
  11. 제1항에 있어서,
    상기 오가노실란 화합물은 10 sccm 내지 2000 sccm 범위 내의 유속으로 상기 증착 챔버에 제공되는, 박막 증착 방법.
  12. 제5항에 있어서,
    상기 산소-함유 가스는 10 sccm 내지 2000 sccm 범위 내의 유속으로 상기 증착 챔버에 제공되는, 박막 증착 방법.
  13. 제1항에 있어서,
    상기 증착 챔버는 50℃ 내지 500℃의 온도로 유지되는, 박막 증착 방법.
  14. 제1항에 있어서,
    상기 가스 혼합물은 비활성 기체를 더 포함하는, 박막 증착 방법.
  15. 제14항에 있어서,
    상기 비활성 기체는 헬륨(He), 아르곤(Ar), 네온(Ne), 크세논(Xe), 질소(N2) 및 이들의 조합들의 그룹에서 선택되는, 박막 증착 방법.
  16. 제14항에 있어서,
    상기 비활성 기체는 1 sccm 내지 10000 sccm 범위 내의 유속으로 상기 증착 챔버에 제공되는, 박막 증착 방법.
  17. 기판 상에 오가노실리케이트층을 형성하는 방법으로서
    증착 챔버 내에 기판을 정위시키는 단계;
    가스 혼합물을 상기 증착 챔버에 제공하는 단계 - 상기 가스 혼합물은 하기의 화학식을 갖는 페닐계 알콕시실란 화합물을 포함하고,
    Figure 112008009868160-pat00043
    상기 식에서 R은 OxCyHz 치환기를 포함하고, 상기 치환기에서 x는 0 내지 3의 범위 내이고, y는 0 내지 6의 범위 내이며, z는 1 내지 30의 범위 내임 - ; 및
    상기 기판 상에 오가노실리케이트층을 형성하기 위해 상기 가스 혼합물을 반응시키는 단계
    를 포함하는, 기판 상에 오가노실리케이트층을 형성하는 방법.
  18. 제17항에 있어서,
    상기 가스 혼합물은 상기 증착 챔버 내의 상기 가스 혼합물에 전기장을 인가함으로써 반응되는, 기판 상에 오가노실리케이트층을 형성하는 방법.
  19. 제17항에 있어서,
    상기 가스 혼합물은 트리메틸실란(SiC3H10), 트리에틸실란(SiC6H16), 메틸실란(SiCH6), 디메틸실란(SiC2H8), 테트라메틸실란(SiC4H12), 메톡시실란(SiCH6O), 디메틸디메톡시실란(SiC4H12O2), 디에틸디에톡시실란(SiC8H20O2), 디메틸디에톡시실란(SiC6H16O2), 디에틸디에톡시실란(SiC6H16O2), 헥사메틸디실록산 (Si2C6H18O), 비스(메틸실라노)메탄(Si2C3H12), 1,2-비스(메틸실라노)에탄(Si2C4H14) 및 이들의 조합들의 그룹에서 선택된 오가노실란 화합물을 더 포함하는, 기판 상에 오가노실리케이트층을 형성하는 방법.
  20. 제17항에 있어서,
    상기 가스 혼합물은 산소-함유 가스를 더 포함하는, 기판 상에 오가노실리케이트층을 형성하는 방법.
  21. 제20항에 있어서,
    상기 산소-함유 가스는 아산화질소(N2O), 산소(O2), 오존(O3), 일산화탄소(CO), 이산화탄소(CO2) 및 이들의 조합들의 그룹에서 선택되는, 기판 상에 오가노실리케이트층을 형성하는 방법.
  22. 제18항에 있어서,
    상기 증착 챔버 내의 상기 가스 혼합물에 인가된 상기 전기장은 라디오 주파수(RF) 전력인, 기판 상에 오가노실리케이트층을 형성하는 방법.
  23. 제22항에 있어서,
    상기 RF 전력은 1 watts/㎠ 내지 1500 watts/㎠의 범위 내인, 기판 상에 오가노실리케이트층을 형성하는 방법.
  24. 제17항에 있어서,
    상기 증착 챔버는 1 torr 내지 500 torr의 압력으로 유지되는, 기판 상에 오가노실리케이트층을 형성하는 방법.
  25. 제17항에 있어서,
    상기 페닐계 알콕시실란 화합물은 10 mgm(mg/분) 내지 1500 mgm 범위 내의 유속으로 상기 증착 챔버에 제공되는, 기판 상에 오가노실리케이트층을 형성하는 방법.
  26. 제19항에 있어서,
    상기 오가노실란 화합물은 10 sccm 내지 2000 sccm 범위 내의 유속으로 상기 증착 챔버에 제공되는, 기판 상에 오가노실리케이트층을 형성하는 방법.
  27. 제20항에 있어서,
    상기 산소-함유 가스는 10 sccm 내지 2000 sccm 범위 내의 유속으로 상기 증착 챔버에 제공되는, 기판 상에 오가노실리케이트층을 형성하는 방법.
  28. 제17항에 있어서,
    상기 증착 챔버는 50℃ 내지 500℃의 온도로 유지되는, 기판 상에 오가노실리케이트층을 형성하는 방법.
  29. 제17항에 있어서,
    상기 가스 혼합물은 비활성 기체를 더 포함하는, 기판 상에 오가노실리케이트층을 형성하는 방법.
  30. 제29항에 있어서,
    상기 비활성 기체는 헬륨(He), 아르곤(Ar), 네온(Ne), 크세논(Xe), 질소(N2) 및 이들의 조합들의 그룹에서 선택되는, 기판 상에 오가노실리케이트층을 형성하는 방법.
  31. 제29항에 있어서,
    상기 비활성 기체는 1 sccm 내지 10000 sccm 범위 내의 유속으로 상기 증착 챔버에 제공되는, 기판 상에 오가노실리케이트층을 형성하는 방법.
  32. 제1항에 있어서,
    상기 오가노실리케이트층은 250 ㎚(나노미터) 미만의 파장에서 무반사 코팅(anti-reflective coating)인, 박막 증착 방법.
  33. 제1항에 있어서,
    상기 오가노실리케이트층은 3.0 미만의 유전 상수를 갖는, 박막 증착 방법.
  34. 제1항에 있어서,
    상기 오가노실리케이트층은 250 ㎚ 미만의 파장에서 0.1 내지 0.7 범위의 흡수 계수(absorption coefficient)를 갖는, 박막 증착 방법.
  35. 제1항에 있어서,
    상기 오가노실리케이트층은 1.2 내지 1.7 범위의 굴절률(refraction index)을 갖는, 박막 증착 방법.
  36. 제17항에 있어서,
    상기 오가노실리케이트층은 250 ㎚(나노미터) 미만의 파장에서 무반사 코팅인, 기판 상에 오가노실리케이트층을 형성하는 방법.
  37. 제17항에 있어서,
    상기 오가노실리케이트층은 3.0 미만의 유전 상수를 갖는, 기판 상에 오가노실리케이트층을 형성하는 방법.
  38. 제17항에 있어서,
    상기 오가노실리케이트층은 250 ㎚ 미만의 파장에서 0.1 내지 0.7 범위의 흡수 계수를 갖는, 기판 상에 오가노실리케이트층을 형성하는 방법.
  39. 제17항에 있어서,
    상기 오가노실리케이트층은 1.2 내지 1.7 범위의 굴절률을 갖는, 기판 상에 오가노실리케이트층을 형성하는 방법.
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
  63. 삭제
  64. 삭제
  65. 삭제
  66. 삭제
  67. 삭제
  68. 삭제
  69. 삭제
  70. 삭제
  71. 삭제
  72. 삭제
  73. 삭제
  74. 삭제
  75. 삭제
  76. 삭제
  77. 삭제
  78. 삭제
  79. 삭제
  80. 삭제
  81. 삭제
  82. 삭제
  83. 삭제
  84. 삭제
  85. 삭제
  86. 삭제
  87. 삭제
  88. 삭제
  89. 삭제
  90. 삭제
  91. 삭제
  92. 삭제
  93. 삭제
  94. 삭제
  95. 삭제
  96. 삭제
  97. 삭제
  98. 삭제
  99. 삭제
  100. 삭제
  101. 삭제
  102. 삭제
  103. 삭제
  104. 삭제
  105. 삭제
  106. 삭제
  107. 삭제
  108. 삭제
  109. 삭제
  110. 삭제
  111. 삭제
  112. 삭제
  113. 삭제
KR1020010074264A 2000-11-27 2001-11-27 오가노실리케이트층의 증착방법 KR100849573B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/723,886 US6500773B1 (en) 2000-11-27 2000-11-27 Method of depositing organosilicate layers
US09/723,886 2000-11-27

Publications (2)

Publication Number Publication Date
KR20020041320A KR20020041320A (ko) 2002-06-01
KR100849573B1 true KR100849573B1 (ko) 2008-07-31

Family

ID=24908107

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010074264A KR100849573B1 (ko) 2000-11-27 2001-11-27 오가노실리케이트층의 증착방법

Country Status (6)

Country Link
US (1) US6500773B1 (ko)
EP (1) EP1209728A3 (ko)
JP (1) JP4709450B2 (ko)
KR (1) KR100849573B1 (ko)
SG (1) SG102010A1 (ko)
TW (1) TW552308B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101770718B1 (ko) 2014-12-12 2017-08-24 (주)디엔에프 실리콘 전구체 및 이를 이용한 실리콘함유 박막의 제조방법

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7105460B2 (en) 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
KR101106425B1 (ko) * 2002-12-13 2012-01-18 어플라이드 머티어리얼스, 인코포레이티드 질소-비함유 유전성 반사방지 코팅부 및 하드마스크
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
JP4032044B2 (ja) * 2003-06-17 2008-01-16 株式会社半導体プロセス研究所 成膜方法、半導体装置の製造方法及び半導体装置
US20050100682A1 (en) * 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
US7199046B2 (en) * 2003-11-14 2007-04-03 Tokyo Electron Ltd. Structure comprising tunable anti-reflective coating and method of forming thereof
US20070184379A1 (en) * 2004-03-01 2007-08-09 Tokyo Electron Limited Peeling-off method and reworking method of resist film
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US7271093B2 (en) 2004-05-24 2007-09-18 Asm Japan K.K. Low-carbon-doped silicon oxide film and damascene structure using same
US7259111B2 (en) * 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
US7425350B2 (en) * 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US20070181420A1 (en) * 2006-02-07 2007-08-09 Ming-Tung Wang Wafer stage having an encapsulated central pedestal plate
US7485573B2 (en) * 2006-02-17 2009-02-03 International Business Machines Corporation Process of making a semiconductor device using multiple antireflective materials
US7972957B2 (en) * 2006-02-27 2011-07-05 Taiwan Semiconductor Manufacturing Company Method of making openings in a layer of a semiconductor device
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
KR102099712B1 (ko) 2013-01-15 2020-04-10 삼성전자주식회사 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US20170125241A1 (en) * 2015-10-30 2017-05-04 Applied Materials, Inc. Low temp single precursor arc hard mask for multilayer patterning application
US9793169B1 (en) * 2016-06-07 2017-10-17 Globalfoundries Inc. Methods for forming mask layers using a flowable carbon-containing silicon dioxide material
US10998259B2 (en) 2017-08-31 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980019144A (ko) * 1996-08-29 1998-06-05 모리시다 요이치 층간 절연막의 형성방법(Method of Forming Interlayer Insulation Film)

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07111957B2 (ja) 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH09326360A (ja) * 1996-03-07 1997-12-16 Lucent Technol Inc デバイスの製造方法
JP3226479B2 (ja) * 1996-08-29 2001-11-05 松下電器産業株式会社 層間絶縁膜の形成方法
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
JP3173426B2 (ja) * 1997-06-09 2001-06-04 日本電気株式会社 シリカ絶縁膜の製造方法及び半導体装置の製造方法
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
JP3726226B2 (ja) * 1998-02-05 2005-12-14 日本エー・エス・エム株式会社 絶縁膜及びその製造方法
DE19904311A1 (de) 1998-02-06 1999-08-12 Nat Semiconductor Corp Verfahren zum Aufbringen eines kohlenstoffdotierten Dünnfilms aus Siliciumoxid auf ein Substrat
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6060132A (en) 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980019144A (ko) * 1996-08-29 1998-06-05 모리시다 요이치 층간 절연막의 형성방법(Method of Forming Interlayer Insulation Film)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101770718B1 (ko) 2014-12-12 2017-08-24 (주)디엔에프 실리콘 전구체 및 이를 이용한 실리콘함유 박막의 제조방법

Also Published As

Publication number Publication date
EP1209728A3 (en) 2004-10-27
EP1209728A2 (en) 2002-05-29
TW552308B (en) 2003-09-11
US6500773B1 (en) 2002-12-31
SG102010A1 (en) 2004-02-27
JP4709450B2 (ja) 2011-06-22
JP2002235172A (ja) 2002-08-23
KR20020041320A (ko) 2002-06-01

Similar Documents

Publication Publication Date Title
KR100849573B1 (ko) 오가노실리케이트층의 증착방법
US6465366B1 (en) Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US7117064B2 (en) Method of depositing dielectric films
US6537733B2 (en) Method of depositing low dielectric constant silicon carbide layers
KR100818953B1 (ko) 유기실리케이트층을 증착시키는 방법
US6777171B2 (en) Fluorine-containing layers for damascene structures
US7244672B2 (en) Selective etching of organosilicate films over silicon oxide stop etch layers
US6632735B2 (en) Method of depositing low dielectric constant carbon doped silicon oxide
US6573196B1 (en) Method of depositing organosilicate layers
US6472333B2 (en) Silicon carbide cap layers for low dielectric constant silicon oxide layers

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130628

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140627

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee