KR970074892A - 금속 층 및 필름용 기계화학적 연마 슬러리 - Google Patents

금속 층 및 필름용 기계화학적 연마 슬러리 Download PDF

Info

Publication number
KR970074892A
KR970074892A KR1019970017792A KR19970017792A KR970074892A KR 970074892 A KR970074892 A KR 970074892A KR 1019970017792 A KR1019970017792 A KR 1019970017792A KR 19970017792 A KR19970017792 A KR 19970017792A KR 970074892 A KR970074892 A KR 970074892A
Authority
KR
South Korea
Prior art keywords
acid
slurry
metal oxide
abrasive
salt
Prior art date
Application number
KR1019970017792A
Other languages
English (en)
Inventor
데브라 엘. 스켈버
블라스타 부루직 카우프만
로드니 씨. 키슬러
브리언 엘. 뮐러
크리스토퍼 씨. 스트라인즈
Original Assignee
마르타 앤 피네간
캐보트 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마르타 앤 피네간, 캐보트 코포레이션 filed Critical 마르타 앤 피네간
Publication of KR970074892A publication Critical patent/KR970074892A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Abstract

통합 회로 제조와 관련된 여러 단계의 다수준 인터코넥트 제작동안의 금속층 및 필름의 기계화학적 연마용 연마 슬러리, 이 슬러리는 수성 매질, 마모제, 산화제 및 유기산을 포함한다. 이 연마 슬러리로 이산화규소 연마 속도를 유의하게 저하시키거나 억제하여 강화된 선택도를 얻게 되었다. 이외에, 이 연마 슬러리는 표면 결점 및 결함을 최소화하면서 필요한 연마 속도로 금속층을 효과적으로 연마하는 데 유용하다.
또한, 본 발명의 슬러리를 이용하여 기관상의 공판상 금속/절연체 필름을 제조하는 방법 및 그와 관련된 기계화학적 연마 기술이 개시된다.

Description

금속 층 및 필름용 기계화학적 연마 슬러리
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 실시예 6에서 설명된 마모 동안 및 그 후의 금속 붕괴의 전기화학 측정에 사용된 장치의 도식도.

Claims (59)

  1. 수성 매질, 마모제, 산화제 및 유기산을 함유하며, 금속 층 또는 박막과 절연체 층 사이의 선택도가 50 : 1 이상인, 절연체 층과 하나 이상의 금속 층 또는 박막을 함유하는 기판을 연마하기 위한 기계화학적 연마 슬러리.
  2. 제1항에 있어서, 상기 수성 매질이 탈이온수인 슬러리.
  3. 제1항에 있어서, 상기 수성 매질이 증류수인 슬러리.
  4. 제1항에 있어서, 상기 마모제가 금속 산화물 마모제인 슬러리.
  5. 제4항에 있어서, 상기 금속 산화물 마모제가 알루미나, 세리아, 게르마니아, 실리카, 티타니아, 지르코니아, 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 슬러리.
  6. 제4항에 있어서, 상기 금속 산화물 마모제가 약1.0μ 미만의 크기 분포를 가지며 약 0.4μ미만의 평균 응집물 직경을 갖는 금속 산화물 응집물로 이루어지는 슬러리.
  7. 제4항에 있어서, 상기 금속 산화물 마모제가 약 0.400μ 미만의 기본 입자 직경 및 약 10㎡/g 내지 약 250㎡/g의 표면적을 갖는 분리되고, 개별적인 금속 산화물 구형물로 이루어지는 슬러리.
  8. 제1항에 있어서, 상기 마모제가 약 5㎡/g 내지 약 430㎡/g의 표면적을 갖는 슬러리.
  9. 제8항에 있어서, 상기 마모제가 약 30㎡/g 내지 약 170㎡/g의 표면적을 갖는 슬러리.
  10. 제1항에 있어서, 상기 마모제가 상기 슬러지 중에 약0.5중량% 내지 55중량%의 범위로 존재하는 슬러리.
  11. 제10항에 있어서, 상기 마모제가 상기 슬러지 중에 약 1중량% 내지 10중량%의 범위로 존재하는 슬러리.
  12. 제4항에 있어서, 상기 금속 산화물 마모제가 침전된 마모제 또는 훈연 마모제인 슬러리.
  13. 제5항에 있어서, 상기 알루미나가 침전된 알루미나 또는 훈연 알루미나인 슬러리.
  14. 제1항에 있어서, 상기 산화제가 산화 금속염인 슬러리.
  15. 제1항에 있어서, 상기 산화제가 산화 금속 착물인 슬러리.
  16. 제1항에 있어서, 상기 산화제가 산화 비금속 화합물인 슬러리.
  17. 제16항에 있어서, 상기 산화 비금속 화합물이 과황산암모늄, 과산화수소, 과아세트산, 과요오드산 및 이들의 혼합물인 슬러리.
  18. 제1항에 있어서, 상기 산화제가 철염, 알루미늄염, 나트륨염, 칼륨염, 암모늄염, 4차 암모늄염, 포스포늄염, 과산화물, 염소산염, 과염소산염, 질산염, 과망간산염, 과황산염, 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 슬러리.
  19. 제1항에 있어서, 상기 유기산이 1가의 산, 2가의 산, 히드록실산, 카르복실산, 킬레이팅 산 및 바킬레이팅산, 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 슬러리.
  20. 제1항에 있어서, 상기 유기산이 아세트산, 아디프산, 부티르산, 카프르산, 카프로산, 카프릴산, 시트르산, 글루타르산, 글리콜산, 포름산, 푸마르산, 락트산, 라우르산, 말산, 말레산, 말론산, 미리스트산, 옥살산, 팔미트산, 프탈산, 프로피온산, 피루브산, 스테아르산, 숙신산, 타르타르산, 발레르산 및 이들의 유도체로 이루어지는 군으로부터 선택되는 슬러리.
  21. 제1항에 있어서, 상기 유기산이 상기 슬러리의 산화물 선택도를 개선하기에 충분한 양으로 슬러리에 존재하는 슬러리.
  22. 제21항에 있어서, 상기 유기산이 0.5중량% 내지 약 7중량%의 양으로 존재하는 슬러리.
  23. 제1항에 있어서, 계면활성제를 더 포함하는 슬러리.
  24. 제23항에 있어서, 상기 계면활성제가 음이온성 계면활성제, 양이온성 계면활성제. 비이온성 계면활성제. 양쪽성 계면활성제 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 슬러리.
  25. 제1항에 있어서, 무기산을 더 포함하는 슬러리.
  26. 제1항에 있어서, 무기산염을 더 포함하는 슬러리.
  27. a) 수성 매질, 마모제, 산화제 및 유기산을 함유하며, 금속 층 또는 박막과 절연체 층 사이의 선택도가 50 : 1 이상인 기계화학적 연마 슬러리를 제공하고, b) 반도체 기판상에서 상기 슬러리를 사용하여 금속 층 또는 박막을 기계화학적 연마하는 단계로 이루어지는, 절연체 층과 하나 이상의 금속 층 또는 박막을 함유하는 기판의 기계화학적 연마 방법.
  28. 제27항에 있어서, 상기 금속 층 또는 박막이 알루미늄, 구리, 티타늄, 탄탈륨 및 이들의 합금으로 이루어지는 군으로부터 선택되는 방법.
  29. 제28항에 있어서, 상기 금속 층 또는 박막이 알루미늄 또는 알루미늄 합금인 방법.
  30. 제29항에 있어서, 상기 알루미늄 층 또는 박막이 티타늄, 티타늄 질화물, 티타늄 텅스텐, 탄탈륨, 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 하나 이상의 하부층을 더 포함하는 방법.
  31. 제28항에 있어서, 상기 금속 층 또는 박막이 구리인 방법.
  32. 제31항에 있어서, 상기 구리층 또는 박막이 티타늄, 티타늄 질화물, 티타늄 텅스텐, 탄탈륨, 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 하나 이상의 하부층을 더 포함하는 방법.
  33. 제27항에 있어서, 수성 매질이 탈이온수인 방법.
  34. 제27항에 있어서, 상기 수성 매질이 증류수인 방법.
  35. 제27항에 있어서, 상기 마모제가 금속 산화물 마모제인 방법.
  36. 제35항에 있어서, 상기 금속 산화물 마모제가 알루미나, 세리아, 게르마니아, 티타니아, 실리카, 지르코니아, 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 방법.
  37. 제35항에 있어서, 상기 금속 산화물 마모제가 약 1.0μ 미만의 크기 분포를 가지며, 약 0.4μ 미만의 대응하는 평균 응집물 직경을 갖는 금속 산화물 응집물로 이루어지는 방법.
  38. 제35항에 있어서, 상기 금속 산화물 마모제가 약 0.400μ 미만의 기본 입자 직경 및 약㎡/g 내지 약 250㎡/g의 표면적을 갖는 분리되고, 개별적인 금속 산화물 구형물로 이루어지는 방법.
  39. 제27항에 있어서, 상기 마모제가 약 5㎡/g 내지 약 430㎡/g의 표면적을 갖는 방법.
  40. 제39항에 있어서, 상기 마모제가 약 30㎡/g 내지 약 170㎡/g의 표면적을 갖는 방법.
  41. 제27항에 있어서, 상기 마모제가 상기 슬러리 중에 약 0.5중량% 내지 55중량%로 존재하는 방법.
  42. 제41항에 있어서, 상기 마모제가 상기 슬러리 중에 약 1중량% 내지 10중량%로 존재하는 방법.
  43. 제35항에 있어서, 상기 금속 산화물 마모제가 침전된 마모제 또는 훈연 마모제인 방법.
  44. 제36항에 있어서, 상기 알루미나가 침전된 알루미나 또는 훈연 알루미나인 방법.
  45. 제27항에 있어서, 상기 산화제가 산화 금속염인 방법.
  46. 제27항에 있어서, 상기 산화제가 산화 금속 착물인 방법.
  47. 제27항에 있어서, 상기 산화제가 산화 비금속성 착물인 방법.
  48. 제47항에 있어서, 상기 산화 비금속 착물이 과황산암모늄, 과산화수소, 과아세트산, 과요오드산 및 이들의 혼합물인 방법.
  49. 제27항에 있어서, 상기 산화제가 철염, 알루미늄염, 나트륨염, 칼륨염, 암모늄염, 4차 암모늄염, 포스포늄염, 과산화물, 염소산염, 과염소산염, 질산염, 과망간산염, 과황산염, 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 방법.
  50. 제27항에 있어서, 상기 유기산이 1가의 산, 2가의 산, 히드록실산, 카르복실산, 킬레이팅 산 및 비킬레이팅산, 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 것인 방법.
  51. 제50항에 있어서, 상기 유기산이 아세트산, 아디프산, 부티르산, 카프르산, 카프로산, 카프릴산, 시트르산, 글루타르산, 글리콜산, 포름산, 푸마르산, 락트산, 라우르산, 말산, 말레산, 말론산, 미리스트산, 옥살산, 팔미트산. 프탈산, 프로피온산, 피루브산, 스테아르산, 숙신산, 타르타르산, 발레르산 및 이들의 유도체로 이루어지는 군으로부터 선택되는 방법.
  52. 제27항에 있어서, 상기 유기산이 상기 슬러리의 산화물 선택도를 개선하기에 충분한 양으로 슬러리에 존재하는 방법.
  53. 제52항에 있어서, 상기 유기산이 0.5중량% 내지 약 7중량%의 양으로 존재하는 방법.
  54. 제27항에 있어서, 상기 슬러리가 계면활성제를 더 포함하는 방법.
  55. 제54항에 있어서, 상기 계면활성제가 음이온성 계면활성제, 비이온성 계면활성제, 양쪽성 계면활성제 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 방법.
  56. 제27항에 있어서, 상기 슬러리가 무기산을 더 포함하는 방법.
  57. 제27항에 있어서, 상기 슬러리가 무기산염을 더 포함하는 방법.
  58. 제30항에 있어서, 상기 알루미늄 층 또는 박막과 상기 하부층 사이의 선택도가 10 : 1 이하인 방법.
  59. 제32항에 있어서, 상기 구리층 또는 박막과 상기 하부층 사이의 선택도가 10 : 1 이하인 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019970017792A 1996-05-10 1997-05-09 금속 층 및 필름용 기계화학적 연마 슬러리 KR970074892A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/644,509 US5858813A (en) 1996-05-10 1996-05-10 Chemical mechanical polishing slurry for metal layers and films
US08/644,509 1996-05-10

Publications (1)

Publication Number Publication Date
KR970074892A true KR970074892A (ko) 1997-12-10

Family

ID=24585213

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970017792A KR970074892A (ko) 1996-05-10 1997-05-09 금속 층 및 필름용 기계화학적 연마 슬러리

Country Status (9)

Country Link
US (1) US5858813A (ko)
EP (1) EP0811665A3 (ko)
JP (1) JPH1044047A (ko)
KR (1) KR970074892A (ko)
AU (1) AU2804897A (ko)
ID (1) ID16901A (ko)
IL (1) IL120753A (ko)
TW (1) TW332895B (ko)
WO (1) WO1997043087A1 (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100307487B1 (ko) * 1997-12-22 2001-10-19 한신혁 평탄화를위한화학기계적연마방법및그장치
KR20020047418A (ko) * 2000-12-13 2002-06-22 안복현 반도체 소자의 금속층 연마용 슬러리
KR100402442B1 (ko) * 1999-12-28 2003-10-22 토쿄 지기 인사츠 가부시키가이샤 화학적 기계적 연마용 슬러리
KR100407296B1 (ko) * 2000-12-18 2003-11-28 주식회사 하이닉스반도체 티타늄알루미늄나이트라이드의 화학적기계적연마 방법
KR100425261B1 (ko) * 2001-06-13 2004-03-30 제일모직주식회사 반도체 소자의 금속층 연마용 고순도 슬러리
KR100498814B1 (ko) * 2002-10-18 2005-07-01 주식회사 동진쎄미켐 텅스텐 막에 대한 연마 속도가 우수하고 안정성이 뛰어난화학-기계적 연마 슬러리 조성물
KR100504359B1 (ko) * 2000-02-04 2005-07-28 쇼와 덴코 가부시키가이샤 Lsi 디바이스 연마용 조성물 및 lsi 디바이스의제조 방법

Families Citing this family (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6546939B1 (en) * 1990-11-05 2003-04-15 Ekc Technology, Inc. Post clean treatment
US6046110A (en) 1995-06-08 2000-04-04 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing a semiconductor device
US5916819A (en) 1996-07-17 1999-06-29 Micron Technology, Inc. Planarization fluid composition chelating agents and planarization method using same
US20020111024A1 (en) * 1996-07-25 2002-08-15 Small Robert J. Chemical mechanical polishing compositions
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
EP0852615B1 (en) * 1996-07-25 2005-12-14 DuPont Air Products NanoMaterials L.L.C. Chemical mechanical polishing composition and process
US20040140288A1 (en) * 1996-07-25 2004-07-22 Bakul Patel Wet etch of titanium-tungsten film
JP2008277848A (ja) * 1996-07-26 2008-11-13 Ekc Technol Inc 化学機械研磨組成物及び化学機械研磨方法
US6039891A (en) * 1996-09-24 2000-03-21 Cabot Corporation Multi-oxidizer precursor for chemical mechanical polishing
US6132637A (en) 1996-09-27 2000-10-17 Rodel Holdings, Inc. Composition and method for polishing a composite of silica and silicon nitride
CA2263241C (en) * 1996-09-30 2004-11-16 Masato Yoshida Cerium oxide abrasive and method of abrading substrates
US6126853A (en) 1996-12-09 2000-10-03 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6602439B1 (en) * 1997-02-24 2003-08-05 Superior Micropowders, Llc Chemical-mechanical planarization slurries and powders and methods for using same
US6204169B1 (en) * 1997-03-24 2001-03-20 Motorola Inc. Processing for polishing dissimilar conductive layers in a semiconductor device
US6022400A (en) * 1997-05-22 2000-02-08 Nippon Steel Corporation Polishing abrasive grains, polishing agent and polishing method
US20040229468A1 (en) * 1997-10-31 2004-11-18 Seiichi Kondo Polishing method
JP3371775B2 (ja) * 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
US6149696A (en) * 1997-11-06 2000-11-21 Komag, Inc. Colloidal silica slurry for NiP plated disk polishing
JP3039493B2 (ja) * 1997-11-28 2000-05-08 日本電気株式会社 基板の洗浄方法及び洗浄溶液
JPH11181403A (ja) * 1997-12-18 1999-07-06 Hitachi Chem Co Ltd 酸化セリウム研磨剤及び基板の研磨法
MY117813A (en) * 1998-01-08 2004-08-30 Nissan Chemical Ind Ltd Alumina powder, process for producing the same and polishing composition.
US5989301A (en) 1998-02-18 1999-11-23 Saint-Gobain Industrial Ceramics, Inc. Optical polishing formulation
JP3147072B2 (ja) * 1998-02-26 2001-03-19 日本電気株式会社 半導体装置の製造方法
US6432828B2 (en) * 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6159076A (en) * 1998-05-28 2000-12-12 Komag, Inc. Slurry comprising a ligand or chelating agent for polishing a surface
JP3998813B2 (ja) * 1998-06-15 2007-10-31 株式会社フジミインコーポレーテッド 研磨用組成物
GB2384003B (en) * 1998-06-15 2003-09-03 Fujimi Inc Polishing composition
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6217416B1 (en) * 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6533832B2 (en) 1998-06-26 2003-03-18 Cabot Microelectronics Corporation Chemical mechanical polishing slurry and method for using same
CA2342332A1 (en) 1998-08-31 2000-03-09 Hiroki Terazaki Abrasive liquid for metal and method for polishing
US6468909B1 (en) 1998-09-03 2002-10-22 Micron Technology, Inc. Isolation and/or removal of ionic contaminants from planarization fluid compositions using macrocyclic polyethers and methods of using such compositions
JP2000183003A (ja) 1998-10-07 2000-06-30 Toshiba Corp 銅系金属用研磨組成物および半導体装置の製造方法
US6143656A (en) * 1998-10-22 2000-11-07 Advanced Micro Devices, Inc. Slurry for chemical mechanical polishing of copper
US6346202B1 (en) 1999-03-25 2002-02-12 Beaver Creek Concepts Inc Finishing with partial organic boundary layer
US6541381B2 (en) 1998-11-06 2003-04-01 Beaver Creek Concepts Inc Finishing method for semiconductor wafers using a lubricating boundary layer
US6267644B1 (en) 1998-11-06 2001-07-31 Beaver Creek Concepts Inc Fixed abrasive finishing element having aids finishing method
US6634927B1 (en) 1998-11-06 2003-10-21 Charles J Molnar Finishing element using finishing aids
US6656023B1 (en) * 1998-11-06 2003-12-02 Beaver Creek Concepts Inc In situ control with lubricant and tracking
US6291349B1 (en) 1999-03-25 2001-09-18 Beaver Creek Concepts Inc Abrasive finishing with partial organic boundary layer
US6739947B1 (en) 1998-11-06 2004-05-25 Beaver Creek Concepts Inc In situ friction detector method and apparatus
US7131890B1 (en) 1998-11-06 2006-11-07 Beaver Creek Concepts, Inc. In situ finishing control
US6293851B1 (en) 1998-11-06 2001-09-25 Beaver Creek Concepts Inc Fixed abrasive finishing method using lubricants
US6568989B1 (en) 1999-04-01 2003-05-27 Beaver Creek Concepts Inc Semiconductor wafer finishing control
US6428388B2 (en) 1998-11-06 2002-08-06 Beaver Creek Concepts Inc. Finishing element with finishing aids
US6083840A (en) * 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
US6140239A (en) * 1998-11-25 2000-10-31 Advanced Micro Devices, Inc. Chemically removable Cu CMP slurry abrasive
US7427337B2 (en) * 1998-12-01 2008-09-23 Novellus Systems, Inc. System for electropolishing and electrochemical mechanical polishing
US7425250B2 (en) * 1998-12-01 2008-09-16 Novellus Systems, Inc. Electrochemical mechanical processing apparatus
US7578923B2 (en) * 1998-12-01 2009-08-25 Novellus Systems, Inc. Electropolishing system and process
US6497800B1 (en) * 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US6413388B1 (en) 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
JP2000160139A (ja) * 1998-12-01 2000-06-13 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US7204924B2 (en) * 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US6610190B2 (en) * 2000-11-03 2003-08-26 Nutool, Inc. Method and apparatus for electrodeposition of uniform film with minimal edge exclusion on substrate
US6136714A (en) * 1998-12-17 2000-10-24 Siemens Aktiengesellschaft Methods for enhancing the metal removal rate during the chemical-mechanical polishing process of a semiconductor
US6783434B1 (en) * 1998-12-25 2004-08-31 Hitachi Chemical Company, Ltd. CMP abrasive, liquid additive for CMP abrasive and method for polishing substrate
EP1833085A1 (en) * 1998-12-28 2007-09-12 Hitachi Chemical Company, Ltd. Materials for polishing liquid for metal, polishing liquid for metal, method for preparation thereof and polishing method using the same
KR100447552B1 (ko) 1999-03-18 2004-09-08 가부시끼가이샤 도시바 수계 분산체 및 반도체 장치의 제조에 사용하는 화학 기계연마용 수계 분산체 및 반도체 장치의 제조 방법 및 매립배선의 형성 방법
US6551933B1 (en) 1999-03-25 2003-04-22 Beaver Creek Concepts Inc Abrasive finishing with lubricant and tracking
DE19927286B4 (de) * 1999-06-15 2011-07-28 Qimonda AG, 81739 Verwendung einer Schleiflösung zum chemisch-mechanischen Polieren einer Edelmetall-Oberfläche
US6238450B1 (en) 1999-06-16 2001-05-29 Saint-Gobain Industrial Ceramics, Inc. Ceria powder
US6419554B2 (en) * 1999-06-24 2002-07-16 Micron Technology, Inc. Fixed abrasive chemical-mechanical planarization of titanium nitride
US6387810B2 (en) * 1999-06-28 2002-05-14 International Business Machines Corporation Method for homogenizing device parameters through photoresist planarization
KR100490963B1 (ko) * 1999-07-13 2005-05-24 카오카부시키가이샤 연마액 조성물
US6573173B2 (en) 1999-07-13 2003-06-03 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
US6274478B1 (en) 1999-07-13 2001-08-14 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
US6159077A (en) * 1999-07-30 2000-12-12 Corning Incorporated Colloidal silica polishing abrasive
US6322425B1 (en) 1999-07-30 2001-11-27 Corning Incorporated Colloidal polishing of fused silica
TW499471B (en) * 1999-09-01 2002-08-21 Eternal Chemical Co Ltd Chemical mechanical/abrasive composition for semiconductor processing
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
CN1125862C (zh) * 1999-09-20 2003-10-29 长兴化学工业股份有限公司 半导体加工用化学机械研磨组合物
US6280490B1 (en) * 1999-09-27 2001-08-28 Fujimi America Inc. Polishing composition and method for producing a memory hard disk
US6258140B1 (en) * 1999-09-27 2001-07-10 Fujimi America Inc. Polishing composition
JP4075247B2 (ja) * 1999-09-30 2008-04-16 Jsr株式会社 化学機械研磨用水系分散体
US6509269B2 (en) 1999-10-19 2003-01-21 Applied Materials, Inc. Elimination of pad glazing for Al CMP
KR20010046395A (ko) * 1999-11-12 2001-06-15 안복현 연마용 조성물
KR100343391B1 (ko) 1999-11-18 2002-08-01 삼성전자 주식회사 화학 및 기계적 연마용 비선택성 슬러리 및 그제조방법과, 이를 이용하여 웨이퍼상의 절연층 내에플러그를 형성하는 방법
JP2003516626A (ja) * 1999-12-07 2003-05-13 キャボット マイクロエレクトロニクス コーポレイション 化学的機械研磨方法
US6103569A (en) * 1999-12-13 2000-08-15 Chartered Semiconductor Manufacturing Ltd. Method for planarizing local interconnects
US6612915B1 (en) 1999-12-27 2003-09-02 Nutool Inc. Work piece carrier head for plating and polishing
JP3450247B2 (ja) 1999-12-28 2003-09-22 Necエレクトロニクス株式会社 金属配線形成方法
JP3490038B2 (ja) * 1999-12-28 2004-01-26 Necエレクトロニクス株式会社 金属配線形成方法
US6375548B1 (en) * 1999-12-30 2002-04-23 Micron Technology, Inc. Chemical-mechanical polishing methods
TW572980B (en) 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
US6454820B2 (en) * 2000-02-03 2002-09-24 Kao Corporation Polishing composition
US6461958B1 (en) 2000-02-04 2002-10-08 Seagate Technology Llc Polishing memory disk substrates with reclaim slurry
TWI296006B (ko) 2000-02-09 2008-04-21 Jsr Corp
US6354916B1 (en) 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US20090020437A1 (en) * 2000-02-23 2009-01-22 Basol Bulent M Method and system for controlled material removal by electrochemical polishing
US6332831B1 (en) 2000-04-06 2001-12-25 Fujimi America Inc. Polishing composition and method for producing a memory hard disk
US6328774B1 (en) 2000-02-23 2001-12-11 Fujimi America Inc. Polishing composition and method for producing a memory hard disk
US20060131177A1 (en) * 2000-02-23 2006-06-22 Jeffrey Bogart Means to eliminate bubble entrapment during electrochemical processing of workpiece surface
US7141146B2 (en) * 2000-02-23 2006-11-28 Asm Nutool, Inc. Means to improve center to edge uniformity of electrochemical mechanical processing of workpiece surface
US6852208B2 (en) 2000-03-17 2005-02-08 Nutool, Inc. Method and apparatus for full surface electrotreating of a wafer
US6482307B2 (en) 2000-05-12 2002-11-19 Nutool, Inc. Method of and apparatus for making electrical contact to wafer surface for full-face electroplating or electropolishing
JP2001269859A (ja) 2000-03-27 2001-10-02 Jsr Corp 化学機械研磨用水系分散体
US20060118425A1 (en) * 2000-04-19 2006-06-08 Basol Bulent M Process to minimize and/or eliminate conductive material coating over the top surface of a patterned substrate
AU2001247109A1 (en) * 2000-04-27 2001-11-12 Nutool, Inc. Conductive structure for use in multi-level metallization and process
TWI268286B (en) * 2000-04-28 2006-12-11 Kao Corp Roll-off reducing agent
US6409781B1 (en) * 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US7195696B2 (en) * 2000-05-11 2007-03-27 Novellus Systems, Inc. Electrode assembly for electrochemical processing of workpiece
US6478936B1 (en) * 2000-05-11 2002-11-12 Nutool Inc. Anode assembly for plating and planarizing a conductive layer
US6695962B2 (en) 2001-05-01 2004-02-24 Nutool Inc. Anode designs for planar metal deposits with enhanced electrolyte solution blending and process of supplying electrolyte solution using such designs
US6454821B1 (en) * 2000-06-21 2002-09-24 Praxair S. T. Technology, Inc. Polishing composition and method
US6653242B1 (en) * 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
US6646348B1 (en) * 2000-07-05 2003-11-11 Cabot Microelectronics Corporation Silane containing polishing composition for CMP
KR100674895B1 (ko) * 2000-07-18 2007-01-26 삼성전자주식회사 산화막 cmp용 슬러리
US6921551B2 (en) * 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US7754061B2 (en) * 2000-08-10 2010-07-13 Novellus Systems, Inc. Method for controlling conductor deposition on predetermined portions of a wafer
JP4719204B2 (ja) * 2000-08-21 2011-07-06 株式会社東芝 化学機械研磨用スラリおよび半導体装置の製造方法
US6461227B1 (en) 2000-10-17 2002-10-08 Cabot Microelectronics Corporation Method of polishing a memory or rigid disk with an ammonia-and/or halide-containing composition
JP3816743B2 (ja) 2000-11-24 2006-08-30 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
JP3825246B2 (ja) 2000-11-24 2006-09-27 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
US6802946B2 (en) 2000-12-21 2004-10-12 Nutool Inc. Apparatus for controlling thickness uniformity of electroplated and electroetched layers
WO2002061810A1 (en) * 2001-01-16 2002-08-08 Cabot Microelectronics Corporation Ammonium oxalate-containing polishing system and method
US6866763B2 (en) * 2001-01-17 2005-03-15 Asm Nutool. Inc. Method and system monitoring and controlling film thickness profile during plating and electroetching
JP2002231666A (ja) 2001-01-31 2002-08-16 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
EP1234800A1 (de) * 2001-02-22 2002-08-28 Degussa Aktiengesellschaft Wässrige Dispersion, Verfahren zu ihrer Herstellung und Verwendung
US6811680B2 (en) 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US6796883B1 (en) 2001-03-15 2004-09-28 Beaver Creek Concepts Inc Controlled lubricated finishing
US6627550B2 (en) 2001-03-27 2003-09-30 Micron Technology, Inc. Post-planarization clean-up
JP4439755B2 (ja) * 2001-03-29 2010-03-24 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いたメモリーハードディスクの製造方法
US6627546B2 (en) 2001-06-29 2003-09-30 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
SG144688A1 (en) 2001-07-23 2008-08-28 Fujimi Inc Polishing composition and polishing method employing it
KR100432637B1 (ko) * 2001-08-07 2004-05-22 제일모직주식회사 구리배선 연마용 cmp 슬러리
US6953389B2 (en) * 2001-08-09 2005-10-11 Cheil Industries, Inc. Metal CMP slurry compositions that favor mechanical removal of oxides with reduced susceptibility to micro-scratching
KR100459101B1 (ko) * 2001-08-09 2004-12-03 제일모직주식회사 금속배선용 cmp 슬러리 조성물
JP4954398B2 (ja) * 2001-08-09 2012-06-13 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
TW591089B (en) * 2001-08-09 2004-06-11 Cheil Ind Inc Slurry composition for use in chemical mechanical polishing of metal wiring
US6800218B2 (en) * 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US7156717B2 (en) 2001-09-20 2007-01-02 Molnar Charles J situ finishing aid control
US6638326B2 (en) * 2001-09-25 2003-10-28 Ekc Technology, Inc. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
JP3899456B2 (ja) 2001-10-19 2007-03-28 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
US6705926B2 (en) * 2001-10-24 2004-03-16 Cabot Microelectronics Corporation Boron-containing polishing system and method
KR20030035637A (ko) * 2001-11-01 2003-05-09 제일모직주식회사 구리배선 연마용 cmp 슬러리
US6866792B2 (en) * 2001-12-12 2005-03-15 Ekc Technology, Inc. Compositions for chemical mechanical planarization of copper
KR100445760B1 (ko) * 2001-12-28 2004-08-25 제일모직주식회사 금속오염이 적은 금속배선 연마용 슬러리 조성물
JP2003257910A (ja) * 2001-12-28 2003-09-12 Fujikoshi Mach Corp 基板における銅層の研磨方法
FR2835844B1 (fr) * 2002-02-13 2006-12-15 Clariant Procede de polissage mecano-chimique de substrats metalliques
JP2005518670A (ja) * 2002-02-26 2005-06-23 アプライド マテリアルズ インコーポレイテッド 基板を研磨するための方法及び組成物
ATE273799T1 (de) * 2002-03-22 2004-09-15 Degussa Dispersion, streichfarbe und aufnahmemedium
US20050040049A1 (en) * 2002-09-20 2005-02-24 Rimma Volodarsky Anode assembly for plating and planarizing a conductive layer
JP4083528B2 (ja) 2002-10-01 2008-04-30 株式会社フジミインコーポレーテッド 研磨用組成物
JP3981616B2 (ja) * 2002-10-02 2007-09-26 株式会社フジミインコーポレーテッド 研磨用組成物
KR100442549B1 (ko) * 2002-10-16 2004-07-30 제일모직주식회사 연마성능이 우수하고 안정성이 향상된, 금속 연마를 위한cmp용 슬러리 조성물 및 그 제조방법
KR100649859B1 (ko) * 2002-11-08 2006-11-24 제일모직주식회사 구리배선 연마용 cmp 슬러리
KR100497409B1 (ko) * 2002-12-10 2005-06-28 제일모직주식회사 금속배선층 연마용 cmp 슬러리 조성물
KR100516887B1 (ko) * 2002-12-10 2005-09-23 제일모직주식회사 금속배선층 연마용 cmp 슬러리 조성물
US20040123528A1 (en) * 2002-12-30 2004-07-01 Jung Jong Goo CMP slurry for semiconductor device, and method for manufacturing semiconductor device using the same
US7106173B2 (en) * 2003-01-03 2006-09-12 Battelle Memorial Institute Tags, wireless communication systems, tag communication methods, and wireless communications methods
US7300602B2 (en) 2003-01-23 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Selective barrier metal polishing solution
US7229600B2 (en) * 2003-01-31 2007-06-12 Nanoproducts Corporation Nanoparticles of rare earth oxides
JP4202183B2 (ja) * 2003-05-09 2008-12-24 株式会社フジミインコーポレーテッド 研磨用組成物
WO2004111145A1 (en) * 2003-06-13 2004-12-23 Showa Denko K.K. Polishing composition and polishing method
US20040259479A1 (en) * 2003-06-23 2004-12-23 Cabot Microelectronics Corporation Polishing pad for electrochemical-mechanical polishing
US7186653B2 (en) 2003-07-30 2007-03-06 Climax Engineered Materials, Llc Polishing slurries and methods for chemical mechanical polishing
US7300603B2 (en) * 2003-08-05 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical planarization compositions for reducing erosion in semiconductor wafers
DE10337199A1 (de) 2003-08-13 2005-03-10 Degussa Ceroxidpulver
TWI347969B (en) * 2003-09-30 2011-09-01 Fujimi Inc Polishing composition
ATE463838T1 (de) * 2003-09-30 2010-04-15 Fujimi Inc Polierzusammensetzung und polierverfahren
KR100630678B1 (ko) * 2003-10-09 2006-10-02 삼성전자주식회사 알루미늄막의 화학적 기계적 연마용 슬러리, 그 슬러리를사용하는 화학적 기계적 연마 방법 및 그 방법을 사용하는알루미늄 배선 형성방법
US20050109980A1 (en) * 2003-11-25 2005-05-26 Hongyu Wang Polishing composition for CMP having abrasive particles
US7648622B2 (en) * 2004-02-27 2010-01-19 Novellus Systems, Inc. System and method for electrochemical mechanical polishing
JP2005268664A (ja) * 2004-03-19 2005-09-29 Fujimi Inc 研磨用組成物
JP2005268666A (ja) * 2004-03-19 2005-09-29 Fujimi Inc 研磨用組成物
JP4316406B2 (ja) * 2004-03-22 2009-08-19 株式会社フジミインコーポレーテッド 研磨用組成物
JP4644434B2 (ja) * 2004-03-24 2011-03-02 株式会社フジミインコーポレーテッド 研磨用組成物
KR101072269B1 (ko) * 2004-03-30 2011-10-11 주식회사 동진쎄미켐 에쳔트를 포함하는 화학-기계적 연마 슬러리 조성물
US7253111B2 (en) 2004-04-21 2007-08-07 Rohm And Haas Electronic Materials Cmp Holding, Inc. Barrier polishing solution
US7780842B2 (en) * 2004-06-11 2010-08-24 Carnegie Mellon University Apparatus and method for determining the zeta potential of surfaces for the measurement of streaming metrics related thereto
KR101072271B1 (ko) * 2005-03-14 2011-10-11 주식회사 동진쎄미켐 화학 기계적 연마 슬러리 조성물용 산화제 및 그 제조방법
KR100850877B1 (ko) * 2004-06-18 2008-08-07 주식회사 동진쎄미켐 철 함유 콜로이달 실리카를 포함하는 화학 기계적 연마슬러리 조성물
JP2006086462A (ja) * 2004-09-17 2006-03-30 Fujimi Inc 研磨用組成物およびそれを用いた配線構造体の製造法
US20070218811A1 (en) * 2004-09-27 2007-09-20 Hitachi Chemical Co., Ltd. Cmp polishing slurry and method of polishing substrate
US7524347B2 (en) * 2004-10-28 2009-04-28 Cabot Microelectronics Corporation CMP composition comprising surfactant
JP2006135072A (ja) * 2004-11-05 2006-05-25 Fujimi Inc 研磨方法
US7504044B2 (en) 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7531105B2 (en) * 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
KR100614773B1 (ko) * 2004-12-28 2006-08-22 삼성전자주식회사 화학 기계적 연마 방법
KR100673635B1 (ko) 2004-12-30 2007-01-24 제일모직주식회사 구리 배선용 cmp 슬러리 조성물
KR101275964B1 (ko) * 2005-02-23 2013-06-14 제이에스알 가부시끼가이샤 화학 기계 연마방법
DE602006013110D1 (de) * 2005-03-25 2010-05-06 Dupont Air Prod Nanomaterials In chemisch-mechanischen reinigungszusammensetzungen verwendete dihydroxy-enol-verbindungen mit metall-ionen-oxidationsmitteln
JP4635694B2 (ja) * 2005-04-15 2011-02-23 日立化成工業株式会社 磁性金属膜と絶縁材料膜とを含む複合膜を研磨するための研磨材および研磨方法
US7939482B2 (en) * 2005-05-25 2011-05-10 Freescale Semiconductor, Inc. Cleaning solution for a semiconductor wafer
US8062096B2 (en) * 2005-06-30 2011-11-22 Cabot Microelectronics Corporation Use of CMP for aluminum mirror and solar cell fabrication
JP5026710B2 (ja) * 2005-09-02 2012-09-19 株式会社フジミインコーポレーテッド 研磨用組成物
DE112006002323T5 (de) * 2005-09-02 2008-07-10 Fujimi Incorporated, Kiyosu Poliermittel
WO2007038399A2 (en) * 2005-09-26 2007-04-05 Cabot Microelectronics Corporation Metal cations for initiating chemical mechanical polishing
US20070068902A1 (en) * 2005-09-29 2007-03-29 Yasushi Matsunami Polishing composition and polishing method
JP4734090B2 (ja) * 2005-10-31 2011-07-27 株式会社東芝 半導体装置の製造方法
WO2007067180A1 (en) * 2005-12-09 2007-06-14 Carnegie Mellon University Apparatus and method for determining the zeta potential of surfaces and for the measurement of streaming metrics related thereto
KR100772925B1 (ko) * 2005-12-15 2007-11-05 테크노세미켐 주식회사 구리 다마신 공정용 화학 기계적 연마 슬러리 조성물
US20070147551A1 (en) * 2005-12-26 2007-06-28 Katsumi Mabuchi Abrasive-free polishing slurry and CMP process
KR100699185B1 (ko) 2005-12-28 2007-03-23 제일모직주식회사 금속배선 연마용 cmp 슬러리
US7294576B1 (en) * 2006-06-29 2007-11-13 Cabot Microelectronics Corporation Tunable selectivity slurries in CMP applications
US8500985B2 (en) * 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
SG139699A1 (en) * 2006-08-02 2008-02-29 Fujimi Inc Polishing composition and polishing process
US20100273330A1 (en) * 2006-08-23 2010-10-28 Citibank N.A. As Collateral Agent Rinse formulation for use in the manufacture of an integrated circuit
US7998866B2 (en) * 2006-09-05 2011-08-16 Cabot Microelectronics Corporation Silicon carbide polishing method utilizing water-soluble oxidizers
US7678700B2 (en) * 2006-09-05 2010-03-16 Cabot Microelectronics Corporation Silicon carbide polishing method utilizing water-soluble oxidizers
US9129907B2 (en) * 2006-09-08 2015-09-08 Cabot Microelectronics Corporation Onium-containing CMP compositions and methods of use thereof
US20080116171A1 (en) * 2006-11-22 2008-05-22 Clarkson University Method For The Preferential Polishing Of Silicon Nitride Versus Silicon Oxide
US20080237048A1 (en) * 2007-03-30 2008-10-02 Ismail Emesh Method and apparatus for selective electrofilling of through-wafer vias
JP4853435B2 (ja) * 2007-09-11 2012-01-11 Jsr株式会社 化学機械研磨用水系分散体
JP2009164186A (ja) * 2007-12-28 2009-07-23 Fujimi Inc 研磨用組成物
JP2009164188A (ja) * 2007-12-28 2009-07-23 Fujimi Inc 研磨用組成物
US7922926B2 (en) 2008-01-08 2011-04-12 Cabot Microelectronics Corporation Composition and method for polishing nickel-phosphorous-coated aluminum hard disks
CN101906269A (zh) * 2009-06-08 2010-12-08 安集微电子科技(上海)有限公司 一种金属化学机械抛光的浆料及其使用方法
JP2010034581A (ja) * 2009-11-04 2010-02-12 Jsr Corp 化学機械研磨用水系分散体
JP2013084876A (ja) * 2011-09-30 2013-05-09 Fujimi Inc 研磨用組成物
US9039914B2 (en) 2012-05-23 2015-05-26 Cabot Microelectronics Corporation Polishing composition for nickel-phosphorous-coated memory disks
US10287457B2 (en) * 2012-11-02 2019-05-14 Lawrence Livermore National Security, Llc Polishing slurry preventing agglomeration of charged colloids without loss of surface activity
JP6255471B1 (ja) * 2016-12-28 2017-12-27 日揮触媒化成株式会社 シリカ粒子分散液及びその製造方法
CN110962280B (zh) * 2018-09-28 2021-09-03 比亚迪股份有限公司 金属树脂复合体及其制备方法和电子产品外壳

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5953317B2 (ja) * 1983-03-10 1984-12-24 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション 非晶質酸化アルミニウム表面の化学的−機械的研摩方法
UST105402I4 (en) * 1983-03-10 1985-05-07 Method for polishing amorphous aluminum oxide
JPH06313164A (ja) * 1993-04-28 1994-11-08 Fujimi Inkooporeetetsudo:Kk 研磨用組成物
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5575885A (en) * 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
US5525191A (en) * 1994-07-25 1996-06-11 Motorola, Inc. Process for polishing a semiconductor substrate
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5614444A (en) * 1995-06-06 1997-03-25 Sematech, Inc. Method of using additives with silica-based slurries to enhance selectivity in metal CMP

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100307487B1 (ko) * 1997-12-22 2001-10-19 한신혁 평탄화를위한화학기계적연마방법및그장치
KR100402442B1 (ko) * 1999-12-28 2003-10-22 토쿄 지기 인사츠 가부시키가이샤 화학적 기계적 연마용 슬러리
KR100504359B1 (ko) * 2000-02-04 2005-07-28 쇼와 덴코 가부시키가이샤 Lsi 디바이스 연마용 조성물 및 lsi 디바이스의제조 방법
KR20020047418A (ko) * 2000-12-13 2002-06-22 안복현 반도체 소자의 금속층 연마용 슬러리
KR100407296B1 (ko) * 2000-12-18 2003-11-28 주식회사 하이닉스반도체 티타늄알루미늄나이트라이드의 화학적기계적연마 방법
KR100425261B1 (ko) * 2001-06-13 2004-03-30 제일모직주식회사 반도체 소자의 금속층 연마용 고순도 슬러리
KR100498814B1 (ko) * 2002-10-18 2005-07-01 주식회사 동진쎄미켐 텅스텐 막에 대한 연마 속도가 우수하고 안정성이 뛰어난화학-기계적 연마 슬러리 조성물

Also Published As

Publication number Publication date
EP0811665A2 (en) 1997-12-10
IL120753A (en) 2000-12-06
IL120753A0 (en) 1997-09-30
TW332895B (en) 1998-06-01
WO1997043087A1 (en) 1997-11-20
US5858813A (en) 1999-01-12
EP0811665A3 (en) 1998-10-21
AU2804897A (en) 1997-12-05
ID16901A (id) 1997-11-20
JPH1044047A (ja) 1998-02-17

Similar Documents

Publication Publication Date Title
KR970074892A (ko) 금속 층 및 필름용 기계화학적 연마 슬러리
US6432828B2 (en) Chemical mechanical polishing slurry useful for copper substrates
US5954997A (en) Chemical mechanical polishing slurry useful for copper substrates
US6812193B2 (en) Slurry for mechanical polishing (CMP) of metals and use thereof
JP2819196B2 (ja) 研磨用合成物および研磨方法
US5980775A (en) Composition and slurry useful for metal CMP
US6063306A (en) Chemical mechanical polishing slurry useful for copper/tantalum substrate
US5783489A (en) Multi-oxidizer slurry for chemical mechanical polishing
JP3507628B2 (ja) 化学的機械研磨用研磨組成物
US6068787A (en) Composition and slurry useful for metal CMP
JP4560294B2 (ja) タンタルバリア除去溶液
JP4576117B2 (ja) 研磨剤、その製造方法及び研磨方法
US6083840A (en) Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
JP4805826B2 (ja) 化学的機械的研磨組成物およびその使用方法
US20080277378A1 (en) Method for Chemical-Mechanical Planarization of Copper
KR20000068476A (ko) 티타늄 함유 복합체 연마용 조성물 및 방법
KR100956216B1 (ko) 구리의 화학 기계적 평탄화를 위한 조성물
JP2005175437A (ja) Pvnoを有する化学的機械的平坦化組成物および関連使用方法
JP4206233B2 (ja) 研磨剤および研磨方法
JP3192968B2 (ja) 銅系金属用研磨液および半導体装置の製造方法
US7223156B2 (en) Method chemical-mechanical polishing and planarizing corundum, GaAs, GaP and GaAs/GaP alloy surfaces
JPWO2007060859A1 (ja) アルミニウム膜研磨用研磨液及びこれを用いたアルミニウム膜の研磨方法
JP2001031953A (ja) 金属膜用研磨剤
JP2003510802A (ja) 銅又はタングステンの研磨用スラリー溶液
JPH10279928A (ja) 研磨速度抑制化合物

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application