KR20110020269A - 정전 척 - Google Patents

정전 척 Download PDF

Info

Publication number
KR20110020269A
KR20110020269A KR1020107028501A KR20107028501A KR20110020269A KR 20110020269 A KR20110020269 A KR 20110020269A KR 1020107028501 A KR1020107028501 A KR 1020107028501A KR 20107028501 A KR20107028501 A KR 20107028501A KR 20110020269 A KR20110020269 A KR 20110020269A
Authority
KR
South Korea
Prior art keywords
protrusions
electrostatic chuck
substrate
electrostatic
chuck
Prior art date
Application number
KR1020107028501A
Other languages
English (en)
Other versions
KR101673039B1 (ko
Inventor
리차드 에이 쿡
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Publication of KR20110020269A publication Critical patent/KR20110020269A/ko
Application granted granted Critical
Publication of KR101673039B1 publication Critical patent/KR101673039B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Abstract

본 발명의 실시예에 따르면, 전극과, 기판을 정전 척에 정전 클램핑하기 위한 전하를 형성하기 위해 전극의 전압에 의해 활성화되는 표면층을 포함하는 정전 척을 제공한다. 표면층은 기판의 정전 클램핑 동안 기판을 돌기들 상에 지지하기 위해 돌기들을 에워싸는 표면층의 일부분들 위의 높이까지 연장되는 다수의 돌기를 포함한다. 이웃하는 돌기 쌍들 사이의 중심 대 중심 거리로 측정될 때, 돌기들은 표면층 전체에 실질적으로 균등하게 이격된다.

Description

정전 척{ELECTROSTATIC CHUCK}
관련 출원
본 출원은 "정전 척(Electrostatic Chuck)"이라는 명칭으로 2008년 5월 19일자로 출원된 미국 가출원 번호 제61/054,259호와 "정전 척(Electrostatic Chuck)"이라는 명칭으로 2008년 9월 5일자로 출원된 미국 가출원 번호 제61/094,700호의 이익을 주장한다. 상기 출원들의 전체 개시가 참고로서 이에 포함된다.
정전 척은 제조 공정 동안 기판을 고정 및 지지하고, 또한 기판을 기계적으로 클램핑하지 않고 기판에서 열을 제거한다. 정전 척의 사용 동안, 반도체 웨이퍼 같은 기판의 후방측이 정전력에 의해 정전 척의 면에 고정된다. 기판은 전극을 덮는 물질 표면층에 의해 정전 척의 정면에서 하나 이상의 전극으로부터 분리된다. 표면층은 쿨롬 척(Coulombic chuck)에서 전기 절연성이지만 존슨-라벡 척(Johnsen-Rahbek Chuck)에서는 약한 전도성이다. 정전 척의 표면층은 편평할 수 있고, 또는 기판의 후방측을 덮여있는 전극으로부터 추가로 분리하는 하나 이상의 돌기부, 돌출부, 또는 그 밖의 표면 특징부들을 구비할 수 있다. 가공 동안 기판에 가해진 열은 돌기들을 이용한 접촉 열 전도 및/또는 냉각 기체를 이용한 기체 열 전도에 의해 기판에서 정전 척으로 전달될 수 있다. 기판에서 열을 제거할 때 접촉 열 전도가 기체 열 전도보다 대체로 더 효율적이다. 그러나, 기판과 돌기들 사이의 접촉량을 제어하는 것이 어려울 수 있다.
미세전자 제조에서, 반도체와 메모리 장치의 형상이 점점 작아지고 웨이퍼, 평면 스크린 디스플레이, 레티클, 및 그 밖의 가공된 기판의 크기가 점점 커짐에 따라, 허용 가능한 미립자 오염 공정 사양이 더욱 제한적이 되었다. 웨이퍼가 척 클램핑 표면에 물리적으로 접촉 또는 장착되기 때문에, 정전 척 상의 입자의 효과가 특히 관심을 끈다. 정전 척의 장착 표면에 의해 임의의 미립자가 장착 표면과 기판 사이에 갇히게 되면, 기판이 갇혀있는 입자에 의해 변형될 수 있다. 예를 들어, 웨이퍼의 후방측이 편평한 기준 표면에 대해 정전 클램핑되면, 갇혀있는 입자들이 웨이퍼의 전방측의 변형을 초래할 수 있고, 따라서 평면에 놓이지 않게 될 것이다. 미국 특허 제6,835,415호에 따르면, 연구들은 편평한 정전 척의 10미크론의 입자가 1인치 이상의 반경 거리에 대해 레티클(즉, 테스트 웨이퍼)의 표면을 변위시킬 수 있음을 보여준다. 입자에 의해 유발된 변위의 실제 높이와 직경은 입자 크기, 입자 경도, 클램핑력, 레티클 두께 같은 수많은 매개변수들에 의해 좌우된다.
기판 가공 동안, 기판의 온도 제어, 기판의 최대 온도 상승 제한, 기판 표면의 온도 균일성 유지, 또는 이들의 임의의 조합을 수행할 수 있다는 것이 중요하다. 열악하고/하거나 불균일한 열 전달로 인해 기판 표면 전체에 과도한 온도 변형이 있으면, 기판이 변형될 수 있고 공정 화학 반응이 영향 받을 수 있다. 정전 척과의 직접 접촉 면적이 더 클수록, 접촉 열 전도에 의해 전달되는 열이 더 많아진다. 직접 접촉 면적의 크기는 기판과 정전 척의 접촉 표면들의 거칠기, 편평도, 경도의 함수일뿐만 아니라, 접촉 표면들 사이에 인가된 압력의 함수이다. 접촉 표면의 특성이 기판마다 다르고 접촉 표면의 특성이 시간에 따라 달라질 수 있기 때문에, 정전 척과 기판 사이의 접촉 열 전도도를 정확하게 제어하는 것이 어렵다.
미세전자장치, 레티클 마스크, 및 그 밖의 이러한 구조들에 대한 손상을 줄이거나 제거하고 제조 수율의 손실을 줄이거나 최소화하기 위해, 기판의 온도와 그 후방측의 입자들의 수를 제어하는 것이 중요하다. 정전 척 돌기들의 마모 특성, 거칠어진 돌기들의 높은 접촉 면적, 정전 척의 제조 동안 래핑 및 연마 동작의 효과는 모두 정전 척을 이용한 사용 동안 입자 애더들(particle adders)을 기판의 후방측에 제공할 수 있다.
본 발명의 실시예에 따르면, 전극과, 기판을 정전 척에 정전 클램핑하기 위한 전하를 형성하기 위해 전극의 전압에 의해 활성화되는 표면층을 포함하는 정전 척을 제공한다. 표면층은 기판의 정전 클램핑 동안 기판을 돌기들 상에 지지하기 위해 돌기들을 에워싸는 표면층의 일부분들 위의 높이까지 연장되는 다수의 돌기를 포함한다. 이웃하는 돌기 쌍들 사이의 중심 대 중심 거리로 측정될 때, 돌기들은 표면층 전체에 실질적으로 균등하게 이격된다.
다른 관련된 실시예들에서, 돌기들은 삼각 패턴으로 배열될 수 있다. 돌기들의 높이, 접촉 면적, 거칠기 중 하나 이상은 기판이 정전 클램핑 동안 가열될 때 기판의 온도와 온도 분포 중 하나 이상이 기판과 돌기들과 돌기들을 에워싼 표면층의 일부분들 사이의 공간에서 기체의 기체 열 전도에 의해 실질적으로 제어되도록 하는 것일 수 있다. 돌기들 각각의 상부 면적은 약 25% 또는 약 50% 또는 약 75%를 초과하여 정전 클램핑 동안 기판에 접촉할 수 있다. 기판을 정전 클램핑하는 단계와, 기판을 정전 클램핑으로부터 디클램핑(declamping)하는 단계와, 기판에 수행된 제조 공정 동안 정전 클램핑을 수행하는 단계 중 하나 이상의 단계를 포함하는 정전 척의 사용의 결과로, 약 5000개 미만의 입자 애더들 또는 약 3000개 미만의 입자 애더들 또는 약 2500개 미만의 입자 애더들 또는 약 1500개 미만의 입자 애더들이 기판의 후방측에 증착될 수 있다.
그 밖의 관련된 실시예들에서, 돌기들은 비정질 유전물질과 다결정 유전물질 중 하나 이상을 포함할 수 있는 하나 이상의 저응력 물질로 형성될 수 있다. 돌기들은 약 1012Ω-㎝를 초과하는 비저항을 갖는 유전물질을 포함할 수 있다. 유전물질은 규소, 하나 이상의 다른 요소를 포함하는 규소 합금, 탄화규소, 비-화학양론적 탄화규소 중 하나 이상을 포함할 수 있다. 또한, 돌기들은 알루미나와 질화알루미늄 중 하나 이상으로 이루어진 유전물질을 포함할 수 있다. 돌기들은 존슨-라벡 힘 또는 부분 하이브리드 존슨-라벡 힘이 정전 클램핑 동안 기판에 작용하지 않도록 유전물질을 포함할 수 있다. 또한, 돌기들은 컴플라이언트(compliant) 유전물질을 포함할 수 있고; 돌기들은 기판이 정전 클램핑 동안 존슨-라벡 효과에 의해 정전 척 상에 유지되도록 비저항을 갖는 유전물질을 포함할 수 있다.
다른 관련된 실시예들에서, 기판에 대한 돌기들의 접촉 면적은 정전 척의 전체 면적의 약 1% 내지 약 10%를 포함할 수 있다. 돌기들은 약 0.75㎜ 내지 약 1㎜의 직경을 가질 수 있다. 이웃하는 돌기 쌍들 사이의 중심 대 중심 거리는 약 8㎜ 미만이거나 약 6㎜ 미만이거나 약 4㎜ 미만이거나 약 2㎜ 미만일 수 있다. 돌기들은 기체 채널, 리프트 핀, 그라운드 핀 중 하나 이상에서 선택될 수 있는 정전 척의 표면 구조의 적어도 일부분을 포함하는 하나 이상의 부분 돌기를 포함할 수 있다. 돌기들의 높이는 기판과 돌기들과 돌기들을 에워싼 표면층의 일부분들 사이의 공간에서 정전 클램핑 동안 위치한 기체의 평균 자유 행정과 실질적으로 동일할 수 있다.
그 밖의 관련된 실시예들에서, 돌기들은 수공으로만 연마된 동종의 돌기들과 비교하여 적어도 일부 기계 연마에 의해 약 25% 내지 약 75% 또는 약 50% 감소된 표면 거칠기 메트릭을 갖는 상면을 포함할 수 있다. 돌기의 특성 라운딩 높이가 수공으로만 연마된 동종의 돌기의 대응하는 높이와 비교하여 더 짧도록 그리고 특성 라운딩 길이가 수공으로만 연마된 동종의 돌기의 대응하는 길이와 비교하여 더 길도록, 돌기들이 적어도 일부 기계 연마에 의해 변형된 가장자리 형상을 가질 수 있다. 특성 라운딩 길이에 대한 특성 라운딩 높이의 비율은 수공으로만 연마된 동종의 돌기와 비교하여 약 2배 내지 약 5배 또는 약 3배 내지 약 4배 감소될 수 있다. 0.16㎛ 이상의 입자 크기 범위의 약 5000개 미만의 입자 애더들 또는 약 2000개 미만의 입자 애더들이 정전 척의 사용의 결과로 기판의 후방측에 증착될 수 있다. 또한, 돌기의 특성 라운딩 길이에 대한 특성 라운딩 높이의 비율이 약 0.00407 내지 약 0.00306 또는 약 0.00611 내지 약 0.002444이도록, 돌기들이 변형된 가장자리 형상을 가질 수 있다.
본 발명에 따른 또 다른 실시예에서, 정전 척의 표면층은 전하 제어 표면층을 포함할 수 있다. 전하 제어 표면층은 약 1×108Ω/sq 내지 약 1×1011Ω/sq의 범위의 표면 비저항을 가질 수 있고, 탄화규소 조성물을 포함할 수 있다. 전하 제어 표면층의 표면 비저항은 탄화규소 조성물을 만들기 위해 사용되는 규소 전구체 기체와 탄소 전구체 기체의 양을 변경하여 제어될 수 있다. 탄화규소 조성물은 탄화규소 또는 비-화학양론적 탄화규소를 포함할 수 있다. 전하 제어 표면층은 하나 이상의 돌기와 표면 코팅층을 포함할 수 있다. 전하 제어 표면층은 탄화규소 조성물층을 유전체에 전면 증착하는(blanket deposition) 단계와, 포토리소그래피를 이용하여 탄화규소 조성물층을 패터닝하는 단계와, 하나 이상의 탄화규소 조성물 돌기가 남겨지도록 반응성 이온 식각을 이용하여 탄화규소 조성물층의 일부분들을 제거하는 단계에 의해 형성될 수 있다. 전하 제어 표면층은 비드 블라스팅 또는 식각을 이용하여 유전체층을 패터닝하는 단계와 전하 제어 표면층으로 유전체층을 등각(conformally) 코팅하는 단계에 의해 형성될 수 있다. 전하 제어 표면층은 다이아몬드상 탄소, 비정질 규소, 금속 도핑된 산화물, 및 이들의 조합으로 이루어진 군에서 선택된 하나 이상의 물질을 포함할 수 있다.
전술한 설명은 상이한 도면들에서 유사한 도면 번호가 동일한 구성 요소를 가리키는 첨부 도면에 도시된 바와 같은 후술하는 본 발명의 예시적인 실시예들의 보다 상세한 설명에 의해 명백해질 것이다. 도면들은 반드시 정확한 축척을 나타내는 것이 아니라 본 발명의 실시예들의 설명에 중점을 둔 것이다.
도 1은 종래 기술에 따른 정전 척의 표면의 돌기들 사이에서 휘어진 기판의 측횡단면도이다.
도 2는 본 발명의 실시예에 따른 정전 척의 횡단면도이다.
도 3은 본 발명의 실시예에 따른 정전 척의 제1 층과 유전체층의 횡단면도이다.
도 4는 본 발명의 실시예에 따른 정전 척의 표면의 유전체 돌기의 윤곽을 나타낸 프로필로미터 맵이다.
도 5a는 본 발명의 실시예에 따른 정전 척의 표면의 돌기들의 패턴의 예시도이다.
도 5b는 종래 기술의 돌기의 가장자리 로딩과 비교하여 본 발명의 실시예의 정전 척의 돌기의 균일한 로딩을 도시한 개략적인 음영도이다.
도 6은 본 발명의 실시예에 따른 다양한 돌기 직경과 중심 대 중심 융기(bump) 간격에 대해 웨이퍼와 정전 척 돌기들 사이에서 계산된 힘의 그래프이다.
도 7은 본 발명의 실시예에 따른 상이한 돌기 직경과 중심 대 중심 돌기 간격에 대해 계산된 접촉 면적의 그래프이다.
도 8은 본 발명의 실시예에 따른 4㎜의 중심 대 중심 간격과 0.75㎜의 직경을 특징으로 하는 정전 척의 돌기들의 도면이다.
도 9a와 도 9b는 본 발명의 실시예에 따른 추가 패드 연마 단계를 포함하는/포함하지 않는(각각 도 9a와 도 9b) 정전 척의 돌기의 횡단면 프로파일의 그래프들이다.
도 10a와 도 10b는 각각 본 발명의 실시예에 따른 도 9a와 도 9b의 돌기들의 횡단면 프로파일들의 확대도들이다.
도 11은 본 발명의 실시예에 따른 전하 제어 표면층을 포함하는 정전 척을 도시한다.
도 12는 도 11의 실시예의 정전 척의 돌기들을 위해 사용된 표면 패턴을 도시한다.
도 13은 도 11의 실시예의 기판 접촉 표면의 개략적인 횡단면도이다.
도 14는 본 발명의 실시예에 따른 전하 제어 물질의 등각 코팅을 이용한, 도 11의 정전 척을 위한 대안적인 코팅 방식을 도시한다.
이하, 본 발명의 예시적인 실시예들을 설명한다.
본 발명자들은 정전 처킹 동안 기판과 정전 척의 돌기들 사이의 힘의 불균일한 로딩이 척의 사용의 결과로 또는 척의 사용 동안에 기판의 후방측에 증착될 수 있는 입자들의 원인이라는 것을 인지했다. 또한, 처킹 동안 기판이 돌기들에 불균일하게 로딩되면 기판과 정전 척 사이에 일관되지 않은 접촉 열 전도도를 초래할 수 있다. 기판과 돌기들 사이의 힘의 불균일한 로딩은 기판이 돌기의 중앙부에 인접하여 상승하고/하거나 돌기들 사이에서 휘어질 때 일어날 수 있고, 결과적으로 기판과 정전 척 사이의 힘이 돌기들의 표면 전체에 분배되기보다는 돌기들의 외부 가장자리 영역들에 분배되게 한다. 일부 경우에서, 불균일한 로딩은 돌기의 전체 면적보다 더 적은 면적이 기판에 접촉하게 하고, 이는 돌기들의 더 작은 영역에 더 높은 힘의 집중을 초래할 수 있다.
정전 척의 사용의 결과로 또는 정전 척의 사용 동안에 기판과 돌기들 사이의 힘의 불균일한 로딩은 돌기와 관련된 입자들을 초래할 수 있다. 본 발명의 실시예에 따르면, 돌기들의 면적 전체에 정전 척과 기판 사이의 힘을 분배하고 척의 표면 전체에 돌기들을 실질적으로 동일하게 이격시킴에 의해, 이러한 입자들을 줄이거나 제거할 수 있다. 본 발명의 실시예에 따른 정전 척에서, 돌기들은 기체 열 전도가 기판 온도와 기판 온도 분포를 제어하도록 높이, 접촉 면적, 거칠기를 가질 수 있다. 본 발명의 실시예에 따르면, 각 돌기의 면적의 25%를 초과하는 면적이 처킹 동안 기판에 접촉할 수 있다. 또한, 기판과 돌기들 사이의 불균일한 로딩에 의한 기판의 후방측의 입자들의 수는 5000개 미만의 애더일 수 있고, 일부 경우에서 3000개 미만의 애더일 수 있고, 다른 경우에서 2500개 미만의 애더일 수 있고, 또 다른 경우에서 1500개 미만의 애더일 수 있다. 더 적은 수의 입자들은 더 균일한 기판 로딩 분포와, 돌기의 중앙부의 웨이퍼의 상승 감소, 돌기들 사이의 웨이퍼의 휨 감소, 돌기들과 기판 사이의 접촉력의 감소를 나타내며, 이는 돌기들과 관련된 입자들의 감소를 가져온다. 이러한 입자들의 수가 적을수록, 제조 결함의 수가 적어지고, 정전 척의 기체 밀봉이 개선되고, 제조 수율이 향상된다.
본 발명의 실시예에 따르면, 정전 척은 처킹된 기판의 후방측에 접촉하는 정전 척의 표면 전체에 실질적으로 균등하게 이격된 돌기들을 갖는 표면을 구비한다. 돌기들의 간격, 높이, 접촉 면적은 기판을 처리하는 공정 동안 허용 온도와 온도 균일성을 제공하도록 배열된다. 돌기들의 배열은, 기판을 고정하고 돌기들 전체에 힘을 분배하는 정전 척과 기판 사이에 힘을 제공하고, 따라서 예를 들어 돌기들과 관련된 3000개 미만의 입자들이 힘 또는 접촉에 의해 기판의 후방측에 추가된다. 돌기들은 정전 척 기체 밀봉부를 통해 기체 누출을 줄일 수 있고 응력 균열 또는 파괴에 의해 초래되는 입자들의 수를 줄이는 저응력 물질로 이루어진다. 정전 척 돌기들의 배열은 돌기에 대한 기판의 불균일한 로딩을 줄이거나 제거할 수 있고, 입자들을 줄일 수 있고, 기판 전체에 더 나은 온도 제어 및 균일성, 또는 이들을 포함하는 임의의 조합을 제공할 수 있다.
본 발명의 실시예에 따르면, 정전 척은 정전 척의 면 전체에 형성된 다수의 돌기들을 특징으로 하여 돌기들에 의해 기판의 더 균일한 로딩을 제공하고, 돌기들 또는 그 일부는 정전 척의 표면 전체에 균등하게 이격된다. 예를 들어, 돌기들은 삼각 패턴과 같은 패턴으로 배열될 수 있다. 돌기들의 접촉 면적은 정전 척 면적의 1% 초과 내지 약 10% 미만의 범위일 수 있다. 돌기들은 0.75㎜ 내지 1㎜의 직경을 갖도록 배열될 수 있고, 8㎜ 미만의 거리만큼 실질적으로 균등하게 이격될 수 있다. 이러한 정전 척에 의해 고정된 웨이퍼는 돌기들 사이의 영역에서 휘지 않고 돌기들의 중앙부에서 상승하지 않고 실질적으로 유지될 수 있고, 그로 인해 원하지 않은 입자들의 생성을 방지한다. 본 발명에 따른 실시예는 돌기들과 관련된 기판의 후방측의 입자들을 줄이거나 제거하고, 기판 전체에 실질적으로 균일한 온도와 온도 범위 또는 분포를 제공한다.
본 발명의 실시예에 따르면, 기판의 후방측에 접촉하도록 정전 척의 표면 전체에 돌기들을 균등하게 또는 실질적으로 균등하게 이격시킴에 의해, 기판과 정전 척의 표면 사이의 힘의 불균일한 로딩의 결과로 기판의 후방측에 추가되는 입자들을 줄이거나 제거할 수 있다. 정전 척의 돌기들의 간격과 접촉 면적은 제조 공정 동안 기판의 허용 가능한 열 제거를 제공하도록 배열된다. 또한, 돌기들의 간격과 접촉 면적은 기판이 돌기들 사이에서 휘지 않게 웨이퍼를 고정하고 돌기들 전체에 하중을 분배하는 정전 척과 기판 사이에 힘을 제공하고, 그로 인해 기판의 후방측의 돌기들과 관련된 입자들의 수를 감소시킨다.
도 1은 종래 기술에 따른 정전 척(104)의 표면(103)의 돌기들(101, 102) 사이에서 휘어진 기판(100)의 측횡단면도이다. 정전력의 압력(105) 하에서, 기판(100)은 돌기들(101, 102) 사이의 영역(106)에서 아래로 휘어지고, 돌기들(101, 102)의 중앙 영역(107)에서 상승한다. (도시의 목적으로 도 1에서 휨과 상승의 정도가 과장되어 있다). 기판(100)의 휨과 상승의 결과로, 기판(100)과 돌기들의 가장자리들(108, 109) 사이에 높은 접촉력이 발생할 수 있고, 이는 국부적인 응력 면적과 원하지 않은 입자들을 생성할 수 있으며, 그 위치는 정전 척의 돌기들(101, 102)의 위치 및/또는 특징과 관련될 수 있다. 도 1에 도시된 바와 같이, 처킹 동안 돌기들(101, 102) 사이의 기판(100)의 휨과 돌기들(101, 102)의 중앙부(107)에 있을 수 있는 기판의 상승은 돌기들(101, 102)에 불균일한 로딩과 기판의 후방측에 입자들을 초래할 수 있다.
대조적으로, 본 발명의 실시예에 따르면, 정전 처킹된 기판의 후방측에 접촉하는 균등하게 이격된 돌기들은 기판 후방측의 돌기들과 관련된 미립자 오염을 줄일 수 있고, 기판 전체에 균일한 온도를 발생할 수 있으며, 강한 처킹력을 발생할 수 있다. 돌기들 중 하나 이상의 돌기에서 기판의 상승을 줄이거나 없애고, 돌기들 사이에서 기판의 휨을 줄이거나 없애고, 돌기들 상에 기판 힘의 더 균일한 로딩을 제공하고, 불균일한 기판 대 돌기 로딩으로 인한 돌기들과 관련된 입자들을 줄이도록, 기판의 후방측에 접촉하는 임의의 돌기의 면적이 선택될 수 있다. 본 발명에 따른 일 실시예에서, 각 돌기의 면적의 25%를 초과하는 면적이 처킹 동안 기판에 접촉하고, 다른 실시예에서 각 돌기의 면적의 50%를 초과하는 면적이 처킹 동안 기판에 접촉하고, 본 발명의 또 다른 실시예에서 각 돌기의 면적의 75%를 초과하는 면적이 처킹 동안 기판에 접촉한다. 돌기의 접촉 면적의 양은 돌기들과 관련된 기판 후방측 입자들의 감소에 의한 또는 돌기들 상의 테스트 기판과 이송 가능한 마킹 물질 사이의 마킹에 의한 공정 동안의 기판의 편평도에 의해 결정될 수 있다. 일 실시예에서, 돌기들은 실질적으로 원통형이고, 0.5㎜ 초과 내지 1.5㎜ 미만의 범위의 상면 직경을 가질 수 있다. 이와 유사한 면적을 갖는 그 밖의 형상의 돌기들이 또한 사용될 수 있다.
본 발명의 실시예에 따르면, 돌기들 또는 그들의 일부는 정전 척 표면 전체에 균등하게 또는 실질적으로 균등하게 이격되고, 유전체층의 전극의 위에 놓여있다. 돌기들 간의 간격은 하나의 돌기의 상부 중심과 인접한 돌기들의 상부 중심간에 측정될 수 있다. 간격은 규칙적인 패턴일 수 있다. 예를 들어, 일 실시예에서, 돌기들의 간격은 돌기들의 사각 패턴과 비교하여 처킹된 웨이퍼 또는 그 밖의 기판의 단위 면적당 힘을 20% 내지 30% 감소시키는 삼각 패턴이다. 본 발명의 실시예에 따르면, 기체 채널, 리프트 핀, 그라운드 핀, 또는 그 밖의 표면 구조에 인접한 돌기는 그 일부분이 표면 구조로서 형성되고 다른 부분이 부분 돌기로서 표면 구조에서 연장된다는 점에서 그 밖의 돌기들과 상이할 수 있다. 대안적으로, 이러한 돌기는 정전 척의 표면의 그 밖의 돌기들보다 더 작거나 더 큰 크기 또는 상이한 형상을 가질 수 있다. 예를 들어, 기체 밀봉부에 인접한 원통형상의 돌기는 원통의 일부분이 기체 밀봉부로서 형성되고 다른 부분이 기체 밀봉부에서 연장될 수 있다. 또한, 기체 채널들, 리프트 핀들, 그라운드 핀들, 및 그 밖의 표면 구조들의 위치와 크기는 균일한 돌기 간격을 제공하도록 변경될 수 있다. 이러한 표면 구조들에 인접한 돌기들은 돌기와 표면 구조 사이의 간격이 돌기들 사이의 간격과 같거나 더 작도록 표면 구조에서 이격될 수 있다. 일 실시예에서, 특히 약 0.5㎜ 이하의 작은 직경을 갖는 돌기들 또는 그 균등물들에 대해, 돌기 간격은 중심 대 중심이 8㎜ 미만일 수 있고, 다른 실시예에서, 돌기 간격은 중심 대 중심이 약 6㎜ 이하일 수 있고, 또 다른 실시예에서, 돌기 간격은 중심 대 중심이 약 4㎜ 이하일 수 있고, 또 다른 실시예에서, 돌기 간격은 중심 대 중심이 약 2㎜ 이하일 수 있다.
일반적으로, 돌기들과 기판 사이의 접촉 면적의 양은 기판에서 돌기로의 접촉 열 전도의 양에 영향을 미치고, 또한 처킹 동안 기판의 휨과 상승의 양에도 영향을 미친다. 본 발명의 실시예에 따르면, 돌기들의 기하학적 형상에 기반하고 기체 밀봉부를 포함하지 않는, 기판에 대한 돌기들의 접촉 면적은 정전 척 표면의 면적의 1% 초과 내지 약 10% 미만의 범위일 수 있다. 냉각 기체를 이용한 기체 열 전도가 접촉 열 전도보다 제어하기 쉽기 때문에, 본 발명의 다른 실시예는 1% 초과 내지 약 4%의 범위의 접촉 면적을 갖고, 본 발명의 또 다른 실시예는 약 2% 내지 약 4%의 범위의 접촉 면적을 갖는다.
미국 특허 제6,117,246호에 따르면, 유전체인 세라믹으로 제조된 정전 척 몸체를 이용 시에 단점은 지지부의 제조 동안 세라믹 물질이 "래핑(lapping)"되어 상대적으로 부드러운 표면을 형성한다는 것이다. 미국 특허 제6,117,246호에 따르면, 이러한 래핑은 지지부의 표면에 부착되어 표면에서 완전히 제거하기 어려운 입자들을 생성한다. 또한, 래핑 공정은 척 몸체의 표면을 파괴할 수 있다. 결과적으로, 척이 사용됨에 따라, 이러한 파괴에 의해 입자들이 끊임없이 생성된다. 또한, 미국 특허 제6,117,246호에 따르면, 웨이퍼 가공 동안, 세라믹 물질이 웨이퍼의 하측으로부터 웨이퍼 산화물을 마모할 수 있고, 이는 공정 환경에 미립자 오염물질들을 추가로 유입하게 된다. 척의 사용 동안에 또는 척의 사용의 결과로, 입자들은 웨이퍼의 하측에 부착될 수 있고, 다른 공정 챔버들로 이송되거나 웨이퍼 상에 제조된 회로들에 결함을 초래할 수 있다. 미국 특허 제6,117,246호에 따르면, 세라믹 정전 척에 유지된 후 소정의 웨이퍼의 후방측에 수만 개의 오염물질 입자들이 발견될 수 있다.
대조적으로, 본 발명의 실시예에 따르면, 균열을 방지하고 치수 변화를 방지하는 저응력 물질들을 생성하는 공정에 의해 돌기들이 정천 척에 형성되고, 그로 인해 입자 원인을 최소화하고 돌기들의 면적에 대한 기판의 더 균일한 로딩을 제공한다. 예를 들어, 돌기들은 플라즈마 강화 화학 기상 증착(PECVD)에 의해 이루어진 비정질 막으로 형성될 수 있다. 돌기들은 비정질 유전물질 또는 다결정 유전물질 같은 유전물질로 형성될 수 있다. 유전물질은 반응성 이온 식각 공정, 화학 식각 공정, 또는 비드 블라스팅 공정 같은 저응력 물질을 제공하는 공정에 의해 패터닝될 수 있다. 응력은 웨이퍼에 증착된 막에 의해 유전체에서 측정될 수 있고, 다음으로 웨이퍼 휨, X-선 회절 또는 라만 분광법에 의해 특징지어질 수 있다.
본 발명의 실시예에 따르면, 정전 척은 쿨롬 척이고, 쿨롬 척을 위한 유전체는 약 1012Ω-㎝를 초과하는 비저항을 가질 수 있다. 유전체는 규소, 또는 다른 요소들을 포함하는 규소 합금, 예를 들어, 탄화규소 또는 비-화학양론적 탄화규소 조성물일 수 있다. 유전체는 알루미늄, 예를 들어, 알루미나 또는 질화알루미늄을 포함할 수 있다. 본 발명에 따른 다른 실시예에서, 정전 척은 존슨-라벡 정전 척이다. 대안적으로, 정전 척은 존슨-라벡 정전 척이 아니고, 유전체가 존슨-라벡(JR) 힘 또는 부분 하이브리드 존슨-라벡 힘이 웨이퍼 또는 기판에 작용하지 않도록 선택될 수 있다. 하나 이상의 돌기들은 미국 특허 제6,835,415호에 개시된 적절한 컴플라이언트 물질들 중 임의의 물질 같은 컴플라이언트 유전물질을 포함할 수 있고, 그 개시는 전체가 이에 참고로서 포함된다. 본 발명에 따른 일 실시예에서, 돌기들을 위한 유전체는 약 108Ω-㎝ 또는 약 1010Ω/sq의 비저항을 갖는 탄화규소막으로 이루어지고, 내부 압축 막 응력은 약 450MPa 미만의 범위, 더 바람직하게는 (증착 시에) 약 450MPa 미만의 범위에 있다. 탄화규소층이 바람직하게는 약 2미크론 내지 10미크론의 범위의 두께로 증착된다.
본 발명에 따른 다른 실시예에서, 돌기들을 위한 유전체는 약 108Ω/sq내지 약 1011Ω/sq의 비저항을 갖는 전하 제어 표면층 물질로 이루어지고, 내부 압축 막 응력은 약 450MPa 미만의 범위, 더 바람직하게는 (증착 시에) 약 450MPa 미만의 범위에 있다. 전하 제어 표면층이 약 0.1미크론 내지 약 10미크론의 범위, 바람직하게는 약 1미크론 내지 약 3미크론의 범위의 두께로 증착된다. 또한, 돌기들을 위한 유전체는 (내부 압축 막 응력이 약 450MPa 미만의 범위에 있는 물질 같은) 저응력 물질로 형성되고, 원하는 표면 비저항을 얻기 위해 다이아몬드상 탄소(또는 통상 더 높은 압축 막 응력을 갖는 그 밖의 물질)의 얇은 코팅에 의해 오버코팅될 수 있다.
본 발명에 따른 또 다른 실시예에서, 유전체는 약 107Ω-㎝ 내지 약 1012Ω-㎝의 범위 내에서 제어된 비저항을 갖는 세라믹 또는 중합체 물질일 수 있고, 이는 웨이퍼 또는 다른 공작물이 존슨-라벡 효과에 의해 정전 척 상에 지지 및 유지되게 한다.
본 발명의 실시예에 따른 정전 척을 특징화하고 이러한 정전 척들을 서로 비교하기 위해, 입자 생성과 돌기 위치들을 상호 관련시키는 기술이 사용될 수 있다. 일반적으로, 정전 척의 사용 동안, 원하지 않은 입자들이 정전 척의 돌기와 채널 표면들 및/또는 기판의 후방측 표면에 쌓일 수 있다. 본 명세서에서, 이러한 원하지 않은 입자들을 "애더(adders)" 또는 "입자 애더(particle adders)"로 칭한다. 입자들은 가공 또는 처킹/디처킹(chucking/dechucking)에서 정전 척의 사용 전과 후에 측정 및 비교될 수 있다. 상관관계 기술은 정전 척의 돌기들의 위치와 입자들이 기판의 후방측에 생성된 위치 사이의 상관관계를 분석하는 단계를 포함할 수 있다. 돌기 위치와 입자 위치 사이의 상관관계 정도에 기반하여, 기판과 돌기들 사이의 정전력의 균일한 로딩 정도를 판단할 수 있다. 정전력의 불균일한 로딩은 대체로 돌기 위치와 입자 위치 사이에 더 밀접한 상관관계를 만들고, 더 균일한 로딩은 상관관계의 부족을 가져온다. 상관관계 기술은 돌기들 또는 돌기들의 특징부들의 위치를 입자들의 위치, 입자들의 수와 크기, 입자 크기들의 분포, 입자 조성물, 또는 이들의 임의의 조합과 상호 관련시키는 단계를 포함할 수 있다. 돌기들과 관련된 입자들은 기판과 정전 척의 레이저 표면 스캐닝에 의해 그리고 가공 또는 처킹/디처킹 후에 추가된 입자들의 수, 크기, 분포의 판단에 의해 검출될 수 있다. 반복된 가공(식각, 이온 주입 등), 기판의 반복된 처킹과 디처킹(예를 들어, 백만 번의 처킹/해제 사이클의 수행), 팝 오프(pop off) 테스트, 그 밖의 모의 가공 작업들이 정전 척에 대해 입자 애더들의 수를 구하기 위해 사용될 수 있다.
본 발명의 실시예에 따르면, 냉각 기체 없이 진공에서 60초 동안 클램핑된 후의 300㎜ 직경의 웨이퍼에 대해, 기판과 돌기들 사이의 힘의 불균일한 로딩에 의해 생성된 입자들인, 정적 척 돌기들과 관련된 기판의 후방 측의 입자들의 수는 5000개 미만일 수 있고, 일부 경우들에서 3000개 미만일 수 있고, 다른 경우들에서 2500개 미만일 수 있고, 또 다른 경우들에서 1500개 미만일 수 있다. 더 큰 또는 더 작은 표면적을 갖는 기판들, 예를 들어, 450㎜ 또는 200㎜ 웨이퍼에 대해, 입자 애더들의 수는 기판 면적에 따라 측정될 수 있다. 더 적은 수의 입자들은 기판과 돌기들 사이의 힘의 더 균일한 로딩을 나타낸다. 힘의 더 균일한 로딩은 돌기의 중앙부의 기판의 상승 감소, 돌기들 사이의 기판의 휨 감소, 돌기 가장자리들과 기판 사이의 접촉력 감소, 더 일관된 열전달을 가져온다. 돌기들과 관련된 기판 후방측 입자들의 수가 더 적을수록, 제조 결함의 수가 감소하고 제조 수율이 증가한다.
본 발명에 따른 일 실시예에서, 정전 처킹된 웨이퍼 또는 기판과 정전 척 표면 돌기들 사이의 웨이퍼 클램핑 마다, 힘의 불균일한 로딩의 결과로 돌기들과 관련된 입자들의 전체 후방측 로딩이 감소된다. 냉각 기체 없이 진공에서 60초 동안 클램핑된 후의 300㎜ 직경의 웨이퍼에 대해, 후방측 입자들의 크기와 분포는 예를 들어 0.12미크론 내지 0.16미크론의 입자 크기에 대해 800개 미만의 애더들, 0.16미크론 내지 0.2미크론의 입자 크기에 대해 500개 미만의 애더들, 0.2미크론 내지 0.3미크론의 입자 크기에 대해 500개 미만의 애더들, 0.300미크론 내지 0.5미크론의 입자 크기에 대해 500개 미만의 애더들, 0.5미크론 내지 1.0미크론의 입자 크기에 대해 175개 미만의 애더들, 1미크론 내지 2미크론의 입자 크기에 대해 100개 미만의 애더들, 2미크론 내지 5미크론의 입자 크기에 대해 50개 미만의 애더들, 5미크론 내지 10미크론의 입자 크기에 대해 20개 미만의 애더들, 또는 돌기들과 관련된 이러한 입자 크기 범위들 전체에 걸쳐 총 2645개 미만의 입자 애더들일 수 있다. 본 발명의 실시예에 따르면, 입자 애더들의 총수는 이러한 크기 범위들의 하나 이상의 크기 범위의 총계보다 작을 수 있다. 냉각 기체 없이 진공에서 60초 동안 클램핑된 후의 300㎜ 직경의 웨이퍼에 대해, 예를 들어, 0.5미크론 내지 10미크론의 입자들에 있어서, 실시예는 0.5미크론 내지 1.0미크론의 입자 크기에 대해 175개 미만의 애더들, 1미크론 내지 2미크론의 입자 크기에 대해 100개 미만의 애더들, 2미크론 내지 5미크론의 입자 크기에 대해 50개 미만의 애더들, 5미크론 내지 10미크론의 입자 크기에 대해 20개 미만의 애더들, 또는 돌기들과 관련된 이러한 입자 크기 범위들 전체에 걸쳐 총 345개 미만의 입자 애더들 같은 입자 분포를 가질 수 있다.
다른 예에서, 냉각 기체 없이 진공에서 60초 동안 클램핑된 후의 300㎜ 직경의 웨이퍼에 대해, 분포는 0.12미크론 내지 0.16미크론의 입자 크기에 대해 600개 미만의 애더들, 0.16미크론 내지 0.2미크론의 입자 크기에 대해 275개 미만의 애더들, 0.2미크론 내지 0.3미크론의 입자 크기에 대해 325개 미만의 애더들, 0.300미크론 내지 0.5미크론의 입자 크기에 대해 450개 미만의 애더들, 0.5미크론 내지 1.0미크론의 입자 크기에 대해 300개 미만의 애더들, 1미크론 내지 2미크론의 입자 크기에 대해 120개 미만의 애더들, 2미크론 내지 5미크론의 입자 크기에 대해 30개 미만의 애더들, 5미크론 내지 10미크론의 입자 크기에 대해 10개 미만의 애더들, 또는 이러한 입자 크기 범위들 전체에 걸쳐 총 2110개 미만의 애더들일 수 있다. 본 발명의 실시예에 따르면, 입자 애더들의 총수는 이러한 크기 범위들의 하나 이상의 크기 범위의 총계보다 작을 수 있다. 냉각 기체 없이 진공에서 60초 동안 클램핑된 후의 300㎜ 직경의 웨이퍼에 대해, 예를 들어, 0.3미크론 내지 10미크론의 입자들에 있어서, 실시예는 0.300미크론 내지 0.5미크론의 입자 크기에 대해 450개 미만의 애더들, 0.5미크론 내지 1.0미크론의 입자 크기에 대해 300개 미만의 애더들, 1미크론 내지 2미크론의 입자 크기에 대해 120개 미만의 애더들, 2미크론 내지 5미크론의 입자 크기에 대해 30개 미만의 애더들, 5미크론 내지 10미크론의 입자 크기에 대해 10개 미만의 애더들, 또는 돌기들과 관련된 이러한 입자 크기 범위들 전체에 걸쳐 총 910개 미만의 입자 애더들 같은 입자 분포를 가질 수 있다. 그 밖의 후방측 입자들의 크기와 분포가 얻어질 수 있다. 예를 들어, 0.16미크론을 초과하는 직경의 약 5000개 미만의 입자 애더들이 얻어질 수 있거나, 0.12미크론을 초과하는 직경의 약 5000개 미만의 입자 애더들이 얻어질 수 있다.
본 발명에 따른 다른 실시예에서, 정전 척의 표면층은 전하 제어 표면층을 포함할 수 있다. 전하 제어 표면층은 약 1×108Ω/sq 내지 약 1×1011Ω/sq의 범위의 표면 비저항을 가질 수 있고, 탄화규소 조성물을 포함할 수 있다. 전하 제어 표면층의 표면 비저항은 탄화규소 조성물을 만들기 위해 사용되는 규소 전구체 기체와 탄소 전구체 기체의 양을 변경하여 제어될 수 있다. 탄화규소 조성물은 탄화규소 또는 비-화학양론적 탄화규소를 포함할 수 있다. 전하 제어 표면층은 하나 이상의 돌기와 표면 코팅층을 포함할 수 있다. 전하 제어 표면층은 탄화규소 조성물층을 유전체에 전면 증착하는 단계와, 포토리소그래피를 이용하여 탄화규소 조성물층을 패터닝하는 단계와, 하나 이상의 탄화규소 조성물 돌기가 남겨지도록 반응성 이온 식각을 이용하여 탄화규소 조성물층의 일부분들을 제거하는 단계에 의해 형성될 수 있다. 전하 제어 표면층은 또한 비드 블라스팅 또는 식각을 이용하여 유전체층을 패터닝하는 단계와 전하 제어 표면층으로 유전체층을 등각 코팅하는 단계에 의해 형성될 수 있다. 전하 제어 표면층은 다이아몬드상 탄소, 비정질 규소, 금속 도핑된 산화물, 및 이들의 조합으로 이루어진 군에서 선택된 하나 이상의 물질을 포함할 수 있다.
정전 척의 기술분야에서, 기판의 후방측에 접촉하는 정전 측의 돌기들은 메사, 융기, 핀, 섬, 표면 구조 등으로 칭할 수 있다. 본 발명의 실시예에 따르면, 정전 척의 돌기들은 기판의 표면 전체에 실질적으로 균일한 압력의 유지와 돌기들과 기판 사이의 힘의 실질적으로 균일한 분포의 유지를 허용하는 크기, 간격, 조성을 가질 수 있다. 도 2는 본 발명의 실시예에 따른 정전 척(204)의 횡단면도이다. 돌기들(201)의 상면이 기판(200)의 후방측에 접촉하고, 기판(200)을 지지하여 균일한 로딩 및 돌기들(201)과 관련된 입자들의 레벨 감소를 제공한다. 돌기들(201)은 측벽들(210)을 갖고 갭(211)에 의해 분리된다. 정전 척(204)은 내부에 돌기들(201)이 형성될 수 있는 유전체층(212)을 포함한다. 대안적으로, 돌기들(201)은 유전체층(212)의 표면에 배치된 하나 이상의 물질층에 형성될 수 있다. 하나 이상의 전극(213)이 유전체층(212)에 의해 덮이는 제1 층(214)에 형성된다. 제1 층(214) 밑에는 제1 접착층(215), 제2 층(216), 임의의 제2 접착층(217), 물 같은 냉각 유체와 접촉하는 하부층(218)이 있다. 유전체층(212)은 그 주변부에 형성된 기체 밀봉 환상 링(219)을 포함한다. 화살표(220)에 의해 나타낸 바와 같이 공정 에너지가 기판에 의해 수신되고, 화살표(221)에 의해 나타낸 바와 같이 에너지가 제거된다.
도 3은 본 발명의 실시예에 따른 정전 척(304)의 제1 층(314)과 유전체층(312)의 횡단면도이다. 제1 층(314) 내의 전극(313)이 유전체층(312)에 의해 덮여있다. 기체 밀봉부(319) 외에도, 유전체층(312)은 돌기들(301)을 포함한다. 돌기들(301)과 유전체층(312)의 특징 및 치수는 채널 또는 갭 표면 바닥(322), 갭 간격(323), 돌기 상면(324), 돌기 폭 또는 면적(325), 돌기 높이(326)를 포함한다.
본 발명의 실시예에 따르면, 돌기들은 임의의 규칙적인 또는 불규칙적인 형상의 3차원 입체 또는 공동일 수 있으며, 기판에 힘을 실질적으로 균등하게 분배하고 기판과 돌기들 사이의 뷸균일한 로딩으로 인한 입자들을 줄이는 임의의 규칙적인 기하학적 또는 그 밖의 패턴으로 배치될 수 있다. 각각의 돌기는 원통형 측면 또는 다수의 측면과 상부를 가질 수 있다. 돌기들의 가장자리들은 도 2의 실시예와 같이 사각형이거나, 기판과 척 사이의 하중의 분배를 돕도록 윤곽이 형성될 수 있다.
도 4는 본 발명의 실시예에 따른 정전 척의 표면의 유전체 돌기의 윤곽을 나타낸 프로필로미터 맵이다. 돌기들은 예를 들어 기계적 연마에 의해 형성될 수 있는 라운딩된 가장자리들이 있는 윤곽을 갖는다. 도 4의 실시예에서, 돌기는 약 500㎛의 직경과 약 6㎛의 높이를 가지며, 그 밖의 치수들이 사용될 수도 있다.
도 5a는 본 발명의 실시예에 따른 정전 척의 표면의 돌기들(501)의 패턴의 예시도로서, 돌기 패턴은 기판과 돌기들(501) 사이의 힘을 감소시키기 위해 사용된다. 이러한 힘을 균등하게 분배하는 돌기 패턴들, 예를 들어, 삼각 또는 대략 육각의 돌기 패턴이 사용될 수 있다. 본 명세서에 사용된 바와 같이, "삼각" 패턴은 돌기들이 실질적으로 균등하게 이격되도록 규칙적으로 반복되는 돌기들의 등변 삼각형 패턴을 의미하기 위한 것임을 이해해야 한다. (이러한 패턴은 또한 정육각형의 꼭지점들을 형성하는 여섯 개의 돌기들의 어레이의 중앙부에 중앙 돌기가 위치한 채 대략 육각형 형상으로 보일 수 있다). 또한, 돌기들의 직경(427)을 늘리거나 돌기들(501)의 중심 대 중심 간격(428)을 줄여서 힘을 감소시킬 수 있다. 도 5a의 실시예에 도시된 바와 같이, 돌기들은 균등하게 이격된 배열로 배치되되, 각각의 돌기가 인접한 돌기들로부터 중심 대 중심 간격 치수(428)만큼 실질적으로 균등하게 이격된다. 이러한 간격에 의해, 도 2의 실시예에 도시된 바와 같이, 기판의 후방측의 상당 부분이 미도시된 표면 거칠기를 가질 수 있는 돌기들의 상부에 접촉하고, 후방측 냉각을 위한 헬륨 또는 그 밖의 기체를 위해 돌기들 사이에 갭(211)이 형성된다. 대조적으로, 이러한 돌기 간격이 없으면, 돌기들의 10% 이하의 작은 부분만이 기판에 접촉할 수 있다. 본 발명의 실시예에 따르면, 기판은 돌기의 상면 면적의 25%를 초과하는 면적에 접촉할 수 있다.
도 5b는 정전 척의 (본 발명에 따른 실시예의) 돌기의 균일한 로딩과 (종래 기술의) 돌기의 가장자리 로딩 사이의 차이를 도시한다. 음영은 (반드시 축척을 나타내는 것이 아니라) 균일한 로딩과 10% 가장자리 로딩에 대한 상대적인 로딩량을 도시한다.
본 발명의 실시예에 따르면, 표면이 저응력을 갖는다면, 돌기들이 거칠거나 연마될 수 있다. 예를 들어, 거친 돌기 표면들에 일어나는 높은 접촉력을 감소시키기 위해, 돌기 표면들이 기계적 연마 등에 의해 연마될 수 있다. 본 발명의 실시예에 따르면, 돌기들은 2미크론 이하(Ra), 일부 형태에서 0.2미크론 이하(Ra)의 고점 대 저점(peak-to-valley) 거칠기를 가질 수 있다. 낮은 표면 거칠기는 처킹 동안 기판 전체에 힘의 더 균일한 분포를 제공할 수 있다. 정전 척의 사용의 결과로 돌기들과 관련된 입자들의 증가를 초래하거나 응력을 증가시키지 않는다는 조건 하에서, 연마제 또는 비드로 표면을 습식 식각 및/또는 블라스팅하여 표면 거칠기를 변경할 수 있다. 기판에 대한 돌기들의 접촉 영역들을 제어하고 기판과 돌기들 사이의 물리적 접촉으로 인한 열 전달을 제어하기 위해, 이러한 정전 척의 표면 마감 처리의 제어가 사용될 수 있다. 거칠어진 표면들과 기판 사이의 접촉량은 또한 정전 클램핑 전압의 크기에 의해 조정될 수 있다.
일반적으로, 반도체 웨이퍼, 레티클, 태양 전지, 및 그 밖의 기판 또는 공작물은 다양한 코팅, 식각, 리소그래피, 주입 공정들에서의 사용 동안 정전 척에 의해 지지될 수 있다. 공정 또는 사용은 기판의 처킹(흡인)과 디처킹(해제)을 포함할 수 있다. 공정 또는 사용은 열의 추가 또는 발생을 초래하는 단계를 포함할 수 있다. 일부 공정들에 있어서, 예를 들어 반응성 이온 식각(RIE), 플라즈마 식각, 이온 빔 식각, 식각, 물리 기상 증착(PVD), 화학 기상 증착(CVD), 또는 그 밖의 공정들 동안에 기판 피스는 진공 챔버의 감압 환경에서 고정된다. 사용 동안 또는 공정 동안, 정전 척은 예를 들어 처킹 단계에서 기판을 유지하고, 코팅, 주입 또는 그 밖의 처리를 진행하고, 디처킹 단계에서 기판을 해제한다. 이러한 단계들 또는 작업들이 반복될 수 있다. 집적 회로의 제조에서, 다수의 공정이 또한 진공 상태의 반도체 웨이퍼에 이온 빔의 적용을 수반한다. 이러한 공정들은 예를 들어 이온 주입, 이온 빔 밀링, 반응성 이온 식각을 포함한다. 각각의 예에서, 이온 빔이 소스에서 발생하여 타겟 기판을 향해 가속화된다. 높은 처리량을 달성하는 하나의 방법은 주입 공정이 상대적으로 단시간에 완료되도록 고전류 이온 빔을 사용하는 것이다. 그러나, 고전류 이온 빔에 의해 다량의 열이 발생할 수 있다. 열은 웨이퍼에서 소정의 한도를 넘어 제어되지 않는 불순물의 확산을 초래할 수 있고, 패터닝된 포토레지스트층의 열화를 초래할 수 있다.
본 발명의 실시예에 따른 정전 척은 공정 동안 정전 척의 사용의 결과로 기판의 허용 가능한 열 제거를 제공할 수 있다. 일반적으로, 다양한 반도체 공정에서, 기판에 전달되는 열이 발생한다. 반도체 제조에서, 기판은 다수의 장치가 동시에 제조되는 반도체 웨이퍼일 수 있다. 이는 공정 동안 기판 전체에 특정 온도와 온도 범위 또는 온도 분포를 유지하는 것을 바람직하게 한다. 허용 가능한 열 제거는 공정 동안 기판 전체에 실질적으로 균일한 온도와 온도 범위 또는 온도 분포를 가져온다. 본 발명의 실시예에 따르면, 약 400℃ 이하 또는 일부 경우들에서 약 250℃ 이하 또는 다른 경우들에서 약 100℃ 이하로 제어될 수 있는 기판 온도에 대해, 웨이퍼 전체의 온도 분포는 약 ±25℃ 이하로 변화될 수 있다. 본 발명의 실시예에 따르면, 공정은 약 1W/㎠ 내지 약 8W/㎠의 범위일 수 있는 열 입력을 기판에 야기할 수 있다. 온도와 온도 분포는 기판 전체의 다양한 위치에서 측정될 수 있으며, 약 100℃ 이하 또는 일부 경우들에서 약 70℃ 이하 또는 다른 경우들에서 약 10℃이하로 제어될 수 있는 기판 온도에 대해, 웨이퍼 전체의 온도 분포는 약 ±5℃ 이하로 변화될 수 있다. 본 발명의 다른 실시예에 따르면, 공정은 약 0.1W/㎠ 내지 약 2W/㎠의 범위일 수 있는 열 입력을 기판에 야기할 수 있다. 본 발명의 실시예에 따른 주입 응용에서, 전체 열 부하는 약 1500W(~2W/㎠)에 이를 수 있고, 웨이퍼 온도는 상온에서 약 70℃까지 상승할 수 있고, 약 ±15℃의 온도 변동이 있을 수 있다. 본 발명에 따른 정전 척의 다른 실시예들은 식각 응용의 400℃로 가열된 척 같은 더 높은 온도 응용, 또는 고도로 제어된 온도(±0.01℃)를 갖는 상온 응용 같은 더 낮은 온도 응용과 함께 사용될 수 있다.
일반적으로, 공정 동안, 정전 척은 두 가지 방법에 의해 처킹된 기판에서 대부분의 열을 소산시킨다. 두 가지 방법은 먼저 기판과 정전 척 유전체 사이의 갭의 냉각 기체를 통한 기체 열 전도와, 다음으로 기판과 정전 척 계면의 표면들 사이의 마이크로 접촉점과 매크로 접촉점(예를 들어, 돌기 거칠기와 돌기들 각각)을 직접 관통하는 전도인 접촉 열 전도이다. 정전 척의 전체 열 전달 계수는 층들 각각에 대한 열 전달 계수들의 역수의 급수 합(series sum)이다. 정전 척 표면 돌기들의 접촉 표면들의 면적이 증가하면, 반도체 웨이퍼의 온도를 100℃ 이상으로, 예를 들어 300℃ 내지 400℃의 온도 범위에서 제어하기 어려울 수 있다. 이는 반도체 웨이퍼의 온도가 기판에서 돌기들로의 접촉 열 전도를 통해 상당히 감소되기 때문이다. 접촉 열 전도에 의해 전달되는 열의 양은 접촉 표면들 사이 또는 척의 돌기들과 기판의 후방측 사이의 직접 접촉 면적의 크기에 의해 결정된다.
정전 척에서, 후방측 기체 열 전도는 기판과 척 표면 사이의 열 에너지의 전달이다. 열 전달은 척의 몸체와 웨이퍼 사이의 기체 원자들 또는 분자들에 의한 열의 전도에 의해 일어날 수 있다. 기체 분자들 또는 원자들이 기판의 후방측에 열 에너지를 남기고 상기 에너지를 정전 척 표면으로 운반할 때 후방측 기체 전도가 일어난다. 미국 특허 제6,839,217호에 따르면, 기체 전도 열 전달은 돌기들의 면적이 사용된 기체의 압력에서 기체의 평균 자유 행정의 특성 거리에 대응하도록 치수 측면에서 엄격하게 제어되어야 한다는 단점이 있다. 또한, 미국 특허 제6,839,217호에 따르면, 기체의 누출이 진공 공정들에서 문제가 될 수 있고, 누출 영역의 국부화된 기체 집중에 의해 불균일한 냉각과 있을 수 있는 공정의 열화를 초래할 수 있다. 소정의 냉각 용략에 대해, 기판과 정전 척 사이의 기체 압력은 웨이퍼를 수축시키고 공정 및 공정 수율의 통합성을 저하시킬 수 있다.
본 발명의 실시예에 따른 정전 척에서, 돌기들의 높이는 바람직하게는 후방측 냉각에 사용된 기체의 평균 자유 행정과 대체로 같거나 실질적으로 동일하다. 예를 들어, 10torr(1333Pa)의 후방측 냉각 기체에 대해, 평균 자유 행정은 5미크론이고, 따라서 돌기의 높이는 5미크론 또는 약 5미크론이어야 한다. 평균 자유 행정은 가장 효과적인 열 전도를 달성하기 위해 기체의 압력과 기체의 분자 직경과 온도에 좌우된다. 돌기들의 높이는 공정 온도, 압력, 후방측 기체 압력, 처킹력을 고려하여 변경될 수 있다. 본 발명에 따른 일 실시예에서, 돌기들의 높이는 약 6미크론이다.
본 발명의 실시예에 따른 정전 척은 척에 의해 고정된 기판의 하부에 냉각 기체를 분배하기 위해 척 전체에 또는 척의 주변부를 향해 위치하는 기체 유입구들, 기체 채널들 등을 임의로 포함할 수 있다. 채널들 및/또는 기체 유입구들의 크기, 위치, 형상은 갭에 기체를 분배하고, 압력 구배를 최소화하고, 웨이퍼에서 척으로의 열의 전달을 용이하게 한다. 기판과 척 사이의 공간들에 유입된 기체는 웨이퍼 온도를 제어하기 위해 열 전달을 제공한다. 동시에, 기체 압력(2torr~20torr)은 기판을 고정하는 25torr 내지 35torr의 흡인력 또는 클램핑력이 중대하게 줄어들지 않을 정도로 충분히 낮다. 본 발명의 실시예에 따른 정전 척은 미국 특허 제6,608,745호에 개시된 바와 같이 척의 가장자리 또는 외부 주변부에 인접한 하나 이상의 환상 링을 포함할 수 있다. 이러한 링들은 돌기들과 유사한 높이, 및 기판과 링 가장자리 사이에 기체 밀봉부를 제공하기에 충분한 폭을 가질 수 있다. 일부 경우들에서, 1기압 미만의 진공 챔버 압력에서 척과 기판 사이의 기체 압력에 대해, 기체 밀봉부를 우회할 수 있는 기체의 양은 0.2sccm 미만이다.
본 발명의 실시예에 따른 정전 척은 기판을 정전력에 의해 적소에 고정하기 위해 사용될 수 있다. 기판은 절연 유전체층에 의해 전극으로부터 분리된다. 하나 이상의 전극이 유전체 내부에 형성되고 유전체층에 의해 덮인다. 웨이퍼를 척에 클램핑하는 정전력을 발생하기 위해 (쿨롬 척의 경우) DC 전압이 전극들에 인가될 수 있다. 일부 경우들에서, 교류 또는 RF 전력이 전극들에 인가될 수 있다. (교류는 예를 들어 30Hz의 주파수 또는 그 밖의 주파수로 인가될 수 있다. 통상 스퍼터링 또는 식각 시스템에만 있는 RF 전력이 인가될 때, 자기 바이어스 또는 DC 바이어스 전압이 처킹력을 제공한다). 전극에 인가된 전압은 정전 척의 절연층의 접촉 표면에 정전 전하를 생성하고, 이는 기판의 접촉 표면에 동일한 또는 반대되는 정전 전하를 생성한다. 정전 척과 기판의 접촉 표면들 상의 정전 전하는 그 사이에 정전력을 발생한다. 이 정전력은 정전 척의 유전체층과 정전 척의 임의의 돌기들에 대해 기판을 고정한다. 기판에 운반된 열은 통상 냉각수로 냉각되는 정전 척의 갭 또는 채널 표면 바닥의 절연층에 접촉 열 전도와 기체 열 전도에 의해 전달될 수 있다. 사용 중에, 세 개의 리프트 핀 상에 지지되는 웨이퍼 같은 기판은 정전 척의 돌기들 위에 놓이고, 다음으로 정전 척을 위한 전력 또는 전압이 켜진다. 헬륨 같은 냉각 기체가 압력 제어된 기체 소스로부터 기체 유입구 어레이를 통해 유입된다. 기체 유입구들은 매니폴드와 호스에 의해 진공 펌프에 연결될 수 있다. 또한 기체 압력이 웨이퍼 밑에서 더 빨리 균형을 이루게 하기 위해 중앙 기체 유입구가 사용될 수 있다. 또한, 웨이퍼가 곧 척에서 분리될 웨이퍼 가공의 마지막 단계에 기체 제거 속력을 높일 수 있다. 웨이퍼와 척 사이의 작은 갭을 고려할 때, 이러한 목적을 위해 추가 기체 포트들이 필요할 수 있다. 동작 중에, 기판이 척에 클램핑되고, 밸브들이 개방되고, 척 표면의 돌기들에 의해 지지되는 기판의 표면 아래에서 헬륨 같은 기체가 기체 유입구로부터 유입된다. 가공의 마지막 단계에, 예를 들어, 이온 주입이 일어난 후에, 밸브들이 열리고, 냉각 기체를 퍼내고, 정전 척 전력이 꺼지고, 리프트 핀들이 상승되고, 효과기(effector)가 삽입되고, 기판이 척에서 분리된다.
본 발명의 실시예에 따르면, 정전 척은 리프트 핀들과 그라운드 핀들을 포함할 수 있다. 기체 밀봉 표면들이 정전 척의 가장자리에 인접한 환상 기체 밀봉 링과 유사한 방식으로 상기 핀들 주변에 형성될 수 있다. 가능한 곳 어디에서나, 본 발명의 실시예에 따르면, 이러한 기체 밀봉 구조들은 기판과 척 사이의 힘의 분포의 균일성을 조장하기 위한 방식으로 예를 들어 앞서 설명한 바와 같이 돌기들의 일부분들을 포함하여 형성될 수 있다.
본 발명의 실시예에 따른 정전 척과 함께 사용되는 기판은 정전 척에 의해 고정되는 반도체 웨이퍼, 평면 스크린 디스플레이, 태양 전지, 레티클, 포토마스크 등을 포함할 수 있다. 형상에 관계없이, 기판은 100㎜ 직경의 웨이퍼, 200㎜ 직경의 웨이퍼, 300㎜ 직경의 웨이퍼, 또는 450㎜ 직경의 웨이퍼와 같거나 더 큰 면적을 가질 수 있다.
일반적으로, 정전 척은 웨이퍼 같은 기판을 적소에 고정하기 위해 커패시터의 두 플레이트 사이의 힘과 유사한 인력을 사용한다. 본 발명의 실시예에 따르면, 이 클램핑력은 25torr 내지 35torr의 범위에 있을 수 있고, 26torr, 33torr 등과 같은 이 범위 내의 값들을 포함한다. 웨이퍼가 유전율(ε)과 두께(d)를 갖는 절연체에 의해 척에서 분리되고 전압(V)이 그 사이에 인가되면, 다음과 같이 그 사이에 인력(F)이 발생한다.
Figure pct00001
A는 웨이퍼와 척 전극의 공통 면적이다. 소정의 전압에 대해 큰 인력을 얻기 위해 웨이퍼와 척 전극을 분리하는 거리(d)가 얇은 유전체층을 사용하여 최소화될 수 있다. 그러므로, 본 발명의 실시예에 따르면, 처킹력을 얻기 위해 유전체층이 예를 들어 약 25미크론 내지 약 250미크론의 두께를 가질 수 있다. 많은 고려사항들 중에서도, 유전체층의 두께는 두께에 하한을 가하는 물질의 항복 전압에 의해 제한된다. 유전체층이 더 얇을수록 더 큰 힘을 달성할 수 있다. 또한, 높은 유전율은 높은 힘을 생성하는데 유리하다. 진공 또는 낮은 압력 기체로 채워지는 갭이 웨이퍼와 척 사이에 존재하면, 유전율은 반드시 자유 공간의 유전율(ε0)이다. 유전체층이 두 개 이상의 물질을 이용한 이중 구조일 수 있다는 것을 또한 주목해야 한다. 예를 들어, 이하에 추가로 설명하는 바와 같이, 약 100미크론의 두께의 알루미나가 약 2미크론 두께의 탄화규소층으로 코팅될 수 있고, 그 표면으로부터의 돌기들은 또한 6미크론 높이의 탄화규소일 수 있다.
미국 특허 제6,835,415호에 개시된 바와 같이, 공구의 장착 표면에 의해 임의의 미립자들이 장착 표면과 웨이퍼 또는 마스크 같은 기판 사이에 갇히게 되면, 웨이퍼 또는 마스크가 갇혀있는 입자에 의해 변형될 수 있다. 예를 들어, 웨이퍼가 진공에 의해 또는 편평한 기준 표면에 대해 정전 클램핑되면, 임의의 갇혀있는 입자들이 웨이퍼의 전방측의 변형을 초래할 수 있고, 따라서 평면에 놓이지 않게 될 것이다. 이는 주입 공정의 변동과 잠재적인 수율 손실을 초래할 수 있다. 돌기들의 접촉 면적을 줄이면 미립자들이 갇힐 확률을 줄일 수 있다.
본 발명의 실시예에 따르면, 기판과 정전 척 사이의 공간에 유입된 기체는 기판 온도를 제어하기 위해 충분한 열 전달을 제공할 수 있다. 동시에, 기체 압력은 기판을 정전 척에 고정하는 인력이 중대하게 줄어들거나 무력화되지 않을 정도로 충분히 낮게 선택될 수 있다. 시스템 치수와 비교하여 기체 분자들의 평균 자유 행정이 작은 한, 기체의 열 전도성은 필수적으로 기체 압력과 무관하다. 본 발명의 실시예에 따른 유용한 냉각 기체는 수소, 헬륨, 아르곤, 질소, 이들 및/또는 그 밖의 기체의 혼합물을 포함할 수 있다. 후방측 기체 압력은 대략 0torr 초과 내지 20torr의 범위 또는 약 2torr 내지 15torr의 범위 내에 있을 수 있다. 또한, 본 발명의 실시예에 따르면, 처킹된 기판의 온도는 후방측 기체 압력을 조정하여 기체 열 전도에 의해 제어될 수 있다.
본 발명의 실시예에 따르면, 도 2의 실시예를 참조하여 설명된 바와 같이, 정전 척은, 절연성이고 세라믹 또는 세라믹 합성물일 수 있는 제1 층(214)의 위에 놓여 있는, 하나 이상의 돌기(201)를 갖는 유전체층(212)을 포함할 수 있다. 하나 이상의 전극(213)은 제1 층(214)에 내장되고, 유전물질, 비정질 유전물질, 또는 저응력 유전물질로 덮여있다. 제1 층(214)과 위에 놓인 유전체층(212)에 기계적 지지를 제공하고, 열의 제거를 돕고, 물 같은 냉각 유체와 접촉할 수 있는 하나 이상의 추가 층이 정전 척에 포함될 수 있다. 유전체층(212)은 예를 들어 저응력 규소를 함유한 유전체일 수 있다. 저응력 유전체는 예를 들어 저온에서 PECVD에 의해 비정질 및 기상 증착될 수 있다. 유전체층(212)은 예를 들어 약 1㎛ 내지 약 50㎛의 두께 또는 약 1㎛ 내지 약 10㎛의 두께를 가질 수 있다. 제1 층(214)은 절연성이고, 알루미나 또는 질화알루미늄 같은 세라믹일 수 있으나, 이에 제한되지 않는다. 제1 층(214)은 예를 들어 약 50㎛ 내지 약 200㎛의 두께 또는 약 100㎛ 내지 약 150㎛의 두께를 가질 수 있다. 유전체층의 두께는 본 명세서에 기술된 처킹력을 제어하기 위해 사용될 수 있고, 더 얇은 층이 더 큰 힘을 제공할 수 있다. 앞서 기술된 종류의 패터닝된 전극(213)을 형성하는 기술이 브리글리아(Briglia)의 미국 특허 제4,184,188호에 개시되어 있고, 전체가 이에 참조로서 포함된다. 전극들과 외부 전원 사이에 정전 척의 하나 이상의 층을 통한 전기 접속(피드스루)이 제공된다(미도시). 기체 유입구들이 또한 정전 척의 하나 이상의 층을 통해 형성될 수 있고(미도시), 그 개구들은 유전체 갭 표면 바닥을 향한다. 제1 열 전도 물질 또는 제1 접착층(215)이 제1 층(214)을 세라믹 또는 금속일 수 있는 하부의 제2 층(216)에 접합하기 위해 사용될 수 있다. 제1 접착층(215)의 열 전도 물질은 제1 층(214)과 제2 층(216)을 함께 접합할 수 있는 열가소성 물질, 에폭시, 또는 다른 물질 같은 접착제일 수 있으나, 이에 제한되지 않는다. 제2 층(216)은 기계적 지지와 열 전도도를 제공할 수 있고, 세라믹, 금속, 또는 그 밖의 적절한 물질일 수 있다. 임의로, 제2 열 전도층 또는 제2 열 전도 접착층(217)이 제2 층(216)과 냉각 유체에 접촉하는 하부층(218)을 함께 접합한다. 갭(211)과 임의의 기체 채널들은 건조한 공기, 헬륨, 수소, 아르곤, 또는 질소 같은 기체로 채워질 수 있다. 기체 밀봉부(219)는 주변 챔버로의 기체 누출의 방지를 돕는다.
도 3의 실시예를 참조하면, 정전 척 전체에 실질적으로 균등하게 이격된 돌기들의 패턴의 일부분인 다섯 개의 돌기(301)와 환상 기체 밀봉부(319)의 횡단면도가 도시되어 있다. 각각의 돌기(301)는 접촉 면적의 돌출된 표면이다. 일 실시예에서, 돌기들(301)은 원통형이고 약 0.5㎜ 내지 1.25㎜의 범위 또는 약 0.75㎜ 내지 1㎜의 범위 내의 직경을 갖는다. 웨이퍼 같은 기판(200)(도 2의 실시예 참조)은 임의로 거칠게 될 수 있는 돌기들(301)의 상면(324)을 따라 유전체(312)와 접촉한다. 도 5와 도 8의 실시예들의 평면도에 도시된 돌기들(501, 801)은 원형이지만, 돌기들(301, 501, 801)이 돌기들 상의 기판의 불균일한 로딩으로 인한 입자들을 감소시키는 임의의 형상, 예를 들어, 삼각형, 사각형, 또는 그 밖의 형상일 수 있음을 이해해야 한다.
본 발명의 실시예에 따르면, 후방측 기체를 포함하기 위해, 연속 환상 링이 기판과 척 사이에 기체 밀봉부를 제공하도록 정전 척의 주변부에 형성될 수 있다. 기체 밀봉부는 척과 주변 진공 사이의 계면에서 연속적인 원형 또는 특징적인 형상의 링일 수 있고, 최소한의 기체 누출로 기체를 웨이퍼 뒤에 유지하도록 작동할 수 있다. 환상 링은 웨이퍼 배치 공차를 수용하기 위해 웨이퍼보다 약간 더 작은 직경을 가질 수 있고, 따라서 웨이퍼가 오배치될지라도, 기체 밀봉부가 파손되지 않는다. 가능한 곳 어디에서나, 기체 밀봉 구조는 기판과 척 사이의 힘의 균일한 분포를 제공한다. 일 실시예에서, 기체 밀봉부는 힘의 균일한 분포를 제공하기 위해 돌기들의 일부분들을 포함할 수 있다. 환상 기체 밀봉부(들)과 기체 유입구 기체 밀봉부들과 리프트 핀 기체 밀봉부(들)의 기체 전도도는 기체 밀봉부를 형성하는 접촉 표면들의 거칠기, 예를 들어, 환상 링의 표면의 거칠기와 환상 링에 접촉하는 웨이퍼의 표면의 거칠기에 좌우된다. 기체 밀봉부 전도도에 영향을 미칠 수 있는 다른 인자는 기체 밀봉부를 형성하는 접촉 표면들 상의 단단한 입자들의 존재이다. 밀봉부를 형성하는 접촉 표면들 사이의 클램핑력의 크기가 또한 밀봉부 전도도에 영향을 줄 수 있다. 본 발명의 실시예에 따르면, 저응력 유전물질은 다양한 기체 밀봉부에 대해 입자들과 누출 경로들을 제공할 수 있는 균열 및 그 밖의 표면 결함을 줄이거나 제거한다. 일 실시예에서, 기판과 전극 전체에 예를 들어 1000V의 그러나 이에 제한되지 않는 전위 차와, 예를 들어 약 200㎚ 내지 약 300㎚의 그러나 이에 제한되지 않는 기체 밀봉부 거칠기(Ra)와, 약 10나노미터(㎚)의 거칠기(Ra)의 200㎜ 웨이퍼에 대해, 환상 기체 밀봉부는 예를 들어 4torr 내지 15torr의 그러나 이에 제한되지 않는 후방측 냉각 기체 압력과 예를 들어 약 10-6torr 내지 약 10-7torr의 그러나 이에 제한되지 않는 챔버 압력에서 약 0.5sccm 이하의 누출율을 제공한다.
예 1
이 예는 본 발명의 실시예에 따른 정전 척의 표면에 형성된 6미크론 높이의 융기들 또는 돌기들의 삼각 패턴에 대해 계산된 힘을 나타낸다. 도 8의 실시예에 도시된 바와 같이, 이 예는 4㎜의 중심 대 중심 간격(827)과 0.75㎜의 직경(828)을 특징으로 하는 돌기들(801)을 포함한다. 도 8의 실시예에서, 돌기들(801)은 알루미나 유전체층 상에 PECVD에 의해 Si 소스와 C 소스로부터 증착된 10미크론 두께의 SiC 증기로 이루어질 수 있다. SiC는 저응력을 갖는다. SiC층은 돌기들을 형성하기 위해 30미크론의 포토마스크를 이용한 반응성 이온 식각에 의해 식각될 수 있다. 기체 밀봉 링이 정전 척의 주위와 정전 척의 리프트 핀 홀과 그라운드 핀 홀의 주위에 형성될 수 있다. 헬륨 또는 수소 같은 기체 또는 기체 열 전달을 위한 다른 유체를 추가 및 제거하기 위한 기체 포트들이 척에 형성될 수 있다.
도 6은 본 발명의 실시예에 따른 다양한 돌기 직경과 중심 대 중심 융기 간격에 대해 웨이퍼와 정전 척 돌기들 사이에서 계산된 힘의 그래프이다. 계산된 값들은 돌기 표면 상에 균일하게 분배된 하중을 가정한다. 하지만, (예를 들어, 가장자리 로딩에 의한 힘의 10%, 20%, 27%, 또는 그 밖의 비율 같이) 웨이퍼 상승을 설명하기 위해 퍼센트 로딩을 이용하여 힘을 계산하는 것도 가능하다. 결과는 삼각 패턴의 0.75㎜ 및 1㎜ 직경의 돌기들이 0.25㎜ 또는 0.5㎜ 직경을 갖는 동종의 돌기들보다 기판과 돌기들 사이에 더 낮은 힘을 초래함을 보여준다. 또한, 결과는 돌기 간격과 힘 사이의 비선형 관계와 돌기 직경과 힘 사이의 비선형 관계를 보여준다. 특정 예로서, 도 8의 실시예의 돌기들(801)에 대한 평균 분포 힘이 도 6의 그래프를 이용하여 그 밖의 돌기들에 대한 힘과 비교될 수 있다. 도 8의 실시예의 0.75㎜ 돌기들(801)은 0.5㎜ 돌기들과 비교하여 약 50% 더 크고, 8㎜ 이격되고 0.5㎜의 직경을 갖는 돌기들의 사각 패턴과 비교하여 도 6에 도시된 바와 같이 대략 8배 더 낮은 평균 분포 힘을 초래하고, 이에 대해 계산된 힘을 도 6에 별표로 나타내었다. 도 8의 실시예의 0.75㎜ 돌기들은 0.5㎜ 돌기들에 비교하여 약 50% 더 크고, 8배 더 큰 접촉 면적을 초래한다. 도 8의 실시예의 돌기들(801)의 접촉 면적은 4% 이하, 1% 초과일 수 있다. 이러한 범위는 25torr 내지 35torr의 웨이퍼 고정력(클램핑력)을 유지하는 동안 웨이퍼와 돌기들 사이에 평균 힘의 감소와 웨이퍼 휨의 감소를 제공하고, 웨이퍼의 냉각 효율에 중대한 효과를 미치지 않을 것으로 기대된다.
도 7은 본 발명의 실시예에 따른 상이한 돌기 직경과 중심 대 중심 돌기 간격에 대해 계산된 접촉 면적의 그래프이다. 화살표는 돌기들의 사각 패턴과 0.5㎜의 돌기 간격에 대해 0.36%의 계산된 접촉 면적을 가리킨다.
예 2
이 예는 본 발명의 실시예에 따른 돌기들 사이의 중심 대 중심 간격이 8㎜인 정전 척의 표면에 형성된 돌기들의 삼각 패턴을 설명한다. 돌기들의 직경은 0.5㎜였다. 정전 척의 플래튼(platen) 상의 돌기들은 세 구역으로 나뉘고 상이한 물질들(SiC, 탄소 부족인 비-화학양론적 SiC, Si 구역)로 이루어졌다. 개개의 구역은 알루미나 유전체층에 증착된 적절한 전구체 기체들의 PECVD에 의해 이루어졌다. 구역들은 돌기들을 형성하기 위해 30미크론의 포토마스크를 이용한 반응성 이온 식각에 의해 식각되었다. 기체 밀봉 링이 정전 척의 주위와 정전 척의 리프트 핀 홀과 그라운드 핀 홀의 주위에 형성되었다. 헬륨 또는 수소 같은 기체 또는 기체 열 전달을 위한 다른 유체를 추가 및 제거하기 위한 기체 포트들이 척에 형성될 수 있다.
도 9a와 도 9b는 본 발명의 실시예에 따른 추가 기계 연마 단계를 포함하는/포함하지 않는(각각 도 9a와 도 9b) 정전 척의 돌기의 횡단면 프로파일의 그래프들이다. 이 실시예에서, 돌기의 상면의 추가 기계 연마 단계의 추가는 입자 생성을 줄여 예상 밖의 상당한 성능 이점을 제공하고, 1) 상이한 돌기 가장자리 형상과 2) 상당히 향상된 표면 거칠기를 초래하는 것으로 인식되었다. 특히, 추가 기계 연마가 없는 생산 공정은 예를 들어 600그릿의 규소지(silicon paper)를 이용하여 수공으로 정전 척 돌기의 상면을 연마하는 수공 연마만을 이용한다. 그로 인한 돌기의 프로파일이 본 발명의 실시예에 따라 도 9a에 도시되어 있다. 이에 알 수 있는 바와 같이, 상면(929)의 프로파일은 일부 거칠기를 특징으로 한다. 또한, 가장자리 형상은 상대적으로 긴 라운딩 높이 치수(930)와 상대적으로 짧은 라운딩 길이 치수(931)를 나타낸다. 개선된 실시예에서, 돌기의 수공 연마는 예를 들어 기계 패드 연마를 이용한 기계 연마에 의해 보충된다. 이러한 기계 패드 연마는 특정 시간 동안 특정 압력에서 수행될 수 있다. 또한, 기계 연마는 연마 모드에서 래핑 기계를 사용하는 등의 래핑을 포함할 수 있고, 다이아몬드를 포함하는 연마 매체 등의 임의의 적절한 연마 매체의 사용과 함께 부드럽거나 단단한 연마 패드의 사용을 포함할 수 있다. 그로 인한 돌기의 프로파일이 본 발명의 실시예에 따라 도 9b에 도시되어 있다. 도 9a의 수공 연마된 돌기의 거칠기(929)와 비교하여 상면(932)의 프로파일이 더 부드럽다는 것을 알 수 있다. 또한, 도 9b의 돌기는 도 9a의 대응하는 높이(930)와 비교하여 더 짧은 라운딩 높이 치수(933)와 도 9a의 대응하는 높이(931)와 비교하여 더 긴 라운딩 길이 치수(934)를 갖는 변형된 에지 형상을 특징으로 한다.
그러므로, 도 9b의 실시예의 기계 패드 연마는 수공으로만 연마된 돌기와 비교하여 감소된 표면 거칠기와 변형된 가장자리 형상을 제공한다. 예를 들어, 일 실시예에서, 도 9b의 기계 패드 연마된 돌기는 수공 연마된 돌기에 대한 표면 거칠기 메트릭과 비교하여 거칠기 높이의 평균 측도인 Ra 같은 표면 거칠기 메트릭에 있어서 약 50% 감소를 보일 수 있다. 예를 들어, 표면 거칠기가 약 100㎚에서 약 50㎚으로 감소될 수 있다.
도 10a와 도 10b는 각각 본 발명의 실시예에 따른 도 9a와 도 9b의 돌기들의 횡단면 프로파일들의 확대도들이다. 도 10b의 돌기의 기계 패드 연마의 결과로, (골(trough)은 영향을 받지 않을 수 있지만), 도 10b의 기계 패드 연마된 돌기의 피크들(1035)들은 도 10a의 수공 연마된 돌기의 피크들(1036)보다 더 낮음을 알 수 있고, Ra 같은 전체 표면 거칠기 메트릭이 감소된다. 예를 들어, 표면 거칠기(Ra)는 도 10a의 약 0.10㎛에서 도 10b의 약 0.04㎛로 감소될 수 있다. 보다 일반적으로, 표면 거칠기 메트릭은 돌기의 표면의 기계 패드 연마에 의해 약 50% 또는 약 25% 내지 약 75% 감소될 수 있다. 또한, 기계 패드 연마에 의해, 동종의 수공 연마된 돌기의 대응하는 높이와 비교하여 더 짧은 라운딩 높이 치수와 동종의 수공 연마된 돌기의 대응하는 길이와 비교하여 더 긴 라운딩 길이 치수 같은, 돌기의 변형된 가장자리 형상이 관찰될 수 있다. 예를 들어, 기계 패드 연마될 때 0.3525㎛/0.1035㎜의 높이/길이와 비교하여 수공으로만 연마될 때 0.7802㎛/0.0638㎜의 높이/길이에 대해 0.01222의 비율에서 0.0034의 비율로 감소된 것과 같이(즉, 이 경우에, 대략 3.59배 감소된 비율), 돌기의 가장자리의 특성 라운딩 길이 치수에 대한 특성 라운딩 높이 치수의 비율은 예를 들어 3배 내지 4배 또는 2배 내지 5배 감소될 수 있다. 기계 연마 없이 유사하게 변형된 가장자리 형상이 또한 얻어질 수 있음을 주목해야 한다. 일 실시예에서, 원하는 라운딩 높이 대 라운딩 길이 비율을 만들기 위한 임의의 가장자리 변형 기술에 의해, 상대적으로 더 짧은 라운딩 높이 치수와 상대적으로 더 긴 라운딩 길이를 특징으로 하는 도 10b와 같은 변형된 가장자리 형상이 달성될 수 있다. 예를 들어, 약 0.00407 내지 약 0.00306 또는 약 0.00611 내지 약 0.002444의 특성 라운딩 높이 치수와 특성 라운딩 길이 치수의 비율이 사용될 수 있다.
또한, 본 발명의 실시예에 따른 돌기의 기계 패드 연마로 인해, 정전 척은 반도체 웨이퍼 같은 기판과의 사용 중에 더 적은 후방측 입자들을 생성하여 개선된 성능을 가질 수 있다. 예를 들어, 0.16㎛ 이상의 입자 크기 범위에서 5000개 미만의 입자 애더들 또는 2000개 미만의 입자 애더들이 생성될 수 있다. 이러한 후방측 입자들의 생성 감소는 10배에 이르는 접촉 면적의 증가와 같은 돌기들과 기판 사이의 접촉 면적의 증가에도 열 특성에 대한 임팩트 부족을 동반할 것이다.
도 11은 본 발명의 실시예에 따른 전하 제어 표면층을 포함하는 정전 척을 도시한다. 이 실시예에서, 척 전력이 제거된 후 웨이퍼 또는 그 밖의 기판이 척 표면에 정전 부착될 때 일어나는 "웨이퍼 부착(wafer sticking)"의 가능성을 줄이기 위해, 제어된 표면 비저항을 갖는 표면층이 사용된다. 예를 들어 약 1×108Ω/sq 내지 약 1×1011Ω/sq의 범위 같은 적절한 범위의 표면 비저항을 갖는 표면층이 바람직하지 않은 정전력 및 궁극적으로 웨이퍼 부착을 초래할 수 있는 표면 전하 보유를 줄이기 위해 도시되어 있다. 약한 전도성 표면층은 정전 척과 기판 사이의 정전 흡인과 간섭하지 않으면서 전하를 그라운드(미도시)로 빼낸다.
도 11의 실시예의 정전 척은 이온 주입 기계에서 테스트되었고, 웨이퍼 냉각과 클램핑력에 대한 좋은 결과 및 낮은 입자 생성과 최소 웨이퍼 손상을 보였다. 특히, 이온 주입 빔으로부터 플래튼에 입사된 1800W 전력에 의해 50℃ 미만의 플래튼 온도 상승이 있었고, 테스트 후 0.12미크론을 초과하는 크기의 5000개 미만의 애더들이 플래튼 상에서 발견되었다. 돌기들을 만들기 위해 사용된 물질들, 특히 탄화규소 코팅과 코팅 고유의 제어된 체적 비저항 때문에, 척 전력이 제거된 후 웨이퍼들이 척 표면에 정전 부착될 가능성이 감소한다.
도 11의 실시예의 예에서, 웨이퍼 접촉 표면은 절연체와 유전물질을 위해 알루미나 세라믹을 이용한 300㎜ 직경의 쿨롬 척에 형성되었다. 6상 교류 전원이 미국 특허 제6,388,861호에 개시된 방식으로 척을 충전 및 방전하기 위해 사용되었고, 그 내용이 이에 참고로서 포함된다. 구체적으로, 미국 특허 제6,388,861호의 4단 66라인 내지 5단 23라인에 설명된 바와 같이, 척은 여섯 개의 전극을 포함하고, 여섯 개의 상이한 위상을 갖는 바이폴라 사각파 클램핑 전압들이 전극들로 인가된다. 척을 충전 및 방전하기 위한 (DC 전원 같은) 그 밖의 전원들과 기술들이 사용될 수 있음은 물론이다. 정전 척 표면은 기체 유입구들(1137), 그라운드 핀 유로(1138), 기체 밀봉 링(1119), 자체 기체 밀봉 링(도 11의 리프트 핀 유로(1139)의 밝은 색 외부 구조)을 포함하는 리프트 핀 유로(1139), 척의 중앙부의 작은 기체 유입구(1140)(도 11에서 보이지 않는 유입구)를 포함한다. 상세도(도 11의 삽입도(1141))는 돌기들(1101)을 도시한다.
도 12는 도 11의 실시예의 정전 척의 돌기들(1201)을 위해 사용되는 표면 패턴을 도시한다. 돌기들(1201)은 4㎜의 중심 대 중심 간격(1228)과 800미크론(0.8㎜)의 직경(1227)을 갖고 삼각 패턴으로 이루어졌다. 돌기들(1201)은 5미크론 내지 7미크론의 높이(1326)(도 13 참조)를 갖고, 이는 15torr 압력의 후방측 기체와의 작동을 위해 최적의 높이로 간주된다. 제어된 표면 비저항층은 플라즈마 물리 기상 증착(PACVD) 공정에 의해 생성된 탄화규소 코팅이지만, 그 밖의 적절한 공정들이 사용될 수 있다. 예를 들어, 상기 층은 또한 물리 기상 증착(PVD)(SiC 타겟으로부터의 스퍼터링 또는 탄소 반응성 기체에서 규소 타겟으로부터의 반응성 스퍼터링), 원자층 증착(ALD), 고온 CVD, 또는 그 밖의 박막 방법들에 의해 증착될 수도 있다.
돌기들(1201)은 탄화규소 또는 비-화학양론적 탄화규소 같은 탄화규소 조성물층을 편평한 알루미나 유전체(1312)(도 13 참조)에 전면 증착하는 단계와, 포토리소그래피를 이용하여 탄화규소 조성물층을 패터닝하는 단계와, 돌기들(1301)(도 13 참조)이 남겨지도록 반응성 이온 식각을 이용하여 탄화규소 조성물층의 일부분들을 제거하는 단계에 의해 형성되었다. 탄화규소 조성물을 만들기 위해 사용되고 약 1×108Ω/sq 내지 약 1×1011Ω/sq의 범위의 표면 비저항을 갖는 탄화규소 또는 비-화학양론적 탄화규소를 얻기 위해 사용되는 규소 전구체 기체와 탄소 전구체 기체의 양을 변경하여, (탄화규소 또는 비-화학양론적 탄화규소일 수 있는) 탄화규소 조성물층의 비저항이 제어되었다. 예를 들어, 탄화규소 조성물의 조성은 플라즈마 강화 CVD 공정의 반응기에 들어가는 규소 및 탄소 함유 전구체 기체들의 유량 또는 비율을 조정하여 변경될 수 있다. 전구체 기체들은 정전 척의 코팅을 형성하고 분해하고, 탄화규소 코팅의 조성은 전구체 기체들의 상대적인 유량 또는 비율에 의해 결정된다. 코팅의 표면 비저항은 코팅의 조성을 바꾸어 변경될 수 있다.
동일한 표면 비저항 범위를 갖는 그 밖의 저응력 코팅들이 알루미나에 유사한 방식으로 증착되어 패터닝될 수 있다. 예를 들어, 약 450MPa 미만, 더 바람직하게는 (증착 시에) 약 450MPa 미만의 내부 압축 막 응력을 갖는 코팅이 사용될 수 있다. 또한, 코팅들은 (내부 압축 막 응력이 약 450MPa 미만의 범위에 있는 물질 같은) 저응력 물질로 형성되고, 원하는 표면 비저항을 얻기 위해 다이아몬드상 탄소(또는 통상 더 높은 압축 막 응력을 갖는 다른 물질)의 얇은 코팅에 의해 오버코팅될 수 있다. 도 13은 도 11의 실시예의 기판 접촉 표면의 개략적인 횡단면도이다. 유전물질(1312)은 알루미나이고, 돌기(1301)는 높은 경도와 조정 가능한 부피 비저항 때문에 선택된 탄화규소이다. 탄화규소 돌기(1301)와 코팅층(1342)은 약 1×108Ω-㎝의 부피 비저항을 갖고, 이는 약 1×1010Ω/sq의 표면 비저항을 초래한다. (탄소가 더 풍부한 비-화학양론적 탄화규소 조성물을 이용하여 이루어진) 약 1×108Ω/sq 내지 (규소가 더 풍부한 비-화학양론적 탄화규소 조성물을 이용하여 이루어진) 1×1011Ω/sq의 범위의 표면 비저항이 바람직하지 않은 정전력 및 궁극적으로는 웨이퍼 부착을 초래할 수 있는 표면 전하 보유를 줄이기 위해 도시되어 있다. 코팅층(1342)은 약 0.1미크론 내지 약 10미크론의 두께일 수 있지만, 바람직하게는 약 1미크론 내지 약 3미크론의 두께이다. 4㎜의 중심 대 중심 간격(1328)이 도시되었지만, 그 밖의 간격들이 사용될 수 있다.
도 11의 실시예의 정전 척의 다른 특징은, 전체 척의 가장자리를 에워싸고 또한 척의 중앙부에서 더 큰 관통구들을 에워싸는, 돌기들과 척 평면에서의 높이가 동일한 탄화규소로 이루어진 연속 링(1119)(도 11 참조)이다. 이러한 링들은 웨이퍼가 표면에 정전 흡인될 때 후방측 기체를 포함하도록 작동한다.
도 14는 본 발명의 실시예에 따른 전하 제어 물질의 등각 코팅(1442)을 이용한, 도 11의 정전 척을 위한 대안적인 코팅 방식을 도시한다. 먼저, 알루미나 유전체(1412)(전극 미도시)가 돌기들(1401), 기체 밀봉부들 등을 형성하기 위해 비드 블라스팅 또는 식각 기술을 이용하여 패터닝될 수 있다. 다음으로, 유전체(1412)는 약 1×108Ω/sq 내지 약 1×1011Ω/sq의 범위의 표면 비저항을 갖는 탄화규소 또는 그 밖의 전하 제어 표면층(1442)에 의해 필수적으로 등각 코팅될 수 있다. 도 14의 실시예는 도 13의 실시예보다 더 낮은 제조 비용의 이점을 가질 수 있다. 5미크론 내지 7미크론의 돌기 높이(1426)와 4㎜의 간격(1428)이 도시되었지만, 그 밖의 돌기 높이들과 간격들이 사용될 수 있다.
도 11 내지 도 14의 실시예들에 따르면, 약 1×108Ω/sq 내지 약 1×1011Ω/sq의 범위의 표면 비저항이 전하 제어 표면층(1301/1342, 1442)에 대해 바람직하다. 기생 전도층이 바람직하지 않게 정전 척의 정전력을 기판보다는 전하 제어 표면층에 결합시킬 것이기 때문에, 바람직하게는 전하 제어 표면층이 비-기생 전도층이어야 한다. 전하 제어 표면층의 표면 비저항을 약 1×108Ω/sq 내지 약 1×1011Ω/sq의 범위에서 유지함에 따라, 정전 척의 정전력이 표면층 자체보다는 기판에 결합된다. 표면층이 이 범위보다 과도하게 높거나 과도하게 낮은 표면 비저항을 갖는 경우, 정전 척의 클램핑력이 감소하거나 또는 척의 표면에서 전하를 불충분하게 빼내어 웨이퍼 부착이 더 많이 일어날 위험이 있다.
탄화 규소 조성물 외의 물질들이 본 발명의 실시예에 따라 전하 제어 표면층을 형성하기 위해 사용될 수 있다. 예를 들어, 다이아몬드상 탄소, 비정질 규소, 금속 도핑된 산화물, 또는 그 밖의 물질들이 사용될 수 있다. 바람직하게는, 사용되는 물질에 관계없이, 전하 제어 표면층은 약 1×108Ω/sq 내지 약 1×1011Ω/sq의 범위의 표면 비저항을 가져야 한다. 전하 제어 표면층을 위해 사용된 물질은 적절한 범위의 표면 비저항을 가지면서 열적 및 화학적으로 안정해야 한다. 바람직하게는 약 1×108Ω/sq 내지 약 1×1011Ω/sq의 범위가 사용되어야 하지만, 예를 들면, 약 1×106Ω/sq 내지 약 1×1010Ω/sq의 표면 비저항 범위가 다이아몬드상 탄소에 의해 달성될 수 있다. 다이아몬드상 탄소가 바람직하게는 전면 증착을 이용하는 도 13의 제조 기술과 함께 사용될 수 있고, 바람직하게는 얇은 층으로 사용된다. 일반적으로, 소정의 부피 비저항 물질에 대해, 더 얇은 층이 더 높은 표면 비저항을 생성하고, 역으로도 마찬가지이다. 일반적으로, 전하 제어 표면층의 조성과 두께는 적절한 표면 비저항을 달성하도록 조정되어야 한다.
본 발명의 실시예에 따르면, 정전 척의 사용은 처킹, 디처킹, 처킹된 웨이퍼에 미세전자 제조 공정의 수행, 및 이들 중 임의의 조합으로 이루어진 작업들 또는 단계들을 포함할 수 있다.
본 명세서와 청구범위에 사용된 바와 같이, 문맥에서 명백하게 달리 규정하지 않는 한, 단수형의 표현 "a", "an", "the"는 복수형의 참조도 포함하는 것을 주목해야 한다. 그러므로, 예를 들어, "돌기"에 대한 참조는 하나 이상의 돌기들 및 당해 기술분야의 숙련자에게 알려진 그 균등물들에 대한 참조이다. 달리 한정되지 않는 한, 본 명세서에 사용된 모든 기술 용어들 및 과학 용어들은 당해 기술분야에서 통상적인 지식을 갖는 자에게 일반적으로 이해되는 의미와 동일한 의미를 갖는다. 본 명세서에 설명된 방법들 및 물질들과 유사하거나 동일한 방법들 및 물질들이 본 발명의 실시예들의 실시 또는 테스트에서 사용될 수 있다. 본 명세서에 언급된 모든 공개물은 그 전체가 참조로서 포함된다. 본 명세서의 어떤 내용도 본 발명이 이러한 개시에 있어 이전 발명보다 시기적으로 앞선 것이 아니라는 용인으로 해석되지 않아야 한다. "임의의" 또는 "임의로"는 후속으로 설명된 사상(event) 또는 상황(circumstance)이 일어나거나 일어나지 않을 수 있다는 것과, 본 개시가 사상이 일어난 경우와 사상이 일어나지 않은 경우를 포함한다는 것을 의미한다. 본 명세서의 모든 숫자 값은 명확하게 표현되어있든 아니든 "약(about)" 또는 "실질적으로(substantially)"라는 용어에 의해 수정될 수 있다. "약" 또는 "실질적으로"라는 용어는 대체로 당해 기술분야의 숙련자가 상술된 값과 균등하다고 고려할 수 있는 (즉, 동일한 기능 또는 결과를 갖는) 수치 범위를 가리킨다. 일부 실시예들에서, "약" 또는 "실질적으로"라는 용어는 명시된 값의 ±10%를 가리키고, 그 밖의 실시예들에서, "약" 또는 "실질적으로"라는 용어는 명시된 값의 ±2%를 가리킨다. 조성물과 방법이 다양한 성분들 또는 단계들을 "포함하는(comprising)" 것으로 설명될 때("포함하지만 이에 제한되지 않는"의 의미로 해석될 때), 조성물 및 방법은 또한 다양한 성분들과 단계들로 "필수적으로 이루어지거나(consist essentially of)" 또는 "이루어질(consist of)" 수 있다. "필수적으로 이루어지거나" 또는 "이루어지는" 것은 반드시 폐쇄적인 구성요소의 군을 정의하는 것으로 해석되어야 한다.
본 발명이 예시적인 실시예들을 참조하여 상세히 도시되고 설명되었지만, 첨부된 청구범위에서 포함하는 본 발명의 요지를 벗어남이 없이 당해 기술분야의 숙련자에 의해 형태와 상세에 있어 다양한 변형실시가 가능함은 물론이다.

Claims (39)

  1. 전극과;
    기판을 정전 척에 정전 클램핑하기 위한 전하를 형성하기 위해 전극의 전압에 의해 활성화되는 표면층으로, 기판의 정전 클램핑 동안 기판을 돌기들 상에 지지하기 위해 돌기들을 에워싸는 표면층의 일부분들 위의 높이까지 연장되고 이웃하는 돌기 쌍들 사이의 중심 대 중심 거리로 측정될 때, 표면층 전체에 실질적으로 균등하게 이격되는 다수의 돌기를 갖는 표면층을 포함하는 정전 척.
  2. 제1항에 있어서, 돌기들은 삼각 패턴으로 배열되는, 정전 척.
  3. 제1항에 있어서, 돌기들의 높이, 접촉 면적, 거칠기 중 하나 이상은 기판이 정전 클램핑 동안 가열될 때 기판의 온도와 온도 분포 중 하나 이상이 기판과 돌기들과 돌기들을 에워싼 표면층의 일부분들 사이의 공간에서 기체의 기체 열 전도에 의해 실질적으로 제어되도록 하는 것인, 정전 척.
  4. 제1항에 있어서, 돌기들 각각의 상부 면적은 약 25%, 약 50%, 약 75%로 이루어진 군에서 선택된 비율을 초과하는 비율로 정전 클램핑 동안 기판에 접촉하는, 정전 척.
  5. 제1항에 있어서, 기판을 정전 클램핑하는 단계와, 기판을 정전 클램핑으로부터 디클램핑하는 단계와, 기판에 수행된 제조 공정 동안 정전 클램핑을 수행하는 단계 중 하나 이상의 단계를 포함하는 정전 척의 사용의 결과로, 약 5000개의 입자 애더들, 약 3000개의 입자 애더들, 약 2500개의 입자 애더들, 약 1500개의 입자 애더들로 이루어진 군에서 선택된 입자 애더들의 수 미만의 입자 애더들이 기판의 후방측에 증착되는, 정전 척.
  6. 제1항에 있어서, 돌기들은 하나 이상의 저응력 물질로 형성되는, 정전 척.
  7. 제6항에 있어서, 저응력 물질은 비정질 유전물질과 다결정 유전물질 중 하나 이상을 포함하는, 정전 척.
  8. 제1항에 있어서, 돌기들은 약 1012Ω-㎝를 초과하는 비저항을 갖는 유전물질을 포함하는, 정전 척.
  9. 제1항에 있어서, 돌기들은 규소, 하나 이상의 다른 요소를 포함하는 규소 합금, 탄화규소, 비-화학양론적 탄화규소 중 하나 이상으로 이루어진 유전물질을 포함하는, 정전 척.
  10. 제1항에 있어서, 돌기들은 알루미나와 질화알루미늄 중 하나 이상으로 이루어진 유전물질을 포함하는, 정전 척.
  11. 제1항에 있어서, 돌기들은 존슨-라벡 힘 또는 부분 하이브리드 존슨-라벡 힘이 정전 클램핑 동안 기판에 작용하지 않도록 유전물질을 포함하는, 정전 척.
  12. 제1항에 있어서, 돌기들은 컴플라이언트 유전물질을 포함하는, 정전 척.
  13. 제1항에 있어서, 돌기들은 기판이 정전 클램핑 동안 존슨-라벡 효과에 의해 정전 척 상에 유지되도록 비저항을 갖는 유전물질을 포함하는, 정전 척.
  14. 제1항에 있어서, 기판에 대한 돌기들의 접촉 면적은 정전 척의 전체 면적의 약 1% 내지 약 10%를 포함하는, 정전 척.
  15. 제1항에 있어서, 돌기들은 약 0.75㎜ 내지 약 1㎜의 직경을 갖는, 정전 척.
  16. 제1항에 있어서, 이웃하는 돌기 쌍들 사이의 중심 대 중심 거리는 약 8㎜ 미만인, 정전 척.
  17. 제2항에 있어서, 돌기들은 약 0.75㎜ 내지 약 1㎜의 직경을 갖고, 이웃하는 돌기 쌍들 사이의 중심 대 중심 거리는 약 8㎜ 미만인, 정전 척.
  18. 제1항에 있어서, 이웃하는 돌기 쌍들 사이의 중심 대 중심 거리는 약 6㎜, 약 4㎜, 약 2㎜로 이루어진 군에서 선택된 거리 미만인, 정전 척.
  19. 제1항에 있어서, 돌기들은 정전 척의 표면 구조의 적어도 일부분을 포함하는 하나 이상의 부분 돌기를 포함하는, 정전 척.
  20. 제19항에 있어서, 표면 구조는 기체 채널, 리프트 핀, 그라운드 핀 중 하나 이상에서 선택된, 정전 척.
  21. 제1항에 있어서, 돌기들의 높이는 기판과 돌기들과 돌기들을 에워싼 표면층의 일부분들 사이의 공간에서 정전 클램핑 동안 위치한 기체의 평균 자유 행정과 실질적으로 동일한, 정전 척.
  22. 제1항에 있어서, 돌기들은 수공으로만 연마된 동종의 돌기들과 비교하여 적어도 일부 기계 연마에 의해 약 25% 내지 약 75% 감소된 표면 거칠기 메트릭을 갖는 상면을 포함하는 정전 척.
  23. 제22항에 있어서, 표면 거칠기 메트릭이 약 50% 감소된, 정전 척.
  24. 제1항에 있어서, 돌기의 특성 라운딩 높이가 수공으로만 연마된 동종의 돌기의 대응하는 높이와 비교하여 더 짧도록 그리고 특성 라운딩 길이가 수공으로만 연마된 동종의 돌기의 대응하는 길이와 비교하여 더 길도록, 돌기들이 적어도 일부 기계 연마에 의해 변형된 가장자리 형상을 갖는, 정전 척.
  25. 제24항에 있어서, 특성 라운딩 길이에 대한 특성 라운딩 높이의 비율은 수공으로만 연마된 동종의 돌기와 비교하여 약 2배 내지 약 5배와 약 3배 내지 약 4배로 이루어진 군에서 선택된 배수만큼 감소되는, 정전 척.
  26. 제22항에 있어서, 0.16㎛ 이상의 입자 크기 범위의 약 5000개 미만의 입자 애더들이 정전 척의 사용의 결과로 기판의 후방측에 증착되는, 정전 척.
  27. 제22항에 있어서, 0.16㎛ 이상의 입자 크기 범위의 약 2000개 미만의 입자 애더들이 정전 척의 사용의 결과로 기판의 후방측에 증착되는, 정전 척.
  28. 제23항에 있어서, 0.16㎛ 이상의 입자 크기 범위의 약 2000개 미만의 입자 애더들이 정전 척의 사용의 결과로 기판의 후방측에 증착되는, 정전 척.
  29. 제1항에 있어서, 돌기의 특성 라운딩 길이에 대한 특성 라운딩 높이의 비율이 약 0.00407 내지 약 0.00306의 비율과 약 0.00611 내지 약 0.002444의 비율로 이루어진 군에서 선택된 소정 비율과 동일하도록, 돌기들이 변형된 가장자리 형상을 갖는, 정전 척.
  30. 제1항에 있어서, 표면층은 전하 제어 표면층을 포함하는, 정전 척.
  31. 제30항에 있어서, 전하 제어 표면층은 약 1×108Ω/sq 내지 약 1×1011Ω/sq의 범위의 표면 비저항을 갖는, 정전 척.
  32. 제30항에 있어서, 전하 제어 표면층은 탄화규소 조성물을 포함하는, 정전 척.
  33. 제32항에 있어서, 전하 제어 표면층의 표면 비저항은 탄화규소 조성물을 만들기 위해 사용되는 규소 전구체 기체와 탄소 전구체 기체의 양을 변경하여 제어되는, 정전 척.
  34. 제32항에 있어서, 탄화규소 조성물은 탄화규소를 포함하는, 정전 척.
  35. 제32항에 있어서, 탄화규소 조성물은 비-화학양론적 탄화규소를 포함하는, 정전 척.
  36. 제30항에 있어서, 전하 제어 표면층은 하나 이상의 돌기와 표면 코팅층을 포함하는, 정전 척.
  37. 제30항에 있어서, 전하 제어 표면층은 탄화규소 조성물층을 유전체에 전면 증착하는 단계와, 포토리소그래피를 이용하여 탄화규소 조성물층을 패터닝하는 단계와, 하나 이상의 탄화규소 조성물 돌기가 남겨지도록 반응성 이온 식각을 이용하여 탄화규소 조성물층의 일부분들을 제거하는 단계에 의해 형성되는, 정전 척.
  38. 제30항에 있어서, 전하 제어 표면층은 비드 블라스팅 또는 식각을 이용하여 유전체층을 패터닝하는 단계와 전하 제어 표면층으로 유전체층을 등각 코팅하는 단계에 의해 형성되는, 정전 척.
  39. 제30항에 있어서, 전하 제어 표면층은 다이아몬드상 탄소, 비정질 규소, 금속 도핑된 산화물, 및 이들의 조합으로 이루어진 군에서 선택된 하나 이상의 물질을 포함하는, 정전 척.
KR1020107028501A 2008-05-19 2009-05-15 정전 척 KR101673039B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US5425908P 2008-05-19 2008-05-19
US61/054,259 2008-05-19
US9470008P 2008-09-05 2008-09-05
US61/094,700 2008-09-05
PCT/US2009/003015 WO2009142710A1 (en) 2008-05-19 2009-05-15 Electrostatic chuck

Publications (2)

Publication Number Publication Date
KR20110020269A true KR20110020269A (ko) 2011-03-02
KR101673039B1 KR101673039B1 (ko) 2016-11-04

Family

ID=40810870

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107028501A KR101673039B1 (ko) 2008-05-19 2009-05-15 정전 척

Country Status (8)

Country Link
US (2) US9543187B2 (ko)
EP (1) EP2286448B1 (ko)
JP (1) JP5492875B2 (ko)
KR (1) KR101673039B1 (ko)
CN (2) CN102067302B (ko)
SG (1) SG190668A1 (ko)
TW (1) TWI475594B (ko)
WO (1) WO2009142710A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130106916A (ko) * 2012-03-21 2013-10-01 주식회사 미코 정전척
KR101355505B1 (ko) * 2011-11-21 2014-02-11 엘아이지에이디피 주식회사 정전척 및 정전척 제조방법

Families Citing this family (246)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9558980B2 (en) * 2008-04-30 2017-01-31 Axcelis Technologies, Inc. Vapor compression refrigeration chuck for ion implanters
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
JP5225023B2 (ja) * 2008-10-30 2013-07-03 京セラ株式会社 試料保持具および搬送装置
WO2010073514A1 (ja) * 2008-12-25 2010-07-01 株式会社アルバック 静電チャック用のチャックプレートの製造方法
SG10201402319QA (en) 2009-05-15 2014-07-30 Entegris Inc Electrostatic chuck with polymer protrusions
US8861170B2 (en) 2009-05-15 2014-10-14 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
KR20130064050A (ko) * 2010-04-21 2013-06-17 엔테그리스, 아이엔씨. 코팅된 흑연 물품 및 이 흑연 물품의 반응성 이온 에칭 제조 및 재생
CN105196094B (zh) 2010-05-28 2018-01-26 恩特格林斯公司 高表面电阻率静电吸盘
JP2012028539A (ja) * 2010-07-23 2012-02-09 Ngk Spark Plug Co Ltd セラミックス接合体
NL2006674A (en) * 2010-08-02 2012-02-06 Asml Holding Nv Reticle cooling in a lithographic apparatus.
JP5454803B2 (ja) * 2010-08-11 2014-03-26 Toto株式会社 静電チャック
US9692325B2 (en) 2010-09-08 2017-06-27 Entegris, Inc. High conductivity electrostatic chuck
US8546732B2 (en) * 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US9348236B2 (en) * 2010-12-08 2016-05-24 Asml Holding N.V. Electrostatic clamp, lithographic apparatus and method of manufacturing an electrostatic clamp
US9329497B2 (en) 2011-02-01 2016-05-03 Asml Netherlands B.V. Substrate table, lithographic apparatus and device manufacturing method
JP2012245597A (ja) * 2011-05-31 2012-12-13 Kyocera Corp 搬送アームおよびそれを用いた吸着装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5778093B2 (ja) * 2011-08-10 2015-09-16 エーエスエムエル ネザーランズ ビー.ブイ. 基板テーブルアセンブリ、液浸リソグラフィ装置及びデバイス製造方法
US8524572B2 (en) * 2011-10-06 2013-09-03 Micron Technology, Inc. Methods of processing units comprising crystalline materials, and methods of forming semiconductor-on-insulator constructions
CN109298602B (zh) 2012-02-03 2021-10-15 Asml荷兰有限公司 衬底保持器和光刻装置
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
WO2013156236A1 (en) * 2012-04-19 2013-10-24 Asml Netherlands B.V. Substrate holder, lithographic apparatus, and device manufacturing method
JP5975755B2 (ja) 2012-06-28 2016-08-23 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
CA2876507A1 (en) * 2012-07-10 2014-01-16 Hemlock Semiconductor Corporation Manufacturing apparatus for depositing a material and a socket for use therein
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN104904003B (zh) 2012-11-02 2018-02-02 恩特格里斯公司 具有可光图案化的软性突出接触表面的静电夹盘
TWI514463B (zh) * 2012-11-30 2015-12-21 Global Material Science Co Ltd 乾蝕刻設備中的靜電吸附板表面凸點的製造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US10324121B2 (en) * 2012-12-28 2019-06-18 Axcelis Technologies, Inc. Charge integration based electrostatic clamp health monitor
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
WO2014114395A1 (en) * 2013-01-22 2014-07-31 Asml Netherlands B.V. Electrostatic clamp
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9281227B2 (en) * 2013-06-28 2016-03-08 Axcelis Technologies, Inc. Multi-resistivity Johnsen-Rahbek electrostatic clamp
WO2015013143A1 (en) 2013-07-22 2015-01-29 Applied Materials, Inc. An end effector for transferring a substrate
WO2015013142A1 (en) 2013-07-22 2015-01-29 Applied Materials, Inc. An electrostatic chuck for high temperature process applications
KR20180110213A (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
US9644269B2 (en) * 2014-01-30 2017-05-09 Varian Semiconductor Equipment Associates, Inc Diffusion resistant electrostatic clamp
SG11201606136UA (en) * 2014-02-03 2016-09-29 Ev Group E Thallner Gmbh Method and device for bonding substrates
TWI663681B (zh) 2014-02-07 2019-06-21 美商恩特葛瑞斯股份有限公司 靜電夾具以及製造其之方法
SG11201609223XA (en) 2014-05-07 2016-12-29 Morgan Advanced Ceramics Inc Method for manufacturing large ceramic co-fired articles
US10832931B2 (en) * 2014-05-30 2020-11-10 Applied Materials, Inc. Electrostatic chuck with embossed top plate and cooling channels
JP6868553B2 (ja) 2014-08-15 2021-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ化学気相堆積システムにおいて高温で圧縮又は引張応力を有するウェハを処理する方法及び装置
US10325800B2 (en) 2014-08-26 2019-06-18 Applied Materials, Inc. High temperature electrostatic chucking with dielectric constant engineered in-situ charge trap materials
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US20160230269A1 (en) * 2015-02-06 2016-08-11 Applied Materials, Inc. Radially outward pad design for electrostatic chuck surface
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10950477B2 (en) * 2015-08-07 2021-03-16 Applied Materials, Inc. Ceramic heater and esc with enhanced wafer edge performance
JP7032307B2 (ja) * 2015-08-14 2022-03-08 ツー-シックス デラウェア インコーポレイテッド チャック表面の決定論的な仕上げのための方法
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
TWI640059B (zh) * 2016-01-19 2018-11-01 因特瓦克公司 供基板加工使用的圖形化吸盤
US10770330B2 (en) 2016-02-10 2020-09-08 Entegris, Inc. Wafer contact surface protrusion profile with improved particle performance
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10832936B2 (en) * 2016-07-27 2020-11-10 Lam Research Corporation Substrate support with increasing areal density and corresponding method of fabricating
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102566170B1 (ko) * 2016-09-12 2023-08-10 삼성전자주식회사 웨이퍼 타공 장치
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US20180122679A1 (en) * 2016-10-28 2018-05-03 Applied Materials, Inc. Stress balanced electrostatic substrate carrier with contacts
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
DE102017000528A1 (de) 2017-01-20 2018-07-26 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co. Verfahren zur Bearbeitung einer Halteplatte, insbesondere für einen Clamp zur Waferhalterung
CN108346611B (zh) * 2017-01-24 2021-05-18 中微半导体设备(上海)股份有限公司 静电吸盘及其制作方法与等离子体处理装置
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018204556A1 (en) * 2017-05-02 2018-11-08 M Cubed Technologies, Inc. Inert gas-assisted laser machining of ceramic-containing articles
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019074843A1 (en) * 2017-10-09 2019-04-18 Applied Materials, Inc. ELECTROSTATIC CHUCK FOR SUBSTRATE TREATMENT WITHOUT DAMAGE
US10654147B2 (en) * 2017-10-17 2020-05-19 Applied Materials, Inc. Polishing of electrostatic substrate support geometries
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
JP7366045B2 (ja) * 2018-03-22 2023-10-20 アプライド マテリアルズ インコーポレイテッド 半導体デバイスの製造に使用される加工構成要素のセラミック表面のレーザ研磨
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR20200130743A (ko) * 2018-04-04 2020-11-19 램 리써치 코포레이션 시일 표면을 갖는 정전 척
US11133212B2 (en) * 2018-05-16 2021-09-28 Applied Materials, Inc. High temperature electrostatic chuck
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11448955B2 (en) * 2018-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for lithography process and method for manufacturing the same
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
JP7430489B2 (ja) * 2019-01-16 2024-02-13 セメス株式会社 静電チャック、静電チャック装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
CN109881184B (zh) * 2019-03-29 2022-03-25 拓荆科技股份有限公司 具有静电力抑制的基板承载装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11506985B2 (en) * 2019-04-29 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus and method of operating the same for preventing photomask particulate contamination
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
CN110349828B (zh) * 2019-06-20 2021-12-03 Tcl华星光电技术有限公司 干蚀刻设备
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI762978B (zh) * 2019-07-24 2022-05-01 美商恩特葛瑞斯股份有限公司 用於多層之靜電吸盤之接地機構及相關之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP2021163831A (ja) * 2020-03-31 2021-10-11 東京エレクトロン株式会社 保持装置、及びプラズマ処理装置
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
WO2022051185A1 (en) 2020-09-02 2022-03-10 Entegris, Inc. Electrostatic chuck with embossments that comprise diamond-like carbon and deposited silicon-based material, and related methods
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11417557B2 (en) * 2020-12-15 2022-08-16 Entegris, Inc. Spiraling polyphase electrodes for electrostatic chuck
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
FR3125355A1 (fr) * 2021-07-19 2023-01-20 Soitec Agencement de dispositif de maintien pour une utilisation dans un processus d'implantation d'un substrat piézoélectrique
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114107959A (zh) * 2021-11-30 2022-03-01 重庆忽米网络科技有限公司 一种用于cvd设备的晶圆传送方法
CN114570543B (zh) * 2022-02-26 2022-11-15 宁波大学 一种基于电场辅助的功能涂层制备装置
WO2024006105A1 (en) * 2022-07-01 2024-01-04 Applied Materials, Inc. Substrate carrier improvement
CN116525525A (zh) * 2023-06-30 2023-08-01 无锡卓瓷科技有限公司 一种碳化硅静电吸盘及制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5413360A (en) * 1992-12-01 1995-05-09 Kyocera Corporation Electrostatic chuck
JP2001274228A (ja) * 2000-01-20 2001-10-05 Ngk Insulators Ltd 静電チャック
JP2007527625A (ja) * 2004-02-24 2007-09-27 アプライド マテリアルズ インコーポレイテッド 汚染物質削減基板移送およびサポートシステム
JP2008066707A (ja) * 2006-08-10 2008-03-21 Tokyo Electron Ltd 静電吸着電極、基板処理装置および静電吸着電極の製造方法

Family Cites Families (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2015139A1 (ko) * 1968-08-06 1970-04-24 Sanyo Chemical Cy Ltd
JPS6025902B2 (ja) 1975-10-01 1985-06-20 株式会社日立製作所 樹脂封止型半導体装置
US4184188A (en) 1978-01-16 1980-01-15 Veeco Instruments Inc. Substrate clamping technique in IC fabrication processes
JPH0227748A (ja) 1988-07-16 1990-01-30 Tomoegawa Paper Co Ltd 静電チャック装置及びその作成方法
JPH02304946A (ja) 1989-05-19 1990-12-18 Mitsui Petrochem Ind Ltd 静電チャック
US5179498A (en) 1990-05-17 1993-01-12 Tokyo Electron Limited Electrostatic chuck device
JPH0478133A (ja) 1990-07-20 1992-03-12 Tokyo Electron Ltd プラズマ処理装置
US5206228A (en) * 1991-10-29 1993-04-27 Rhone-Poulenc Ag Company Control of arthropod pests with phosphorous acid and mono-esters and salts thereof
US5539609A (en) 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
US5310453A (en) 1992-02-13 1994-05-10 Tokyo Electron Yamanashi Limited Plasma process method using an electrostatic chuck
US5350479A (en) 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
US5382311A (en) 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5557215A (en) 1993-05-12 1996-09-17 Tokyo Electron Limited Self-bias measuring method, apparatus thereof and electrostatic chucking apparatus
US5625526A (en) 1993-06-01 1997-04-29 Tokyo Electron Limited Electrostatic chuck
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
TW357404B (en) 1993-12-24 1999-05-01 Tokyo Electron Ltd Apparatus and method for processing of plasma
US5508368A (en) * 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5437870A (en) * 1994-08-25 1995-08-01 W. Neudorff Gmbh Kg Ingestible mollusc poisons
US5583736A (en) * 1994-11-17 1996-12-10 The United States Of America As Represented By The Department Of Energy Micromachined silicon electrostatic chuck
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5691876A (en) 1995-01-31 1997-11-25 Applied Materials, Inc. High temperature polyimide electrostatic chuck
KR100214438B1 (ko) 1995-03-17 1999-08-02 히가시 데쓰로 스테이지 장치
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
JP3457477B2 (ja) 1995-09-06 2003-10-20 日本碍子株式会社 静電チャック
JPH09172055A (ja) * 1995-12-19 1997-06-30 Fujitsu Ltd 静電チャック及びウエハの吸着方法
JPH09213777A (ja) * 1996-01-31 1997-08-15 Kyocera Corp 静電チャック
JPH09213781A (ja) 1996-02-01 1997-08-15 Tokyo Electron Ltd 載置台構造及びそれを用いた処理装置
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5761023A (en) 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5825607A (en) * 1996-05-08 1998-10-20 Applied Materials, Inc. Insulated wafer spacing mask for a substrate support chuck and method of fabricating same
US5748434A (en) 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
US6175485B1 (en) 1996-07-19 2001-01-16 Applied Materials, Inc. Electrostatic chuck and method for fabricating the same
TW334609B (en) 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
US5854309A (en) * 1996-09-30 1998-12-29 Blount; David H. Flame retardant compositions utilizing amino condensation compounds
US5740009A (en) 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
US6217655B1 (en) * 1997-01-31 2001-04-17 Applied Materials, Inc. Stand-off pad for supporting a wafer on a substrate support chuck
US6117246A (en) 1997-01-31 2000-09-12 Applied Materials, Inc. Conductive polymer pad for supporting a workpiece upon a workpiece support surface of an electrostatic chuck
US5870271A (en) * 1997-02-19 1999-02-09 Applied Materials, Inc. Pressure actuated sealing diaphragm for chucks
JP3650248B2 (ja) 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
WO1998047358A1 (en) * 1997-04-24 1998-10-29 Black Robert H Compositions for killing dust mites and methods of using same
FR2763144B1 (fr) * 1997-05-07 1999-07-23 Alsthom Cge Alcatel Dispositif et procede de regeneration pour train de solitons
US6088213A (en) 1997-07-11 2000-07-11 Applied Materials, Inc. Bipolar electrostatic chuck and method of making same
US6051122A (en) 1997-08-21 2000-04-18 Applied Materials, Inc. Deposition shield assembly for a semiconductor wafer processing system
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5880924A (en) 1997-12-01 1999-03-09 Applied Materials, Inc. Electrostatic chuck capable of rapidly dechucking a substrate
JPH11214494A (ja) 1998-01-26 1999-08-06 Taiheiyo Cement Corp 静電チャック
GB2333707A (en) * 1998-01-31 1999-08-04 Procter & Gamble Metal ion pesticide containing complexing agent
US6352706B1 (en) * 1998-02-05 2002-03-05 W. Neudorff Gmbh Kg Naturally occurring enhancer of metal toxicants in molluscs
US5886865A (en) 1998-03-17 1999-03-23 Applied Materials, Inc. Method and apparatus for predicting failure of an eletrostatic chuck
EP0945073A3 (en) 1998-03-26 2001-01-17 Shiseido Company Limited Process of production of natural flavor or fragrance
US6304424B1 (en) 1998-04-03 2001-10-16 Applied Materials Inc. Method and apparatus for minimizing plasma destabilization within a semiconductor wafer processing system
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6104595A (en) 1998-04-06 2000-08-15 Applied Materials, Inc. Method and apparatus for discharging an electrostatic chuck
US5969934A (en) * 1998-04-10 1999-10-19 Varian Semiconductor Equipment Associats, Inc. Electrostatic wafer clamp having low particulate contamination of wafers
US6104596A (en) 1998-04-21 2000-08-15 Applied Materials, Inc. Apparatus for retaining a subtrate in a semiconductor wafer processing system and a method of fabricating same
US6081414A (en) 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
WO1999060613A2 (en) 1998-05-21 1999-11-25 Applied Materials, Inc. Method and apparatus for minimizing plasma destabilization within a semiconductor wafer processing system
US6072685A (en) 1998-05-22 2000-06-06 Applied Materials, Inc. Electrostatic chuck having an electrical connector with housing
US6641939B1 (en) * 1998-07-01 2003-11-04 The Morgan Crucible Company Plc Transition metal oxide doped alumina and methods of making and using
US5886866A (en) 1998-07-06 1999-03-23 Applied Materials, Inc. Electrostatic chuck having a combination electrode structure for substrate chucking, heating and biasing
US6323153B1 (en) * 1998-07-15 2001-11-27 Falcon Lab Llc Method for the control of vegetation using herbicidal composition containing carboxylic or phoshonic acid salt
JP2000100917A (ja) 1998-09-22 2000-04-07 Jeol Ltd 静電チャック装置
US6117823A (en) * 1998-09-28 2000-09-12 Dixie Chemical Company Method of using aliphatic carboxylic acid diesters as non-selective herbicides
JP3983387B2 (ja) 1998-09-29 2007-09-26 日本碍子株式会社 静電チャック
US6790375B1 (en) 1998-09-30 2004-09-14 Lam Research Corporation Dechucking method and apparatus for workpieces in vacuum processors
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US6259592B1 (en) 1998-11-19 2001-07-10 Applied Materials, Inc. Apparatus for retaining a workpiece upon a workpiece support and method of manufacturing same
US6215640B1 (en) 1998-12-10 2001-04-10 Applied Materials, Inc. Apparatus and method for actively controlling surface potential of an electrostatic chuck
US6430022B2 (en) 1999-04-19 2002-08-06 Applied Materials, Inc. Method and apparatus for controlling chucking force in an electrostatic
JP2000323558A (ja) 1999-05-07 2000-11-24 Nikon Corp 静電吸着装置
JP3805134B2 (ja) * 1999-05-25 2006-08-02 東陶機器株式会社 絶縁性基板吸着用静電チャック
US6273958B2 (en) * 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
EP1061639A2 (en) 1999-06-17 2000-12-20 Applied Materials, Inc. Chucking system amd method
JP3273773B2 (ja) 1999-08-12 2002-04-15 イビデン株式会社 半導体製造・検査装置用セラミックヒータ、半導体製造・検査装置用静電チャックおよびウエハプローバ用チャックトップ
US6839217B1 (en) 1999-10-01 2005-01-04 Varian Semiconductor Equipment Associates, Inc. Surface structure and method of making, and electrostatic wafer clamp incorporating surface structure
JP2001118776A (ja) 1999-10-19 2001-04-27 Nikon Corp 転写型露光装置および該装置に使用されるマスク保持機構、および半導体素子の製造方法。
US6723274B1 (en) 1999-12-09 2004-04-20 Saint-Gobain Ceramics & Plastics, Inc. High-purity low-resistivity electrostatic chucks
TW473792B (en) * 2000-01-20 2002-01-21 Ngk Insulators Ltd Electrostatic chuck
JP5165817B2 (ja) 2000-03-31 2013-03-21 ラム リサーチ コーポレーション 静電チャック及びその製造方法
US6440878B1 (en) * 2000-04-03 2002-08-27 Sharp Laboratories Of America, Inc. Method to enhance the adhesion of silicon nitride to low-k fluorinated amorphous carbon using a silicon carbide adhesion promoter layer
US6567257B2 (en) 2000-04-19 2003-05-20 Applied Materials, Inc. Method and apparatus for conditioning an electrostatic chuck
JP3851489B2 (ja) 2000-04-27 2006-11-29 日本発条株式会社 静電チャック
TWI254403B (en) 2000-05-19 2006-05-01 Ngk Insulators Ltd Electrostatic clamper, and electrostatic attracting structures
JP3859937B2 (ja) * 2000-06-02 2006-12-20 住友大阪セメント株式会社 静電チャック
JP2002009139A (ja) * 2000-06-20 2002-01-11 Nikon Corp 静電チャック
JP4753460B2 (ja) 2000-08-16 2011-08-24 株式会社クリエイティブ テクノロジー 静電チャック及びその製造方法
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
JP4548928B2 (ja) 2000-10-31 2010-09-22 京セラ株式会社 電極内蔵体及びこれを用いたウエハ支持部材
US6678143B2 (en) 2000-12-11 2004-01-13 General Electric Company Electrostatic chuck and method of manufacturing the same
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
JP4312394B2 (ja) * 2001-01-29 2009-08-12 日本碍子株式会社 静電チャックおよび基板処理装置
JP2002270680A (ja) 2001-02-28 2002-09-20 Applied Materials Inc 基板支持方法及び基板支持装置
US6628503B2 (en) 2001-03-13 2003-09-30 Nikon Corporation Gas cooled electrostatic pin chuck for vacuum applications
US20050095410A1 (en) * 2001-03-19 2005-05-05 Mazurkiewicz Paul H. Board-level conformal EMI shield having an electrically-conductive polymer coating over a thermally-conductive dielectric coating
JP4868649B2 (ja) 2001-03-29 2012-02-01 ラム リサーチ コーポレーション プラズマ処理装置
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
JP2003060020A (ja) 2001-06-07 2003-02-28 Komatsu Ltd 静電チャック装置
JP2002324846A (ja) * 2001-04-25 2002-11-08 Sanken Electric Co Ltd 半導体装置及びその製造方法
US6552892B2 (en) * 2001-05-09 2003-04-22 Axcelis Technologies, Inc. Method and apparatus for the grounding of process wafers by the use of conductive regions created by ion implantation into the surface of an electrostatic clamp
US6483690B1 (en) 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
US20030010292A1 (en) 2001-07-16 2003-01-16 Applied Materials, Inc. Electrostatic chuck with dielectric coating
US6490145B1 (en) * 2001-07-18 2002-12-03 Applied Materials, Inc. Substrate support pedestal
US6853953B2 (en) 2001-08-07 2005-02-08 Tokyo Electron Limited Method for characterizing the performance of an electrostatic chuck
KR20030020072A (ko) * 2001-09-01 2003-03-08 주성엔지니어링(주) 유니폴라 정전척
JP4094262B2 (ja) 2001-09-13 2008-06-04 住友大阪セメント株式会社 吸着固定装置及びその製造方法
JP4008230B2 (ja) 2001-11-14 2007-11-14 住友大阪セメント株式会社 静電チャックの製造方法
US6634177B2 (en) 2002-02-15 2003-10-21 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for the real-time monitoring and control of a wafer temperature
US6754062B2 (en) 2002-02-27 2004-06-22 Praxair S.T. Technology, Inc. Hybrid ceramic electrostatic clamp
US6972273B2 (en) * 2002-03-01 2005-12-06 W. Neudorff Gmbh Kg Composition and method for selective herbicide
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
JP3847198B2 (ja) 2002-03-27 2006-11-15 京セラ株式会社 静電チャック
TWI228786B (en) 2002-04-16 2005-03-01 Anelva Corp Electrostatic chucking stage and substrate processing apparatus
JP4082924B2 (ja) 2002-04-16 2008-04-30 キヤノンアネルバ株式会社 静電吸着ホルダー及び基板処理装置
US7335315B2 (en) 2002-06-17 2008-02-26 Mitsubishi Heavy Industries, Ltd. Method and device for measuring wafer potential or temperature
JP4061131B2 (ja) 2002-06-18 2008-03-12 キヤノンアネルバ株式会社 静電吸着装置
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
WO2004027839A2 (en) 2002-09-19 2004-04-01 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
US20040055709A1 (en) 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
JP2004200462A (ja) 2002-12-19 2004-07-15 Nhk Spring Co Ltd 静電チャックおよびその製造方法
US6982125B2 (en) 2002-12-23 2006-01-03 Saint-Gobain Ceramics & Plastics, Inc. ALN material and electrostatic chuck incorporating same
WO2004059714A1 (ja) 2002-12-26 2004-07-15 Nikon Corporation 研磨装置及び半導体デバイスの製造方法
US7283346B2 (en) 2002-12-26 2007-10-16 Mitsubishi Heavy Industries, Ltd. Electrostatic chuck and its manufacturing method
US6835415B2 (en) * 2003-01-07 2004-12-28 Euv Llc Compliant layer chucking surface
TWI327336B (en) 2003-01-13 2010-07-11 Oc Oerlikon Balzers Ag Arrangement for processing a substrate
KR20040070008A (ko) * 2003-01-29 2004-08-06 쿄세라 코포레이션 정전척
US20040173469A1 (en) 2003-03-04 2004-09-09 Ryujiro Udo Plasma processing apparatus and method for manufacturing electrostatic chuck
US7075771B2 (en) 2003-05-21 2006-07-11 Tokyo Electron Limited Apparatus and methods for compensating plasma sheath non-uniformities at the substrate in a plasma processing system
JP4407793B2 (ja) 2003-07-11 2010-02-03 Toto株式会社 静電チャックおよび静電チャックを搭載した装置
KR100512745B1 (ko) 2003-07-24 2005-09-07 삼성전자주식회사 정전기 척
US20050069726A1 (en) * 2003-09-30 2005-03-31 Douglas Elliot Paul Light emitting composite material and devices thereof
US6905984B2 (en) * 2003-10-10 2005-06-14 Axcelis Technologies, Inc. MEMS based contact conductivity electrostatic chuck
US7198276B2 (en) 2003-10-24 2007-04-03 International Business Machines Corporation Adaptive electrostatic pin chuck
US7261919B2 (en) * 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US6897945B1 (en) 2003-12-15 2005-05-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7088431B2 (en) 2003-12-17 2006-08-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR100546808B1 (ko) 2003-12-24 2006-01-26 재단법인 포항산업과학연구원 세라믹 용사 코팅을 이용한 반도체 공정용 정전척
DE112005000621B4 (de) 2004-03-19 2019-01-31 Creative Technology Corporation Bipolare elektrostatische Haltevorrichtung
JP3998003B2 (ja) * 2004-04-23 2007-10-24 ソニー株式会社 プラズマエッチング法
US7504268B2 (en) 2004-05-28 2009-03-17 Board Of Regents, The University Of Texas System Adaptive shape substrate support method
JP4409373B2 (ja) * 2004-06-29 2010-02-03 日本碍子株式会社 基板載置装置及び基板温度調整方法
US7052553B1 (en) 2004-12-01 2006-05-30 Lam Research Corporation Wet cleaning of electrostatic chucks
KR20060081562A (ko) 2005-01-10 2006-07-13 (주)엔트로피 정전척의 제조방법
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
JP4811608B2 (ja) * 2005-10-12 2011-11-09 信越化学工業株式会社 静電吸着機能を有するウエハ加熱装置
KR100709589B1 (ko) 2005-11-14 2007-04-20 (주)소슬 웨이퍼를 용이하게 탈착시킬 수 있는 엠보싱 척
US7248457B2 (en) * 2005-11-15 2007-07-24 Toto Ltd. Electrostatic chuck
US7869184B2 (en) 2005-11-30 2011-01-11 Lam Research Corporation Method of determining a target mesa configuration of an electrostatic chuck
KR100755874B1 (ko) 2005-11-30 2007-09-05 주식회사 아이피에스 진공처리장치의 정전척, 그를 가지는 진공처리장치 및정전척의 제조방법
JP4718314B2 (ja) 2005-12-07 2011-07-06 日本特殊陶業株式会社 誘電体積層構造体、その製造方法、及び配線基板
JP4666496B2 (ja) * 2005-12-07 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
JP2007173596A (ja) 2005-12-22 2007-07-05 Ngk Insulators Ltd 静電チャック
KR100717694B1 (ko) 2005-12-29 2007-05-11 코리아세미텍 주식회사 분리층을 갖는 정전척
JP4727434B2 (ja) 2006-01-18 2011-07-20 住友大阪セメント株式会社 静電チャック装置
US7646581B2 (en) 2006-01-31 2010-01-12 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck
US20070195482A1 (en) 2006-02-23 2007-08-23 Varian Semiconductor Equipment Associates, Inc. Johnsen-Rahbek electrostatic chuck driven with AC voltage
JP4657949B2 (ja) 2006-03-01 2011-03-23 株式会社日立ハイテクノロジーズ エッチング処理装置および自己バイアス電圧測定方法ならびにエッチング処理装置の監視方法
JP4827569B2 (ja) * 2006-03-23 2011-11-30 大日本スクリーン製造株式会社 基板支持構造とこれを用いた熱処理装置と基板支持構造に用いられるシート状物と基板支持構造の製造方法
US20070283891A1 (en) 2006-03-29 2007-12-13 Nobuyuki Okayama Table for supporting substrate, and vacuum-processing equipment
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP4381393B2 (ja) 2006-04-28 2009-12-09 信越化学工業株式会社 静電チャック
KR100842739B1 (ko) 2006-05-02 2008-07-01 주식회사 하이닉스반도체 고밀도 플라즈마 증착 장치의 정전척
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
US20080041312A1 (en) 2006-08-10 2008-02-21 Shoichiro Matsuyama Stage for plasma processing apparatus, and plasma processing apparatus
US20080062609A1 (en) 2006-08-10 2008-03-13 Shinji Himori Electrostatic chuck device
US7619870B2 (en) 2006-08-10 2009-11-17 Tokyo Electron Limited Electrostatic chuck
US20080073032A1 (en) 2006-08-10 2008-03-27 Akira Koshiishi Stage for plasma processing apparatus, and plasma processing apparatus
US20080062610A1 (en) 2006-08-10 2008-03-13 Shinji Himori Electrostatic chuck device
US8284538B2 (en) 2006-08-10 2012-10-09 Tokyo Electron Limited Electrostatic chuck device
JP2008091353A (ja) * 2006-09-07 2008-04-17 Ngk Insulators Ltd 静電チャック
US7901509B2 (en) * 2006-09-19 2011-03-08 Momentive Performance Materials Inc. Heating apparatus with enhanced thermal uniformity and method for making thereof
US20080083700A1 (en) 2006-10-10 2008-04-10 Lexmark International, Inc. Method and Apparatus for Maximizing Cooling for Wafer Processing
US7751172B2 (en) 2006-10-18 2010-07-06 Axcelis Technologies, Inc. Sliding wafer release gripper/wafer peeling gripper
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP2008160009A (ja) 2006-12-26 2008-07-10 Tomoegawa Paper Co Ltd 双極型静電チャック装置
US7715170B2 (en) 2007-03-26 2010-05-11 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with separated electrodes
WO2009013803A1 (ja) 2007-07-23 2009-01-29 Shin-Etsu Engineering Co., Ltd. 静電チャックの表面電位制御方法
US20090086401A1 (en) * 2007-09-28 2009-04-02 Intevac, Inc. Electrostatic chuck apparatus
US20090122458A1 (en) * 2007-11-14 2009-05-14 Varian Semiconductor Epuipment Associated, Inc. Embossed electrostatic chuck
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
SG10201402319QA (en) 2009-05-15 2014-07-30 Entegris Inc Electrostatic chuck with polymer protrusions
US8861170B2 (en) 2009-05-15 2014-10-14 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
JP5243367B2 (ja) 2009-08-20 2013-07-24 東海精機株式会社 スクリーン印刷機におけるバキュームベルト搬送方法とその搬送装置
CN105196094B (zh) * 2010-05-28 2018-01-26 恩特格林斯公司 高表面电阻率静电吸盘
US9692325B2 (en) 2010-09-08 2017-06-27 Entegris, Inc. High conductivity electrostatic chuck

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5413360A (en) * 1992-12-01 1995-05-09 Kyocera Corporation Electrostatic chuck
JP2001274228A (ja) * 2000-01-20 2001-10-05 Ngk Insulators Ltd 静電チャック
JP2007527625A (ja) * 2004-02-24 2007-09-27 アプライド マテリアルズ インコーポレイテッド 汚染物質削減基板移送およびサポートシステム
JP2008066707A (ja) * 2006-08-10 2008-03-21 Tokyo Electron Ltd 静電吸着電極、基板処理装置および静電吸着電極の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101355505B1 (ko) * 2011-11-21 2014-02-11 엘아이지에이디피 주식회사 정전척 및 정전척 제조방법
KR20130106916A (ko) * 2012-03-21 2013-10-01 주식회사 미코 정전척

Also Published As

Publication number Publication date
US9543187B2 (en) 2017-01-10
WO2009142710A1 (en) 2009-11-26
CN103236413A (zh) 2013-08-07
TWI475594B (zh) 2015-03-01
CN102067302B (zh) 2013-06-05
JP5492875B2 (ja) 2014-05-14
EP2286448B1 (en) 2018-11-28
CN102067302A (zh) 2011-05-18
US20090284894A1 (en) 2009-11-19
JP2011521470A (ja) 2011-07-21
TW200949897A (en) 2009-12-01
KR101673039B1 (ko) 2016-11-04
CN103236413B (zh) 2016-09-07
US20170098568A1 (en) 2017-04-06
EP2286448A1 (en) 2011-02-23
US10395963B2 (en) 2019-08-27
SG190668A1 (en) 2013-06-28

Similar Documents

Publication Publication Date Title
US10395963B2 (en) Electrostatic chuck
KR101680787B1 (ko) 중합체 돌기들을 가지는 정전 척
KR101731136B1 (ko) 표면저항이 높은 정전 척
JP5067530B2 (ja) Memsベースの接触伝導型静電チャックによる処理用基板のクランプ方法
JP2009530830A (ja) 基板を担持するための装置と方法
JP2003504871A (ja) 静電チャックおよびその製造方法
TW201523787A (zh) 用於較小晶圓及晶圓片之晶圓載具
US6839217B1 (en) Surface structure and method of making, and electrostatic wafer clamp incorporating surface structure
KR102127883B1 (ko) 포토패터닝-가능 연성 돌기 접촉면을 갖는 정전 척
JP2006066857A (ja) 双極型静電チャック
WO2001026141A2 (en) Surface structure and method of making, and electrostatic wafer clamp incorporating surface structure
JP2002329775A (ja) 静電チャック
TWI440123B (zh) 用於承載基材之裝置與方法
JP2004253403A (ja) 静電チャック装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20191001

Year of fee payment: 4