KR20030079909A - 임프린트 리소그래피를 위한 고분해능 오버레이 정렬 방법및 시스템 - Google Patents
임프린트 리소그래피를 위한 고분해능 오버레이 정렬 방법및 시스템 Download PDFInfo
- Publication number
- KR20030079909A KR20030079909A KR10-2003-7000632A KR20037000632A KR20030079909A KR 20030079909 A KR20030079909 A KR 20030079909A KR 20037000632 A KR20037000632 A KR 20037000632A KR 20030079909 A KR20030079909 A KR 20030079909A
- Authority
- KR
- South Korea
- Prior art keywords
- substrate
- template
- patterned template
- liquid
- patterned
- Prior art date
Links
Classifications
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B29—WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
- B29C—SHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
- B29C35/00—Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
- B29C35/02—Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
- B29C35/08—Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
- B29C35/0888—Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using transparant moulds
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B29—WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
- B29C—SHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
- B29C37/00—Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
- B29C37/005—Compensating volume or shape change during moulding, in general
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B29—WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
- B29C—SHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
- B29C37/00—Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
- B29C37/0053—Moulding articles characterised by the shape of the surface, e.g. ribs, high polish
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B29—WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
- B29C—SHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
- B29C43/00—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
- B29C43/003—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor characterised by the choice of material
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B29—WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
- B29C—SHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
- B29C43/00—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
- B29C43/02—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
- B29C43/021—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y40/00—Manufacture or treatment of nanostructures
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/0002—Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F9/00—Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B29—WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
- B29C—SHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
- B29C35/00—Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
- B29C35/02—Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
- B29C35/08—Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
- B29C35/0805—Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation
- B29C2035/0827—Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation using UV radiation
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B29—WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
- B29C—SHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
- B29C43/00—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
- B29C43/02—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
- B29C43/021—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
- B29C2043/023—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves
- B29C2043/025—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves forming a microstructure, i.e. fine patterning
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S101/00—Printing
- Y10S101/36—Means for registering or alignment of print plates on print press structure
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24802—Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Nanotechnology (AREA)
- General Physics & Mathematics (AREA)
- Mechanical Engineering (AREA)
- Health & Medical Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
- Thermal Sciences (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Oral & Maxillofacial Surgery (AREA)
- Toxicology (AREA)
- Mathematical Physics (AREA)
- Theoretical Computer Science (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Shaping Of Tube Ends By Bending Or Straightening (AREA)
- Moulds For Moulding Plastics Or The Like (AREA)
Abstract
Description
Claims (190)
- 경화광에 실질적으로 투명한 패턴화된 템플릿을 사용하여 기판상에 패턴을 형성하는 방법에 있어서, 상기 방법은경화광하에서 경화가능한 광 경화 액체를 기판의 일부분에 적용하는 단계;템플릿 정렬 마크를 포함하는 패턴화된 템플릿과 기판 정렬 마크를 포함하는 기판을 패턴화된 템플릿이 상기 기판상에 배치된 액체의 적어도 일부분에 접촉하도록 위치시키는 단계;상기 기판 정렬 마크에 대하여 템플릿 정렬 마크가 정렬되었는지를 판단하는 단계;상기 템플릿 정렬 마크와 상기 기판 정렬 마크가 실질적으로 정렬되도록 상기 기판에 대하여 상기 패턴화된 템플릿의 오버레이 배치를 조정하는 단계;적용된 액체가 실질적으로 패턴화된 템플릿과 기판사이의, 실질적으로 일정한 갭을 채우도록 상기 패턴화된 템플릿과 기판사이의 간격을 조정하는 단계;상기 액체에 상기 템플릿을 통하여 경화 광을 적용하는 단계; 및상기 경화된 액체으로부터 패턴화된 템플릿을 분리하는 단계;를 포함하고,상기 경화광의 적용은 실질적으로 액체를 경화하고, 상기 패턴화된 템플릿의 패턴은 경화된 액체에서 형성되는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 템플릿 정렬 마크는 상기 템플릿내로 에칭된 패턴을 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 패턴화된 템플릿의 제1 일부분은 상기 기판상에 배치된 액체와 접촉하고, 상기 패턴화된 템플릿의 제2 일부분은 상기 액체와 접촉하지 않고, 상기 템플릿 정렬 마크는 패턴화된 템플릿의 제2 일부분에 배치되어 있는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 패턴화된 템플릿의 제1 일부분은 상기 기판상에 배치된 액체와 접촉하고, 상기 패턴화된 템플릿이 제2 일부분은 상기 액체와 접촉하지 않고, 상기 템플릿 정렬 마크는 패턴화된 템플릿의 제2 일부분에 배치되어 있고, 상기 패턴화된 템플릿의 제2 일부분이 상기 액체와 접촉하도록 오버레이 배치를 조정한 후에 상기 기판과 상기 패턴화된 템플릿을 재위치시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 정렬을 판단하는 단계는,분석 툴에 대하여 상기 템플릿 정렬 마크는 초점을 벗어나고 상기 기판 정렬 마크는 초점이 되도록 하는 제1 파장의 광을 상기 패턴화된 템플릿에 인가하는 단계; 및분석 툴에 대하여 상기 기판 정렬 마크는 초점을 벗어나고 상기 템플릿 정렬 마크는 초점이 되도록 하는 제2 파장의 광을 상기 패턴화된 템플릿에 인가하는 단계;를 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 정렬을 판단하는 단계는 분극광 정렬 툴을 사용하는 단계를 포함하고, 상기 분극광 정렬 툴과 상기 패턴화된 템플릿사이에 분극 필터 시스템을 배치하는 단계를 더 포함하고, 상기 분극 필터 시스템은 상기 기판 정렬 마크위로 실질적으로 방향설정된 제1 분극 필터와 상기 템플릿 정렬 마크위로 실질적으로 방향설정된 제2 분극 필터를 포함하고, 제1 분극 필터를 통과할 수 있는 분극 광은 제2 분극 필터를 통과할 수 있는 분극 광과는 실질적으로 다른 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 정렬을 판단하는 단계는 모이레(moire) 패턴 감지기를 이용하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 템플릿 정렬 마크와 상기 기판 정렬 마크는 대칭적인 기하학적 형태를 포함하고, 상기 정렬 마크의 정렬을 판단하는 단계는 상기 기판과 템플릿의 정렬 마크의 중심을 판단하고, 상기 기판 정렬 마크의 중심의 위치와 상기 템플릿의 중심 위치를 비교하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 템플릿 정렬 마크가 실질적으로 상기 기판 정렬 마크와 정렬되도록 상기 기판을 이동시키는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 기판에 대하여 상기 패턴화된 템플릿의 각도를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 패턴화된 템플릿의 크기를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 패턴화된 템플릿의 온도를 변경하는 것에 의해 상기 패턴화된 템플릿의 크기를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 패턴화된 템플릿의 적어도 일부분에 압축력을 적용하는 것에 의해 상기 패턴화된 템플릿의 크기를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 패턴화된 템플릿의 적어도 일부분에 연장력을 적용하는 것에 의해 상기 패턴화된 템플릿의 크기를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 패턴화된 템플릿의크기를 변경하는 단계를 포함하고, 상기 패턴화된 템플릿의 크기은 상기 패턴화된 템플릿에 결합된 적어도 하나의 압전 액츄에이터로부터의 힘의 적용에 의해 변경되는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 정렬을 판단하는 단계는 상기 패턴화된 템플릿에 분석 광을 적용하는 단계를 포함하고, 상기 패턴화된 템플릿은 제1 물질로 구성되고, 상기 정렬 마크는 상기 패턴화된 템플릿상에 상기 제1 물질과 다른 제2물질를 증착함으로써 형성되고, 상기 제1 및 제2 물질은 실질적으로 액체를 경화하는데 사용되는 활성광의 파장에 실질적으로 투명하고, 상기 제2 물질은 분석광이 상기 패턴화된 템플릿에 적용될 때 실질적인 대조가 되는 분석가능한 마크를 제공하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 정렬을 판단하는 단계는 상기 패턴화된 템플릿에 분석광을 적용하는 단계를 포함하고, 상기 템플릿 정렬 마크는 분석광으로의 회절 격자로 작동하는 복수의 에칭된 라인을 포함하고, 실질적으로 활성 광에 투명한 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 기판의 일부분에 상기 액체를 적용하는 단계는 유체 디스펜서로 액체를 분배하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 기판의 일부분에 상기 액체를 적용하는 단계는 유체 디스펜서로 액체를 분배하는 단계를 포함하고, 액체가 미리 설정된 패턴을 생성하기 위해 분배되는 동안 유체 디스펜서에 대하여 상기 기판을 이동시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 기판의 일부분에 상기 액체를 적용하는 단계는 유체 디스펜서로 액체를 분배하는 단계를 포함하고, 액체가 미리 설정된 패턴을 생성하기 위해 분배되는 동안 유체 디스펜서에 대하여 상기 기판을 이동시키는 단계를 더 포함하고, 상기 미리 설정된 패턴은 상기 패턴화된 템플릿 및 기판이 간격을 두고 방향설정됨에 따라 상기 패턴화된 템플릿이 액체에 접촉할 때 공기 거품의 형성을 억제하도록 구성되는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 기판의 일부분에 상기 액체를 적용하는 단계는 유체 디스펜서로 액체를 분배하는 단계를 포함하고, 액체가 미리 설정된 패턴을 생성하기 위해 분배되는 동안 유체 디스펜서에 대하여 상기 기판을 이동시키는 단계를 더 포함하고, 상기 미리 설정된 패턴은 상기 액체가 상기 패턴화된 템플릿의 표면 영역에 실질적으로 대응한 영역에서 갭을 채우도록 선택된 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 서로 간격을 두고 상기 패턴화된 템플릿 및 기판을 방향설정시키는 단계는,기판상에 상기 패턴화된 템플릿을 위치시키는 단계; 및일정 간격이 형성될 때까지 상기 패턴화된 템플릿을 상기 기판으로 이동시키는 단계;를 포함하고, 상기 기판상의 액체가 상기 패턴화된 템플릿이 상기 기판으로 움직임에 따라 갭을 채우는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 서로 간격을 두고 상기 패턴화된 템플릿 및 기판을 방향설정시키는 단계는 기판으로부터 200nm 보다 작은 거리에 상기 패턴화된 템플릿을 위치시키는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 서로 간격을 두고 상기 패턴화된 템플릿 및 기판을 방향설정시키는 단계는 상기 기판의 방향설정방향에 실질적으로 평행하게 상기 패턴화된 템플릿을 위치시키는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 경화된 액체으로부터 상기 패턴화된 템플릿을 분리하는 단계는,비 평행 방향설정으로 이동시키는 단계; 및상기 기판으로부터 떨어져 상기 패턴화된 템플릿을 이동시키는 단계;를 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 패턴화된 템플릿은 크기에서 250nm보다 작은 적어도 몇 개의 특징부를 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 경화된 액체는 상기 패턴화된 템플릿이 상기 경화된 액체으로부터 분리된 후에 크기에서 250nm보다 작은 적어도 몇 개의 특징부를 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 서로 간격을 두고 상기 패턴화된 템플릿 및 기판을 방향설정시키는 단계는,상기 기판에 실질적으로 비평행한 상기 패턴화된 템플릿을 상기 기판으로 위치시키는 단계;상기 템플릿이 상기 기판으로 이동함에 따라, 상기 기판에 대하여 실질적으로 비평행 방향설정으로 남아있는 상기 패턴화된 템플릿을 상기 기판으로 이동시키는 단계; 및상기 기판과 간격을 두고 있는 상기 패턴화된 템플릿을 상기 기판에 대해 실질적으로 평행한 방향으로 방향설정시키는 단계;를 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 기판은 실리콘, 갤륨, 게르마늄, 카본, 또는 인듐을 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 기판은 유전체 물질을 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 기판은 수정, 사파이어, 실리콘 디옥사이드, 또는 폴리실리콘을 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 패턴화된 템플릿은 수정을 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 패턴화된 템플릿은 인듐 주석 산화물을 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 액체는 자외선 경화 화합물을 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서, 상기 액체 화합물은 포토레지스트 물질을 포함하는 것을 특징으로 하는 방법.
- 제 1 항에 있어서,상기 기판에 상기 액체를 적용하기 전에 상기 기판상에 전사층을 형성하는 단계;상기 기판으로부터 상기 패턴화된 템플릿을 분리한 후에 상기 전사층을 에칭하는 단계;를 포함하고, 상기 전사층을 에칭하는 단계는 상기 패턴을 상기 전사층에 전하는 것을 특징으로 하는 방법.
- 제 1 항의 방법으로 제조된 장치.
- 경화 광에 실질적으로 투명한 패턴화된 템플릿을 사용하여 기판상에 패턴을 형성하는 방법에 있어서, 상기 방법은,경화 광하에서 경화가능한 광 경화 액체를 기판의 일부분에 적용하는 단계;상기 적용된 액체가 상기 패턴화된 템플릿과 상기 기판사이의 갭을 실질적으로 채우도록 상기 패턴화된 템플릿 및 상기 기판을 위치시키는 단계;상기 기판 정렬 마크에 대하여 템플릿 정렬 마크가 정렬되었는지를 판단하는 단계;상기 템플릿 정렬 마크와 상기 기판 정렬 마크가 실질적으로 정렬되도록 상기 기판에 대하여 상기 패턴화된 템플릿의 오버레이 배치를 조정하는 단계;상기 액체에 상기 템플릿을 통하여 경화 광을 적용하는 단계; 및상기 경화된 액체으로부터 패턴화된 템플릿을 분리하는 단계;를 포함하고 있으며, 상기 활성광의 적용은 실질적으로 액체를 경화하고, 상기 패턴화된 템플릿의 패턴은 경화된 액체에서 형성되는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 템플릿 정렬 마크는 상기 템플릿내로 에칭된 패턴을 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 정렬을 판단하는 단계는,분석 툴에 대하여 상기 템플릿 정렬 마크는 초점을 벗어나고 상기 기판 정렬 마크는 초점이 되도록 하는 제1 파장의 광을 패턴화된 템플릿에 적용하는 단계; 및분석 툴에 대하여 상기 기판 정렬 마크는 초점을 벗어나고 상기 템플릿 정렬 마크는 초점이 되도록 하는 제2 파장의 광을 패턴화된 템플릿에 적용하는 단계;를 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 정렬을 판단하는 단계는 분극광 정렬 툴을 사용하는 단계를 포함하고, 상기 분극광 정렬 툴과 상기 패턴화된 템플릿사이에 분극 필터 시스템을 배치하는 단계를 더 포함하고, 상기 분극 필터 시스템은 상기 기판 정렬 마크로 실질적으로 방향설정된 제1 분극 필터와 상기 템플릿 정렬 마크로 실질적으로 방향설정된 제2 분극 필터를 포함하고, 제1 분극 필터를 통과할 수 있는 분극 광은 제2 분극 필터를 통과할 수 있는 분극 광과는 실질적으로 다른 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 정렬을 판단하는 단계는 모이레 패턴 감지기를 사용하는 것을 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 템플릿 정렬 마크가 실질적으로 상기 기판 정렬 마크와 정렬되도록 상기 기판을 이동시키는 단계를포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 기판에 대하여 상기 패턴화된 템플릿의 각도를 변경하는 것을 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 패턴화된 템플릿의 크기를 변경하는 것을 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 패턴화된 템플릿의 온도를 변경하는 것에 의해 상기 패턴화된 템플릿의 크기를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 오버레이 배치를 조정하는 단계는 상기 패턴화된 템플릿의 적어도 일부분에 압축력을 적용하는 것에 의해 상기 패턴화된 템플릿의 크기를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 템플릿 정렬 마크 및 상기 기판 정렬 마크는 대칭적인 형태를 포함하고, 상기 정렬 마크의 정렬을 판단하는 단계는 상기 기판 및 템플릿의 정렬 마크의 중심을 판단하고, 상기 기판의 정렬 마크의 중심 위치와 상기 템플릿의 정렬 마크의 중심 위치를 비교하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 패턴화된 템플릿의 적어도 일부분에 연장력을 적용하는 것에 의해 상기 패턴화된 템플릿의 크기를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 패턴화된 템플릿의 크기를 변경하는 단계를 포함하고, 상기 패턴화된 템플릿의 크기는 상기 패턴화된 템플릿에 결합된 적어도 하나의 압전 액츄에이터로부터의 힘의 적용에 의해 변경되는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 정렬을 판단하는 단계는 상기 패턴화된 템플릿에 분석 광을 적용하는 단계를 포함하고, 상기 패턴화된 템플릿은 제1 물질로 구성되고, 상기 정렬 마크는 상기 패턴화된 템플릿상에 상기 제1 물질과 다른 제2물질를 증착함으로써 형성되고, 상기 제1 및 제2 물질은 실질적으로 액체를 경화하는데 사용되는 활성광의 파장에 실질적으로 투명하고, 상기 제2 물질은 분석광이 상기 패턴화된 템플릿에 적용될 때 실질적인 대조가 되는 분석가능한 마크를 제공하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 정렬을 판단하는 단계는 상기 패턴화된 템플릿에 분석광을 적용하는 단계를 포함하고, 상기 템플릿 정렬 마크는 분석광으로의 회절 격자로작동하는 복수의 에칭된 라인을 포함하고, 실질적으로 활성 광에 투명한 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 기판의 일부분에 상기 액체를 적용하는 단계는 유체 디스펜서로 액체를 분배하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 기판의 일부분에 상기 액체를 적용하는 단계는 유체 디스펜서로 액체를 분배하는 단계를 포함하고, 액체가 미리 설정된 패턴을 생성하기 위해 분배되는 동안 유체 디스펜서에 대하여 상기 기판을 이동시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 기판의 일부분에 상기 액체를 적용하는 단계는 유체 디스펜서로 액체를 분배하는 단계를 포함하고, 액체가 미리 설정된 패턴을 생성하기 위해 분배되는 동안 유체 디스펜서에 대하여 상기 기판을 이동시키는 단계를 더 포함하고, 상기 미리 설정된 패턴은 상기 패턴화된 템플레이터 및 기판이 간격을 두고 방향설정됨에 따라 상기 패턴화된 템플레이터가 액체에 접촉할 때 공기 거품의 형성을 억제하도록 구성되는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 기판의 일부분에 상기 액체를 적용하는 단계는 유체 디스펜서로 액체를 분배하는 단계를 포함하고, 액체가 미리 설정된 패턴을 생성하기 위해 분배되는 동안 유체 디스펜서에 대하여 상기 기판을 이동시키는 단계를 더 포함하고, 상기 미리 설정된 패턴은 상기 액체가 상기 패턴화된 템플릿의 표면 영역에 실질적으로 대응한 영역에서 갭을 채우도록 선택된 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 서로 간격을 두고 상기 패턴화된 템플릿 및 기판을 위치시키는 단계는,기판상에 상기 패턴화된 템플릿을 위치시키는 단계; 및일정 간격이 형성될 때까지 상기 패턴화된 템플릿을 상기 기판으로 이동시키는 단계;를 포함하고, 상기 기판상의 액체가 상기 패턴화된 템플릿이 상기 기판으로 움직임에 따라 갭을 채우는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 서로 간격을 두고 상기 패턴화된 템플릿 및 기판을 위치시키는 단계는 기판으로부터 200nm 보다 작은 거리에 상기 패턴화된 템플릿을 위치시키는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 서로 간격을 두고 상기 패턴화된 템플릿 및 기판을 위치시키는 단계는 상기 기판의 방향설정방향에 실질적으로 평행하게 상기 패턴화된 템플릿을 위치시키는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 경화된 액체으로부터 상기 패턴화된 템플릿을 분리하는단계는,비 평행 방향설정으로 이동시키는 단계; 및상기 기판으로부터 떨어져 상기 패턴화된 템플릿을 이동시키는 단계;를 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 패턴화된 템플릿은 크기에서 250nm보다 작은 적어도 몇 개의 특징부를 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 경화된 액체는 상기 패턴화된 템플릿이 상기 경화된 액체으로부터 분리된 후에 크기에서 250nm보다 작은 적어도 몇 개의 특징부를 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 서로서로 간격을 두고 상기 패턴화된 템플릿 및 기판을 방향설정시키는 단계는,상기 기판에 실질적으로 비평행한 상기 패턴화된 템플릿을 상기 기판으로 위치시키는 단계;상기 템플릿이 상기 기판으로 이동함에 따라, 상기 기판에 대하여 실질적으로 비평행 방향설정으로 남아있는 상기 패턴화된 템플릿을 상기 기판으로 이동시키는 단계; 및상기 기판과 간격을 두고 있는 상기 패턴화된 템플릿을 상기 기판에 대해 실질적으로 평행한 방향으로 방향설정시키는 단계;를 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 기판은 실리콘, 갤륨, 게르마늄, 카본, 또는 인듐을 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 기판은 유전체 물질을 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 기판은 수정, 사파이어, 실리콘 디옥사이드, 또는 폴리실리콘을 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 패턴화된 템플릿은 수정을 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 패턴화된 템플릿은 인듐 주석 산화물을 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 액체는 자외선 경화 화합물을 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서, 상기 액체 화합물은 포토레지스트 물질을 포함하는 것을 특징으로 하는 방법.
- 제 38 항에 있어서,상기 기판에 상기 액체를 적용하기 전에 상기 기판상에 전도층을 형성하는 단계;상기 기판으로부터 상기 패턴화된 템플릿을 분리한 후에 상기 전도층을 에칭하는 단계;를 포함하고, 상기 전도층을 에칭하는 단계는 상기 패턴을 상기 전도층에 전하는 것을 특징으로 하는 방법.
- 제 38 항의 방법으로 제조된 장치.
- 경화 광에 실질적으로 투명한 패턴화된 템플릿을 사용하여 기판상에 반복된 패턴을 형성하는 방법에 있어서, 상기 방법은경화 광하에서 경화가능한 광 경화 액을 기판의 일부분에 적용하는 단계;템플릿 정렬 마크를 포함하는 패턴화된 기판과 기판 정렬 마크를 포함하는 기판을 패턴화된 템플릿이 적어도 상기 기판상에 배치된 액체의 일부분에 접촉하도록 위치시키는 단계;상기 기판 정렬 마크에 대하여 템플릿 정렬 마크가 정렬되었는지를 판단하는 단계;상기 템플릿 정렬 마크와 상기 기판 정렬 마크가 실질적으로 정렬되도록 상기 기판에 대하여 상기 패턴화된 템플릿의 오버레이 배치를 조정하는 단계;상기 기판에 대하여 상기 패턴화된 오버레이 배치의 교정을 판단하는 단계;적용된 액체가 실질적으로 패턴화된 템플릿과 기판사이의, 실질적으로 일정한 갭을 채우도록 상기 패턴화된 템플릿과 기판사이의 간격을 조정하는 단계;상기 기판의 제1 일부분에 배치된 액체에 상기 템플릿을 통하여 경화 광을 적용하는 단계; 여기서, 상기 경화광의 적용은 실질적으로 액체를 경화하고, 패턴화된 템플릿의 패턴은 경화된 액체에서 형성되고,상기 패턴화된 경화된 액체가 상기 기판의 제1 일부분에 형성되도록 상기 기판의 제1 일부분상의 상기 경화된 액체으로부터 패턴화된 템플릿을 분리하는 단계;상기 기판의 제2 일부분에 상기 액체를 적용하는 단계;상기 기판의 제2 일부분에 상기 템플릿을 위치시키는 단계;수정 방향설정을 매치하기 위해 상기 기판의 제2 일부분에 대하여 상기 패턴화된 템플릿의 오버레이 배치를 재조정하는 단계;상기 적용된 액체가 상기 패턴화된 템플릿 및 상기 기판사이의 갭을 실질적으로 채우도록 상기 기판의 제2 일부분과 상기 패턴화된 템플릿사이의 간격을 조정하는 단계;상기 기판의 제2 일부분에 배치된 액체에 상기 템플릿을 통하여 경화 광을 적용하는 단계; 여기서 상기 경화광의 적용은 실질적으로 상기 기판의 제2 일부분의 액체를 경화하고, 패턴화된 템플릿의 패턴은 경화된 액체에서 형성되고,상기 패턴화된 경화된 액체가 상기 기판의 제2 일부분상에 형성되도록 상기 패턴화된 템플릿을 상기 패턴화된 경화 액체으로부터 분리하는 단계;를 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 템플릿 정렬 마크는 상기 템플릿내로 에칭된 패턴을 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 기판의 제2 일부분에 대하여 상기 패턴화된 템플릿의 오버레이 배치의 재조정 단계는 상기 제2 일부분상의 기판 정렬 마크에 대하여 템플릿 정렬 마크의 정렬을 판단하지 않고 수행되는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 템플릿이 상기 기판의 제2 일부분에 위치된 후에 상기 템플릿에 대하여 상기 기판의 X-Y 변위를 결정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 정렬을 판단하는 단계는,분석 툴에 대하여 상기 템플릿 정렬 마크는 초점을 벗어나고 상기 기판 정렬 마크는 초점이 되도록 하는 제1 파장의 광을 패턴화된 템플릿에 적용하는 단계; 및분석 툴에 대하여 상기 기판 정렬 마크는 초점을 벗어나고 상기 템플릿 정렬 마크는 초점이 되도록 하는 제2 파장의 광을 패턴화된 템플릿에 적용하는 단계;를 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 정렬을 판단하는 단계는 분극광 정렬 툴을 사용하는 단계를 포함하고, 상기 분극광 정렬 툴과 상기 패턴화된 템플릿사이의 분극 필터 시스템을 배치하는 단계를 더 포함하고, 상기 분극 필터 시스템은 상기 기판 정렬 마크로 실질적으로 방향설정된 제1 분극 필터와 상기 템플릿 정렬 마크로 실질적으로 방향설정된 제2 분극 필터를 포함하고, 제1 분극 필터를 통과할 수 있는 분극 광은 제2 분극 필터를 통과할 수 있는 분극 광과는 실질적으로 다른 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 정렬을 판단하는 단계는 모이레 패턴 감지기를 이용하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 템플릿 정렬 마크와 상기 기판 정렬 마크는 대칭적인 형태를 포함하고, 상기 정렬 마크의 정렬을 판단하는 단계는 상기 기판과 템플릿의 정렬 마크의 중심을 판단하고, 상기 기판 정렬 마크의 중심의 위치와 상기 템플릿의 중심 위치를 비교하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 템플릿 정렬 마크가 실질적으로 상기 기판 정렬 마크와 정렬되도록 상기 기판을 이동시키는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 기판에 대하여 상기 패턴화된 템플릿의 각도를 변경하는 것을 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 패턴화된 템플릿의 크기를 변경하는 것을 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 패턴화된 템플릿의 온도를 변경하는 것에 의해 상기 패턴화된 템플릿의 크기를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 패턴화된 템플릿의 적어도 일부분에 압축력을 적용하는 것에 의해 상기 패턴화된 템플릿의 크기를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 패턴화된 템플릿의 적어도 일부분에 연장력을 적용하는 것에 의해 상기 패턴화된 템플릿의 크기를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 오버레이 배치를 조정하는 단계는 상기 패턴화된 템플릿의 크기를 변경하는 단계를 포함하고, 상기 패턴화된 템플릿의 크기는 상기 패턴화된 템플릿에 결합된 적어도 하나의 압전 액츄에이터로부터의 힘의 적용에 의해 변경되는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 정렬을 판단하는 단계는 상기 패턴화된 템플릿에 분석 광을 적용하는 단계를 포함하고, 상기 패턴화된 템플릿은 제1 물질로 구성되고, 상기 정렬 마크는 상기 패턴화된 템플릿상에 상기 제1 물질과 다른 제2물질를 증착함으로써 형성되고, 상기 제1 및 제2 물질은 실질적으로 액체를 경화하는데 사용되는 활성광의 파장에 실질적으로 투명하고, 상기 제2 물질은 분석광이 상기 패턴화된 템플릿에 적용될 때 실질적인 대조가 되는 분석가능한 마크를 제공하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 정렬을 판단하는 단계는 상기 패턴화된 템플릿에 분석광을 적용하는 단계를 포함하고, 상기 템플릿 정렬 마크는 분석광으로의 회절 격자로 작동하는 복수의 에칭된 라인을 포함하고, 실질적으로 활성 광에 투명한 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 기판의 일부분에 상기 액체를 적용하는 단계는 유체 디스펜서로 액체를 분배하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 기판의 일부분에 상기 액체를 적용하는 단계는 유체 디스펜서로 액체를 분배하는 단계를 포함하고, 액체가 미리 설정된 패턴을 생성하기 위해 분배되는 동안 유체 디스펜서에 대하여 상기 기판을 이동시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 기판의 일부분에 상기 액체를 적용하는 단계는 유체 디스펜서로 액체를 분배하는 단계를 포함하고, 액체가 미리 설정된 패턴을 생성하기 위해 분배되는 동안 유체 디스펜서에 대하여 상기 기판을 이동시키는 단계를 더 포함하고, 상기 미리 설정된 패턴은 상기 패턴화된 템플레이터 및 기판이 간격을 두고 방향설정됨에 따라 상기 패턴화된 템플레이터가 액체에 접촉할 때 공기 거품의 형성을 억제하도록 구성되는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 기판의 일부분에 상기 액체를 적용하는 단계는 유체 디스펜서로 액체를 분배하는 단계를 포함하고, 액체가 미리 설정된 패턴을 생성하기 위해 분배되는 동안 유체 디스펜서에 대하여 상기 기판을 이동시키는 단계를 더 포함하고, 상기 미리 설정된 패턴은 상기 액체가 상기 패턴화된 템플릿의 표면 영역에 실질적으로 대응한 영역에서 갭을 채우도록 선택된 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 서로서로 간격을 두고 상기 패턴화된 템플릿 및 기판을 방향설정시키는 단계는,기판상에 상기 패턴화된 템플릿을 위치시키는 단계; 및일정 간격이 형성될 때까지 상기 패턴화된 템플릿을 상기 기판으로 이동시키는 단계;를 포함하고, 상기 기판상의 액체가 상기 패턴화된 템플릿이 상기 기판으로 움직임에 따라 갭을 채우는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 서로서로 간격을 두고 상기 패턴화된 템플릿 및 기판을 방향설정시키는 단계는 기판으로부터 200nm 보다 작은 거리에 상기 패턴화된 템플릿을 위치시키는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 서로서로 간격을 두고 상기 패턴화된 템플릿 및 기판을 방향설정시키는 단계는 상기 기판의 방향설정방향에 실질적으로 평행하게 상기 패턴화된 템플릿을 위치시키는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 경화된 액체으로부터 상기 패턴화된 템플릿을 분리하는 단계는,비 평행 방향설정으로 이동시키는 단계; 및상기 기판으로부터 떨어져 상기 패턴화된 템플릿을 이동시키는 단계;를 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 패턴화된 템플릿은 크기에서 250nm보다 작은 적어도 몇 개의 특징부를 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 경화된 액체는 상기 패턴화된 템플릿이 상기 경화된 액체으로부터 분리된 후에 크기에서 250nm보다 작은 적어도 몇 개의 특징부를 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 서로서로 간격을 두고 상기 패턴화된 템플릿 및 기판을 방향설정시키는 단계는,상기 기판에 실질적으로 비평행한 상기 패턴화된 템플릿을 상기 기판으로 위치시키는 단계;상기 템플릿이 상기 기판으로 이동함에 따라, 상기 기판에 대하여 실질적으로 비평행 방향설정으로 남아있는 상기 패턴화된 템플릿을 상기 기판으로 이동시키는 단계; 및상기 기판과 간격을 두고 있는 상기 패턴화된 템플릿을 상기 기판에 대해 실질적으로 평향한 방향설정으로 방향설정시키는 단계;를 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 기판은 실리콘, 갤륨, 게르마늄, 카본, 또는 인듐을 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 기판은 유전체 물질을 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 기판은 수정, 사파이어, 실리콘 디옥사이드, 또는 폴리실리콘을 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 패턴화된 템플릿은 수정을 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 패턴화된 템플릿은 인듐 주석 산화물을 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 액체는 자외선 경화 화합물을 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서, 상기 액체 화합물은 포토레지스트 물질을 포함하는 것을 특징으로 하는 방법.
- 제 73 항에 있어서,상기 기판에 상기 액체를 적용하기 전에 상기 기판상에 전도층을 형성하는 단계;상기 기판으로부터 상기 패턴화된 템플릿을 분리한 후에 상기 전도층을 에칭하는단계;를 포함하고, 상기 전도층을 에칭하는 단계는 상기 패턴을 상기 전도층에 전하는 것을 특징으로 하는 방법.
- 제 73 항의 방법으로 제조된 복수의 장치.
- 패턴화된 템플릿을 이용하여 기판상의 패턴을 형성하는 시스템에 있어서, 상기 시스템은,상부 프레임;사용동안 제1 방향설정축에 대하여 피봇하도록 구성된 제1 휨 부재;사용동안 제2 방향설정축에 대하여 피봇하도록 구성된 제2 휨 부재; 및사용동안 패턴화된 템플릿을 유지하도록 구성되고, 제2 휨 부재에 결합된 지지부를 포함하는 방향설정 스테이지;상기 지지부에 배치된 패턴화된 템플릿;방향설정 스테이지 아래에 위치되고 상기 방향설정된 템플릿에 실질적으로 평행한 평면을 따라 상기 기판을 이동시키도록 구성되고, 상기 기판을 지지하도록 구성된 기판 스테이지; 및사용동안 템플릿로 광을 가이드하도록 구성된 조명 시스템 및상기 기판 스테이지상에 위치된 기판과 상기 템플레이터로부터 광의 전파를 감지하도록 구성되고, 상기 조명 시스템에 광학적으로 결합된 감지 시스템을 포함하는 상기 템플릿에 광학적으로 결합된 측정 디바이스;를 포함하고 있으며, 상기 제2 휨부재는 상기 지지부에 배치될 때, 상기 패턴화된 템플릿이 상기 제1 및 제2 방향설정축에 의해 교차되는 피봇 지점에 대하여 이동하는 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 상기 측정 디바이스는 광학 망원경을 포함하는 것을 특징으로 하는 시스템
- 제 110 항에 있어서, 상기 측정 디바이스는 광학 망원경을 포함하고, 상기 광학 망원경은 편광 필터를 포함하는 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 상기 측정 디바이스는 광학 망원경을 포함하고, 상기 광학 망원경은 편광원을 포함하는 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 상기 감지 디바이스는 전자 이미징 디바이스를 포함하는 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 상기 템플릿의 측면 에지는 반사 코딩을 포함하고, 상기 템플릿의 측면 에지에 광학적으로 결합된 레이저 간섭계를 더 포함하는 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 상기 템플릿의 측면 에지는 상기 템플릿에 결합된 미로를 포함하고, 상기 템플릿의 측면 에지에 광학적으로 결합된 레이저 간섭계를 더 포함하는 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 상기 템플릿의 측면 에지는 전도성 코딩을 포함하고, 상기 템플릿의 측면 에지에 전기적으로 결합된 용량 센서를 더 포함하는 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 상기 패턴화된 템플릿은 정렬 마크를 포함하고, 정렬 마크는 사용동안 기판의 정렬 마크에 맞추어지는 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 상기 제1 방향설정축은 상기 제2 방향설정 축에 대하여 실질적으로 직교하는 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 제1 휨 부재는 제1 및 제2 암을 포함하고, 상기 제1암은 제1 방향설정축에 대하여 제1 휨 부재의 피봇 이동을 제공하도록 구성된 제1 세트의 휨 조인트를 포함하고, 제2 암은 제1 방향설정축에 대하여 제1 휨 부재의 피봇 이동을 제공하도록 구성된 제2 세트의 휨 조인트를 포함하는 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 제2 휨 부재는 제3 및 제4 암을 포함하고, 상기 제3암은 제2 방향설정축에 대하여 제2 휨 부재의 피봇 이동을 제공하도록 구성된 제3 세트의 휨조인트를 포함하고, 제4 암은 제2 방향설정축에 대하여 제2 휨 부재의 피봇 이동을 제공하도록 구성된 제4 세트의 휨 조인트를 포함하는 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 제1 휨 부재는 제1 및 제2 암을 포함하고, 상기 제1암은 제1 방향설정축에 대하여 제1 휨 부재의 피봇 이동을 제공하도록 구성된 제1 세트의 휨 조인트를 포함하고, 제2 암은 제1 방향설정축에 대하여 제1 휨 부재의 피봇 이동을 제공하도록 구성된 제2 세트의 휨 조인트를 포함하고, 제2 휨 부재는 제3 및 제4 암을 포함하고, 상기 제3암은 제2 방향설정축에 대하여 제2 휨 부재의 피봇 이동을 제공하도록 구성된 제3 세트의 휨 조인트를 포함하고, 제4 암은 제2 방향설정축에 대하여 제2 휨 부재의 피봇 이동을 제공하도록 구성된 제4 세트의 휨 조인트를 포함하는 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 사용동안, 각각 제1 및 제2 방향설정축에 대하여 제1 및 제2 휨 부재의 피봇을 가능하게 하도록 구성된, 제1 및 제2 휨 부재에 결합된 액츄에이터를 더 포함하는 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 사용동안, 각각 제1 및 제2 방향설정축에 대하여 제1 및 제2 휨 부재의 피봇을 가능하게 하도록 구성된, 제1 및 제2 휨 부재에 결합된 액츄에이터를 더 포함하고, 상기 액츄에이터는 압전 액츄에이터인 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 상기 제1 휨 부재는 제1 개구를 포함하고, 제2 휨 부재는 제2 개구를 포함하고, 지지부는 제3 개구를 포함하고, 상기 제1, 2, 및 3 개구의 각각은 사용동안 상기 템플릿으로 활성 광이 가이드되도록 구성되고, 상기 제1, 2, 및 3 개구는 상기 제1 휨 부재가 상기 제2 휨 부재에 결합될 때 실질적으로 정렬되는 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 상기 방향설정 스테이지와 상부 프레임에 결합된 선행 교정 스테이지를 더 포함하고, 상기 선행 교정 스테이지는 사용동안 상기 기판으로부터 떨어져 향하도록 방향설정 스테이지를 이동시키도록 구성된 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 상기 방향설정 스테이지와 상부 프레임에 결합된 선행 교정 스테이지를 더 포함하고, 상기 선행 교정 스테이지는 사용동안 상기 기판으로부터 떨어져 향하도록 방향설정 스테이지를 이동시키도록 구성되고, 상기 선행 교정은 상기 방향설정 스테이지에 결합된 하나이상의 액츄에이터를 포함하고, 상기 액츄에이터는 상기 기판으로부터 떨어져 향하도록 방향설정 스테이지를 이동시키도록 구성된 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 상기 방향설정 스테이지와 상부 프레임에 결합된 선행 교정스테이즐 더 포함하고, 상기 선행 교정 스테이지는 제1 및 제2지지 부재 및 상기 제2 지지부재와 상부 프레임에 결합된 적어도 하나의 액츄에이터를 포함하고, 상기 엑츄에이터는 제1 지지부재를 통하여 뻗어있고, 상기 지지부재는 상기 상부 프레임에 결합되고, 상기 제2 지지부재는 상기 제1 지지부재 및 방향설정 스테이지에 결합되고, 상기 액츄에이터는 상기 기판으로부터 떨어져 향하도록 방향설정 스테이지를 이동시키도록 구성되고, 상기 제2 지지부재와 상기 상부 프레임에 결합된 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 상기 기판 스테이지는 청크 몸체 및 청크 몸체에 결합된 진공 흐름 시스템을 포함하는 진공 청크를 포함하고, 진공 흐름 시스템은 사용동안 청크 몸체의 표면에 흡입력을 적용하도록 구성된 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 상부 프레임에 결합된 유체 디스펜서를 더 포함하는 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 상부 프레임에 결합된 복수의 유체 디스펜서를 더 포함하는 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 상기 패턴화된 템플릿은 수정을 포함하는 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 상기 패턴화된 템플릿은 인듐 주석 산화물을 포함하는 것을 특징으로 하는 시스템.
- 제 110 항에 있어서, 상기 패턴화된 템플릿은 Si2O3를 포함하는 것을 특징으로 하는 시스템.
- 경화 광에 실질적으로 투명한 패턴화된 템플릿을 사용하여 기판상에 패턴을 형성하는 방법에 있어서, 상기 방법은,경화 광하에서 경화가능한 광 경화 액을 기판의 일부분에 적용하는 단계;적용된 액체가 실질적으로 패턴화된 템플릿과 기판사이의, 실질적으로 일정한 갭을 채우도록 템플릿 정렬 마크와 기판 임프린트 마크를 포함하는 상기 패턴화된 템플릿과 기판 정렬 마크를 포함하는 기판사이의 간격을 조정하는 단계;상기 액체에 상기 템플릿을 통하여 경화 광을 적용하는 단계; 및상기 경화된 액체으로부터 패턴화된 템플릿을 분리하는 단계;를 포함하고 있으며, 상기 경화광의 적용은 실질적으로 액체를 경화하고, 상기 새로운 기판 정렬 마크는 상기 기판 임프린트 마크에 실질적으로 정렬된 위치에 경화된 액체에 형성되는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 템플릿 정렬 마크는 상기 템플릿내로 에칭된 패턴을 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 정렬을 판단하는 단계는,분석 툴에 대하여 상기 템플릿 정렬 마크는 초점을 벗어나고 상기 기판 정렬 마크는 초점이 되도록 하는 제1 파장의 광을 패턴화된 템플릿에 적용하는 단계; 및분석 툴에 대하여 상기 기판 정렬 마크는 초점을 벗어나고 상기 템플릿 정렬 마크는 초점이 되도록 하는 제2 파장의 광을 패턴화된 템플릿에 적용하는 단계;를 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 정렬을 판단하는 단계는 분극광 정렬 툴을 사용하는 단계를 포함하고, 상기 분극광 정렬 툴과 상기 패턴화된 템플릿사이의 분극 필터 시스템을 배치하는 단계를 더 포함하고, 상기 분극 필터 시스템은 상기 기판 정렬 마크로 실질적으로 방향설정된 제1 분극 필터와 상기 템플릿 정렬 마크로 실질적으로 방향설정된 제2 분극 필터를 포함하고, 제1 분극 필터를 통과할 수 있는 분극 광은 제2 분극 필터를 통과할 수 있는 분극 광과는 실질적으로 다른 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 정렬을 판단하는 단계는 모이레 패턴 감지기를 이용하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 방향설정을 조정하는 단계는 상기 템플릿 정렬 마크가 실질적으로 상기 기판 정렬 마크와 정렬되도록 상기 기판을 이동시키는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 방향설정을 조정하는 단계는 상기 기판에 대하여 상기 패턴화된 템플릿의 각도를 변경하는 것을 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 방향설정을 조정하는 단계는 상기 패턴화된 템플릿의 크기를 변경하는 것을 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 방향설정을 조정하는 단계는 상기 패턴화된 템플릿의 온도를 변경하는 것에 의해 상기 패턴화된 템플릿의 크기를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 방향설정을 조정하는 단계는 상기 패턴화된 템플릿의 적어도 일부분에 압축력을 적용하는 것에 의해 상기 패턴화된 템플릿의 크기를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 방향설정을 조정하는 단계는 상기 패턴화된 템플릿의 적어도일부분에 연장력을 적용하는 것에 의해 상기 패턴화된 템플릿의 크기를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 방향설정을 조정하는 단계는 상기 패턴화된 템플릿의 크기를 변경하는 단계를 포함하고, 상기 패턴화된 템플릿의 크기는 상기 패턴화된 템플릿에 결합된 적어도 하나의 압전 액츄에이터f부터의 힘의 적용에 의해 변경되는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 정렬을 판단하는 단계는 상기 패턴화된 템플릿에 분석 광을 적용하는 단계를 포함하고, 상기 패턴화된 템플릿은 제1 물질로 구성되고, 상기 정렬 마크는 상기 패턴화된 템플릿상에 상기 제1 물질과 다른 제2물질를 증착함으로써 형성되고, 상기 제1 및 제2 물질은 실질적으로 액체를 경화하는데 사용되는 활성광의 파장에 실질적으로 투명하고, 상기 제2 물질은 분석광이 상기 패턴화된 템플릿에 적용될 때 실질적인 대조가 되는 분석가능한 마크를 제공하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 정렬을 판단하는 단계는 상기 패턴화된 템플릿에 분석광을 적용하는 단계를 포함하고, 상기 템플릿 정렬 마크는 분석광으로의 회절 격자로 작동하는 복수의 에칭된 라인을 포함하고, 실질적으로 활성 광에 투명한 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 기판의 일부분에 상기 액체를 적용하는 단계는 유체 디스펜서로 액체를 분배하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 기판의 일부분에 상기 액체를 적용하는 단계는 유체 디스펜서로 액체를 분배하는 단계를 포함하고, 액체가 미리 설정된 패턴을 생성하기 위해 분배되는 동안 유체 디스펜서에 대하여 상기 기판을 이동시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 기판의 일부분에 상기 액체를 적용하는 단계는 유체 디스펜서로 액체를 분배하는 단계를 포함하고, 액체가 미리 설정된 패턴을 생성하기 위해 분배되는 동안 유체 디스펜서에 대하여 상기 기판을 이동시키는 단계를 더 포함하고, 상기 미리 설정된 패턴은 상기 패턴화된 템플레이터 및 기판이 간격을 두고 방향설정됨에 따라 상기 패턴화된 템플레이터가 액체에 접촉할 때 공기 거품의 형성을 억제하도록 구성되는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 기판의 일부분에 상기 액체를 적용하는 단계는 유체 디스펜서로 액체를 분배하는 단계를 포함하고, 액체가 미리 설정된 패턴을 생성하기 위해 분배되는 동안 유체 디스펜서에 대하여 상기 기판을 이동시키는 단계를 더 포함하고, 상기 미리 설정된 패턴은 상기 액체가 상기 패턴화된 템플릿의 표면 영역에 실질적으로 대응한 영역에서 갭을 채우도록 선택된 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 서로서로 간격을 두고 상기 패턴화된 템플릿 및 기판을 방향설정시키는 단계는,기판상에 상기 패턴화된 템플릿을 위치시키는 단계; 및일정 간격이 형성될 때까지 상기 패턴화된 템플릿을 상기 기판으로 이동시키는 단계;를 포함하고, 상기 기판상의 액체가 상기 패턴화된 템플릿이 상기 기판으로 움직임에 따라 갭을 채우는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 서로서로 간격을 두고 상기 패턴화된 템플릿 및 기판을 방향설정시키는 단계는 기판으로부터 200nm 보다 작은 거리에 상기 패턴화된 템플릿을 위치시키는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 서로서로 간격을 두고 상기 패턴화된 템플릿 및 기판을 방향설정시키는 단계는 상기 기판의 방향설정방향에 실질적으로 평행하게 상기 패턴화된 템플릿을 위치시키는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 경화된 액체으로부터 상기 패턴화된 템플릿을 분리하는 단계는,비 평행 방향설정으로 이동시키는 단계; 및상기 기판으로부터 떨어져 상기 패턴화된 템플릿을 이동시키는 단계;를 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 패턴화된 템플릿은 크기에서 250nm보다 작은 적어도 몇 개의 특징부를 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 경화된 액체는 상기 패턴화된 템플릿이 상기 경화된 액체으로부터 분리된 후에 크기에서 250nm보다 작은 적어도 몇 개의 특징부를 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 서로서로 간격을 두고 상기 패턴화된 템플릿 및 기판을 방향설정시키는 단계는,상기 기판에 실질적으로 비평행한 상기 패턴화된 템플릿을 상기 기판으로 위치시키는 단계;상기 템플릿이 상기 기판으로 이동함에 따라, 상기 기판에 대하여 실질적으로 비평행 방향설정으로 남아있는 상기 패턴화된 템플릿을 상기 기판으로 이동시키는 단계; 및상기 기판과 간격을 두고 있는 상기 패턴화된 템플릿을 상기 기판에 대해 실질적으로 평향한 방향설정으로 방향설정시키는 단계;를 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 기판은 실리콘, 갤륨, 게르마늄, 카본, 또는 인듐을 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 기판은 유전체 물질을 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 기판은 수정, 사파이어, 실리콘 디옥사이드, 또는 폴리실리콘을 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 패턴화된 템플릿은 수정을 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 패턴화된 템플릿은 인듐 주석 산화물을 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 액체는 자외선 경화 화합물을 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서, 상기 액체 화합물은 포토레지스트 물질을 포함하는 것을 특징으로 하는 방법.
- 제 135 항에 있어서,상기 기판에 상기 액체를 적용하기 전에 상기 기판상에 전도층을 형성하는 단계;상기 기판으로부터 상기 패턴화된 템플릿을 분리한 후에 상기 전도층을 에칭하는 단계;를 포함하고, 상기 전도층을 에칭하는 단계는 상기 패턴을 상기 전도층에 전하는 것을 특징으로 하는 방법.
- 제 135 항의 방법으로 제조된 장치.
- 패턴화된 템플릿을 이용하여 기판상의 패턴을 형성하는 시스템에 있어서, 상기 시스템은,상부 프레임;사용동안 상기 패턴화된 템플릿을 유지하도록 구성된 지지부를 포함하고, 방향설정 구조에 배치될 때 상기 패턴화된 템플릿이 상기 패턴화된 템플릿의 표면에 피봇 지점에 대하여 이동시키도록 구성된 방향설정 구조, 및상기 방향설정 구조에 결합되고, 사용동안 상기 템플릿의 크기를 변경하도록 구성된 템플릿 조정 디바이스를 포함하는 방향설정 스테이지;상기 방향설정 구조에 배치된 패턴화된 템플릿; 및방향설정 스테이지 아래에 위치되고 상기 방향설정된 템플릿에 실질적으로 평행한평면을 따라 상기 기판을 이동시키도록 구성되고, 상기 기판을 지지하도록 구성된 기판 스테이지;를 포함하는 것을 특징으로 하는 시스템.
- 제 169 항에 있어서, 상기 템플릿 조정 디바이스는 사용동안 템플릿에 압축력을 적용하도록 구성된 복수의 액츄에이터를 포함하는 것을 특징으로 하는 시스템.
- 제 169 항에 있어서, 상기 템플릿 조정 디바이스는 사용동안 템플릿에 연장력을 적용하도록 구성된 복수의 액츄에이터를 포함하는 것을 특징으로 하는 시스템.
- 제 169 항에 있어서, 상기 템플릿 조정 디바이스는 사용동안 템플릿에 압축력 또는 연장력을 적용하도록 구성된 복수의 액츄에이터를 포함하는 것을 특징으로 하는 시스템.
- 제 169 항에 있어서, 상기 템플릿 조정 디바이스는 사용동안 상기 템플릿의 크기를 변화시키도록 하는 상기 기판의 온도를 변경하도록 구성된 것을 특징으로 하는 시스템.
- 제 169 항에 있어서, 상기 템플릿에 광학적으로 결합된 측정 디바이스를 더 포함하고, 상기 측정 디바이스는,사용동안 템플릿로 광을 가이드하도록 구성된 조명 시스템; 및상기 기판 스테이지상에 위치된 기판과 상기 템플레이터로부터 광의 전파를 감지하도록 구성되고, 상기 조명 시스템에 광학적으로 결합된 감지 시스템;을 포함하는 것을 특징으로 하는 시스템.
- 제 169 항에 있어서, 상기 템플릿의 측면 에지는 반사 코딩을 포함하고, 상기 템플릿의 측면 에지에 광학적으로 결합된 레이저 간섭계를 더 포함하는 것을 특징으로 하는 시스템.
- 제 169 항에 있어서, 상기 템플릿의 측면 에지는 전도성 코딩을 포함하고, 상기 템플릿의 측면 에지에 전기적으로 결합된 용량 센서를 더 포함하는 것을 특징으로 하는 시스템.
- 제 169 항에 있어서, 상기 패턴화된 템플릿은 정렬 마크를 포함하고, 정렬 마크는 사용동안 기판의 정렬 마크에 맞추어지는 것을 특징으로 하는 시스템.
- 제 169 항에 있어서, 상기 방향설정 스테이지는사용동안 제1 방향설정축에 대하여 피봇하도록 구성된 제1 휨 부재;사용동안 제2 방향설정축에 대하여 피봇하도록 구성된 제2 휨 부재;를 더 포함하고 상기 지지부는 제2 휨 부재에 결합되고, 상기 제2 휨 부재는 상기 지지부에 배치될 때, 상기 패턴화된 템플릿이 상기 제1 및 제2 방향설정축에 의해 교차되는 피봇 지점에 대하여 이동하도록 상기 제1 휨 부재에 결합되는 것을 특징으로 하는 시스템.
- 제 169 항에 있어서, 상기 방향설정 스테이지와 상부 프레임에 결합된 선행 교정 스테이즐 더 포함하고, 상기 선행 교정 스테이지는 사용동안 상기 기판으로부터 떨어져 향하도록 방향설정 스테이지를 이동시키도록 구성된 것을 특징으로 하는 시스템.
- 제 169 항에 있어서, 상기 방향설정 스테이지와 상부 프레임에 결합된 선행 교정 스테이지를 더 포함하고, 상기 선행 교정 스테이지는 사용동안 상기 기판으로부터 떨어져 향하도록 방향설정 스테이지를 이동시키도록 구성되고, 상기 선행 교정은 상기 방향설정 스테이지에 결합된 하나이상의 액츄에이터를 포함하고, 상기 액츄에이터는 상기 기판으로부터 떨어져 향하도록 방향설정 스테이지를 이동시키도록 구성된 것을 특징으로 하는 시스템.
- 제 169 항에 있어서, 상기 방향설정 스테이지와 상부 프레임에 결합된 선행 교정 스테이지를 더 포함하고, 상기 선행 교정 스테이지는 제1 및 제2지지 부재 및 상기 제2 지지부재와 상부 프레임에 결합된 적어도 하나의 액츄에이터를 포함하고, 상기 엑츄에이터는 제1 지지부재를 통하여 뻗어있고, 상기 지지부재는 상기 상부 프레임에 결합되고, 상기 제2 지지부재는 상기 제1 지지부재 및 방향설정 스테이지에 결합되고, 상기 액츄에이터는 상기 기판으로부터 떨어져 향하도록 방향설정 스테이지를 이동시키도록 구성되고, 상기 제2 지지부재와 상기 상부 프레임에 결합된 것을 특징으로 하는 시스템.
- 제 169 항에 있어서, 상기 기판 스테이지는 청크 몸체 및 청크 몸체에 결합된 진공 흐름 시스템을 포함하는 진공 청크를 포함하고, 진공 흐름 시스템은 사용동안 청크 몸체의 표면에 흡입력을 적용하도록 구성된 것을 특징으로 하는 시스템.
- 제 169 항에 있어서, 상부 프레임에 결합된 유체 디스펜서를 더 포함하는 것을 특징으로 하는 시스템.
- 제 169 항에 있어서, 상부 프레임에 결합된 복수의 유체 디스펜서를 더 포함하는 것을 특징으로 하는 시스템.
- 제 169 항에 있어서, 상기 패턴화된 템플릿은 수정을 포함하는 것을 특징으로 하는 시스템.
- 제 169 항에 있어서, 상기 패턴화된 템플릿은 인듐 주석 산화물을 포함하는 것을 특징으로 하는 시스템.
- 경화 광에 실질적으로 투명한 패턴화된 템플릿을 사용하여 기판상에 패턴을 형성하는 방법에 있어서, 상기 방법은,경화 광하에서 경화가능한 광 경화 액을 기판의 일부분에 적용하는 단계;패턴화된 템플릿과 기판을 패턴화된 템플릿이 적어도 상기 기판상에 배치된 액체의 일부분에 접촉하도록 위치시키는 단계;적용된 액체가 실질적으로 패턴화된 템플릿과 기판사이의, 실질적으로 일정한 갭을 채우도록 상기 패턴화된 템플릿과 기판사이의 간격을 조정하는 단계;상기 액체에 상기 템플릿을 통하여 경화 광을 적용하는 단계;를 포함하고 있으며, 상기 경화광의 적용은 실질적으로 액체를 경화하는 것을 특징으로 하는 방법.
- 패턴화된 템플릿을 이용하여 기판상의 패턴을 형성하는 시스템에 있어서, 상기 시스템은,상부 프레임;사용동안 상기 패턴화된 템플릿을 유지하도록 구성된 지지부를 포함하고, 방향설정 구조에 배치될 때 상기 패턴화된 템플릿이 상기 패턴화된 템플릿의 표면에 피봇 지점에 대하여 이동시키도록 구성된 방향설정 구조를 포함하는 방향설정 스테이지; 및방향설정 스테이지 아래에 위치되고 상기 방향설정된 템플릿에 실질적으로 평행한 평면을 따라 상기 기판을 이동시키도록 구성되고, 상기 기판을 지지하도록 구성된 기판 스테이지;를 포함하는 것을 특징으로 하는 시스템.
- 250nm 보다 작은 크기의 적어도 몇 개의 특징부를 포함하는 임프린트 광경화된 층을 포함하는 디바이스
- 제 189 항에 있어서, 임프린트 광경화된 층은 100nm보다 작은 크기의 적어도 몇 개의 특징부를 포함하는 것을 특징으로 하는 디바이스.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US21856800P | 2000-07-16 | 2000-07-16 | |
US60/218,568 | 2000-07-16 | ||
PCT/US2001/022317 WO2002008835A2 (en) | 2000-07-16 | 2001-07-16 | High-resolution overlay alignment methods and systems for imprint lithography |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20030079909A true KR20030079909A (ko) | 2003-10-10 |
KR100862301B1 KR100862301B1 (ko) | 2008-10-13 |
Family
ID=22815618
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020037000632A KR100862301B1 (ko) | 2000-07-16 | 2001-07-16 | 임프린트 리소그래피를 위한 고분해능 오버레이 정렬 방법 및 시스템 |
Country Status (8)
Country | Link |
---|---|
US (9) | US6921615B2 (ko) |
EP (4) | EP2264524A3 (ko) |
JP (4) | JP4511786B2 (ko) |
KR (1) | KR100862301B1 (ko) |
CN (1) | CN100504598C (ko) |
AU (1) | AU2001273491A1 (ko) |
SG (1) | SG142150A1 (ko) |
WO (1) | WO2002008835A2 (ko) |
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100790899B1 (ko) * | 2006-12-01 | 2008-01-03 | 삼성전자주식회사 | 얼라인 마크가 형성된 템플릿 및 그 제조 방법 |
KR100931603B1 (ko) * | 2007-05-10 | 2009-12-14 | 주식회사 에이디피엔지니어링 | 임프린트 공정 시스템 및 패턴형성방법 |
US8253941B2 (en) | 2006-05-09 | 2012-08-28 | Samsung Electronics Co., Ltd. | Apparatus for manufacturing display panel and method for manufacturing the same |
KR101340922B1 (ko) * | 2005-12-01 | 2013-12-13 | 몰레큘러 임프린츠 인코퍼레이티드 | 고화된 임프린팅 재료로부터 몰드를 분리하는 기술 |
KR101435250B1 (ko) * | 2011-02-07 | 2014-08-28 | 캐논 가부시끼가이샤 | 형, 임프린트 방법 및 물품의 제조 방법 |
KR20140110545A (ko) * | 2013-03-08 | 2014-09-17 | 삼성전자주식회사 | 오버레이 계측 방법 |
US9442370B2 (en) | 2013-05-27 | 2016-09-13 | Canon Kabushiki Kaisha | Imprinting method, imprinting apparatus, and device manufacturing method |
US9952504B2 (en) | 2013-06-28 | 2018-04-24 | Canon Kabushiki Kaisha | Imprint method, imprint apparatus, and method for manufacturing device |
US10001702B2 (en) | 2013-05-16 | 2018-06-19 | Canon Kabushiki Kaisha | Imprinting apparatus, device fabrication method, and imprinting method |
KR20180088527A (ko) * | 2010-12-17 | 2018-08-03 | 칼 짜이스 에스엠티 게엠베하 | 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 오류를 교정하기 위한 방법 및 장치 |
KR20200080099A (ko) * | 2018-12-26 | 2020-07-06 | 삼성전자주식회사 | 웨이퍼의 접합 방법, 반도체 장치의 제조 방법, 및 이를 위한 장치 |
Families Citing this family (290)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2001009927A1 (en) * | 1999-07-28 | 2001-02-08 | Infineon Technologies North America Corp. | Semiconductor structures and manufacturing methods |
US6873087B1 (en) * | 1999-10-29 | 2005-03-29 | Board Of Regents, The University Of Texas System | High precision orientation alignment and gap control stages for imprint lithography processes |
US7432634B2 (en) * | 2000-10-27 | 2008-10-07 | Board Of Regents, University Of Texas System | Remote center compliant flexure device |
KR100862301B1 (ko) * | 2000-07-16 | 2008-10-13 | 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 | 임프린트 리소그래피를 위한 고분해능 오버레이 정렬 방법 및 시스템 |
CN1262883C (zh) | 2000-07-17 | 2006-07-05 | 得克萨斯州大学系统董事会 | 影印用于平版印刷工艺中的自动化液体分配的方法和系统 |
JP2004505273A (ja) * | 2000-08-01 | 2004-02-19 | ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム | 転写リソグラフィのための透明テンプレートと基板の間のギャップおよび配向を高精度でセンシングするための方法 |
US20060005657A1 (en) * | 2004-06-01 | 2006-01-12 | Molecular Imprints, Inc. | Method and system to control movement of a body for nano-scale manufacturing |
CN100365507C (zh) | 2000-10-12 | 2008-01-30 | 德克萨斯州大学系统董事会 | 用于室温下低压微刻痕和毫微刻痕光刻的模板 |
US6632536B2 (en) * | 2000-12-28 | 2003-10-14 | International Business Machines Corporation | Self-assembled monolayer etch barrier for indium-tin-oxide useful in manufacturing thin film transistor-liquid crystal displays |
US20050064344A1 (en) * | 2003-09-18 | 2005-03-24 | University Of Texas System Board Of Regents | Imprint lithography templates having alignment marks |
ATE261350T1 (de) * | 2002-01-25 | 2004-03-15 | Leister Process Tech | Verfahren zum abformen von mikro- und nanostrukturen |
JP2003257828A (ja) * | 2002-03-01 | 2003-09-12 | Nec Electronics Corp | 半導体装置の製造方法 |
JP4411575B2 (ja) | 2002-04-25 | 2010-02-10 | セイコーエプソン株式会社 | 電子装置の製造装置 |
US6861365B2 (en) * | 2002-06-28 | 2005-03-01 | Hewlett-Packard Development Company, L.P. | Method and system for forming a semiconductor device |
US7179079B2 (en) * | 2002-07-08 | 2007-02-20 | Molecular Imprints, Inc. | Conforming template for patterning liquids disposed on substrates |
US20080160129A1 (en) * | 2006-05-11 | 2008-07-03 | Molecular Imprints, Inc. | Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template |
US7077992B2 (en) * | 2002-07-11 | 2006-07-18 | Molecular Imprints, Inc. | Step and repeat imprint lithography processes |
US7442336B2 (en) | 2003-08-21 | 2008-10-28 | Molecular Imprints, Inc. | Capillary imprinting technique |
US6900881B2 (en) * | 2002-07-11 | 2005-05-31 | Molecular Imprints, Inc. | Step and repeat imprint lithography systems |
US7019819B2 (en) | 2002-11-13 | 2006-03-28 | Molecular Imprints, Inc. | Chucking system for modulating shapes of substrates |
US7070405B2 (en) * | 2002-08-01 | 2006-07-04 | Molecular Imprints, Inc. | Alignment systems for imprint lithography |
WO2004013660A2 (en) * | 2002-08-01 | 2004-02-12 | Proterion Corporation | Optical membrane formation system and method |
US7027156B2 (en) * | 2002-08-01 | 2006-04-11 | Molecular Imprints, Inc. | Scatterometry alignment for imprint lithography |
EP1573395A4 (en) * | 2002-08-01 | 2010-09-29 | Molecular Imprints Inc | SPREADING MEASUREMENT DEVICE FOR THE PRINTING LITHOGRAPHY |
WO2004021083A1 (en) * | 2002-08-27 | 2004-03-11 | Obducat Ab | Device for transferring a pattern to an object |
ITMI20021961A1 (it) * | 2002-09-16 | 2004-03-17 | Consiglio Nazionale Ricerche | Procedimento per la fabbricazione ed il controllo mediante stampaggio su scale micro- e nanometriche di strutture e motivi di sostanze solubili e colloidali con riduzione delle dimensioni dei motivi dello stampo. |
US8349241B2 (en) | 2002-10-04 | 2013-01-08 | Molecular Imprints, Inc. | Method to arrange features on a substrate to replicate features having minimal dimensional variability |
GB0227902D0 (en) * | 2002-11-29 | 2003-01-08 | Ingenia Holdings Ltd | Template |
AU2003289237A1 (en) * | 2002-12-10 | 2004-06-30 | Nikon Corporation | Exposure apparatus and method for manufacturing device |
US7242455B2 (en) | 2002-12-10 | 2007-07-10 | Nikon Corporation | Exposure apparatus and method for producing device |
US7365103B2 (en) * | 2002-12-12 | 2008-04-29 | Board Of Regents, The University Of Texas System | Compositions for dark-field polymerization and method of using the same for imprint lithography processes |
US6871558B2 (en) * | 2002-12-12 | 2005-03-29 | Molecular Imprints, Inc. | Method for determining characteristics of substrate employing fluid geometries |
WO2004054784A1 (en) * | 2002-12-13 | 2004-07-01 | Molecular Imprints, Inc. | Magnification corrections employing out-of-plane distortions on a substrate |
US7452574B2 (en) * | 2003-02-27 | 2008-11-18 | Molecular Imprints, Inc. | Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer |
US20040168613A1 (en) * | 2003-02-27 | 2004-09-02 | Molecular Imprints, Inc. | Composition and method to form a release layer |
US7122079B2 (en) * | 2004-02-27 | 2006-10-17 | Molecular Imprints, Inc. | Composition for an etching mask comprising a silicon-containing material |
US20040209123A1 (en) * | 2003-04-17 | 2004-10-21 | Bajorek Christopher H. | Method of fabricating a discrete track recording disk using a bilayer resist for metal lift-off |
US6926921B2 (en) | 2003-05-05 | 2005-08-09 | Hewlett-Packard Development Company, L.P. | Imprint lithography for superconductor devices |
EP1622750B1 (en) * | 2003-05-14 | 2010-11-10 | Molecular Imprints, Inc. | Method, system, holder and assembly for transferring templates during imprint lithography processes |
US6805054B1 (en) * | 2003-05-14 | 2004-10-19 | Molecular Imprints, Inc. | Method, system and holder for transferring templates during imprint lithography processes |
US6951173B1 (en) | 2003-05-14 | 2005-10-04 | Molecular Imprints, Inc. | Assembly and method for transferring imprint lithography templates |
US20050160934A1 (en) * | 2004-01-23 | 2005-07-28 | Molecular Imprints, Inc. | Materials and methods for imprint lithography |
US7157036B2 (en) * | 2003-06-17 | 2007-01-02 | Molecular Imprints, Inc | Method to reduce adhesion between a conformable region and a pattern of a mold |
US7150622B2 (en) * | 2003-07-09 | 2006-12-19 | Molecular Imprints, Inc. | Systems for magnification and distortion correction for imprint lithography processes |
US7323358B1 (en) * | 2003-08-13 | 2008-01-29 | Hewlett-Packard Development Company, L.P. | Method and system for sizing a load plate |
DE10343323A1 (de) * | 2003-09-11 | 2005-04-07 | Carl Zeiss Smt Ag | Stempellithografieverfahren sowie Vorrichtung und Stempel für die Stempellithografie |
US7136150B2 (en) * | 2003-09-25 | 2006-11-14 | Molecular Imprints, Inc. | Imprint lithography template having opaque alignment marks |
US8211214B2 (en) | 2003-10-02 | 2012-07-03 | Molecular Imprints, Inc. | Single phase fluid imprint lithography method |
US7090716B2 (en) * | 2003-10-02 | 2006-08-15 | Molecular Imprints, Inc. | Single phase fluid imprint lithography method |
EP1526411A1 (en) * | 2003-10-24 | 2005-04-27 | Obducat AB | Apparatus and method for aligning surface |
US7122482B2 (en) | 2003-10-27 | 2006-10-17 | Molecular Imprints, Inc. | Methods for fabricating patterned features utilizing imprint lithography |
US20050098534A1 (en) * | 2003-11-12 | 2005-05-12 | Molecular Imprints, Inc. | Formation of conductive templates employing indium tin oxide |
KR101117437B1 (ko) * | 2003-12-27 | 2012-02-29 | 엘지디스플레이 주식회사 | 평판표시소자의 제조방법 및 장치 |
US20050150862A1 (en) * | 2004-01-13 | 2005-07-14 | Harper Bruce M. | Workpiece alignment assembly |
US20050151282A1 (en) * | 2004-01-13 | 2005-07-14 | Harper Bruce M. | Workpiece handler and alignment assembly |
US20050151300A1 (en) * | 2004-01-13 | 2005-07-14 | Harper Bruce M. | Workpiece isothermal imprinting |
US7329114B2 (en) * | 2004-01-20 | 2008-02-12 | Komag, Inc. | Isothermal imprint embossing system |
US7686606B2 (en) * | 2004-01-20 | 2010-03-30 | Wd Media, Inc. | Imprint embossing alignment system |
US20050155554A1 (en) * | 2004-01-20 | 2005-07-21 | Saito Toshiyuki M. | Imprint embossing system |
KR100585951B1 (ko) * | 2004-02-18 | 2006-06-01 | 한국기계연구원 | 조합/분리형 독립구동이 가능한 복수 개의 모듈을 갖는 임프린팅 장치 |
US8076386B2 (en) | 2004-02-23 | 2011-12-13 | Molecular Imprints, Inc. | Materials for imprint lithography |
US7906180B2 (en) | 2004-02-27 | 2011-03-15 | Molecular Imprints, Inc. | Composition for an etching mask comprising a silicon-containing material |
US7730834B2 (en) * | 2004-03-04 | 2010-06-08 | Asml Netherlands B.V. | Printing apparatus and device manufacturing method |
US7229266B2 (en) * | 2004-03-23 | 2007-06-12 | Komag, Inc. | Press die alignment |
JP4481698B2 (ja) * | 2004-03-29 | 2010-06-16 | キヤノン株式会社 | 加工装置 |
DE102004028851B4 (de) * | 2004-03-31 | 2006-04-13 | Infineon Technologies Ag | Verfahren und Vorrichtung zum Vermessen eines Oberflächenprofils einer Probe |
US20050230882A1 (en) * | 2004-04-19 | 2005-10-20 | Molecular Imprints, Inc. | Method of forming a deep-featured template employed in imprint lithography |
US7140861B2 (en) * | 2004-04-27 | 2006-11-28 | Molecular Imprints, Inc. | Compliant hard template for UV imprinting |
US20050275311A1 (en) * | 2004-06-01 | 2005-12-15 | Molecular Imprints, Inc. | Compliant device for nano-scale manufacturing |
US20050276919A1 (en) * | 2004-06-01 | 2005-12-15 | Molecular Imprints, Inc. | Method for dispensing a fluid on a substrate |
CN101379435A (zh) * | 2004-06-03 | 2009-03-04 | 得克萨斯州大学系统董事会 | 用于改进显微蚀刻的对齐和覆盖的系统和方法 |
EP1768846B1 (en) * | 2004-06-03 | 2010-08-11 | Molecular Imprints, Inc. | Fluid dispensing and drop-on-demand dispensing for nano-scale manufacturing |
US20050270516A1 (en) * | 2004-06-03 | 2005-12-08 | Molecular Imprints, Inc. | System for magnification and distortion correction during nano-scale manufacturing |
US7768624B2 (en) | 2004-06-03 | 2010-08-03 | Board Of Regents, The University Of Texas System | Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques |
JP4574240B2 (ja) | 2004-06-11 | 2010-11-04 | キヤノン株式会社 | 加工装置、加工方法、デバイス製造方法 |
US20070228593A1 (en) * | 2006-04-03 | 2007-10-04 | Molecular Imprints, Inc. | Residual Layer Thickness Measurement and Correction |
US7785526B2 (en) * | 2004-07-20 | 2010-08-31 | Molecular Imprints, Inc. | Imprint alignment method, system, and template |
US7309225B2 (en) * | 2004-08-13 | 2007-12-18 | Molecular Imprints, Inc. | Moat system for an imprint lithography template |
US7939131B2 (en) | 2004-08-16 | 2011-05-10 | Molecular Imprints, Inc. | Method to provide a layer with uniform etch characteristics |
US7205244B2 (en) * | 2004-09-21 | 2007-04-17 | Molecular Imprints | Patterning substrates employing multi-film layers defining etch-differential interfaces |
US20060062922A1 (en) | 2004-09-23 | 2006-03-23 | Molecular Imprints, Inc. | Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor |
KR101226039B1 (ko) * | 2004-10-08 | 2013-01-25 | 다우 코닝 코포레이션 | 상 변화 조성물을 사용하는 리소그라피 공정 |
US7379184B2 (en) * | 2004-10-18 | 2008-05-27 | Nanometrics Incorporated | Overlay measurement target |
US7650029B2 (en) * | 2004-11-23 | 2010-01-19 | Hewlett-Packard Development Company, L.P. | Multiple layer alignment sensing |
US7226797B2 (en) * | 2004-11-23 | 2007-06-05 | Hewlett-Packard Development Company, L.P. | Sensing alignment of multiple layers |
US20070231421A1 (en) | 2006-04-03 | 2007-10-04 | Molecular Imprints, Inc. | Enhanced Multi Channel Alignment |
US7292326B2 (en) * | 2004-11-30 | 2007-11-06 | Molecular Imprints, Inc. | Interferometric analysis for the manufacture of nano-scale devices |
US7630067B2 (en) * | 2004-11-30 | 2009-12-08 | Molecular Imprints, Inc. | Interferometric analysis method for the manufacture of nano-scale devices |
EP1825502A4 (en) * | 2004-12-01 | 2008-01-23 | Molecular Imprints Inc | EXPOSURE METHODS FOR THERMAL MANAGEMENT OF PRINTING LITHOGRAPHY METHODS |
US7281919B2 (en) | 2004-12-07 | 2007-10-16 | Molecular Imprints, Inc. | System for controlling a volume of material on a mold |
JP2006165371A (ja) | 2004-12-09 | 2006-06-22 | Canon Inc | 転写装置およびデバイス製造方法 |
US7676088B2 (en) * | 2004-12-23 | 2010-03-09 | Asml Netherlands B.V. | Imprint lithography |
US7490547B2 (en) * | 2004-12-30 | 2009-02-17 | Asml Netherlands B.V. | Imprint lithography |
US20060144274A1 (en) * | 2004-12-30 | 2006-07-06 | Asml Netherlands B.V. | Imprint lithography |
US20060144814A1 (en) * | 2004-12-30 | 2006-07-06 | Asml Netherlands B.V. | Imprint lithography |
US7686970B2 (en) * | 2004-12-30 | 2010-03-30 | Asml Netherlands B.V. | Imprint lithography |
US7354698B2 (en) * | 2005-01-07 | 2008-04-08 | Asml Netherlands B.V. | Imprint lithography |
KR100623209B1 (ko) | 2005-01-11 | 2006-09-13 | 한국기계연구원 | 탄성중합체 스탬프를 이용한 미세접촉 인쇄장치 |
US20060157898A1 (en) * | 2005-01-18 | 2006-07-20 | International Business Machines Corporation | Imprint reference template for multilayer or multipattern registration and method therefor |
US20060177532A1 (en) * | 2005-02-04 | 2006-08-10 | Molecular Imprints, Inc. | Imprint lithography method to control extrusion of a liquid from a desired region on a substrate |
US7798801B2 (en) | 2005-01-31 | 2010-09-21 | Molecular Imprints, Inc. | Chucking system for nano-manufacturing |
US7636999B2 (en) * | 2005-01-31 | 2009-12-29 | Molecular Imprints, Inc. | Method of retaining a substrate to a wafer chuck |
US7635263B2 (en) * | 2005-01-31 | 2009-12-22 | Molecular Imprints, Inc. | Chucking system comprising an array of fluid chambers |
WO2006083520A2 (en) * | 2005-01-31 | 2006-08-10 | Molecular Imprints, Inc. | Method of separating a mold from a solidified layer disposed on a substrate |
US20060177535A1 (en) * | 2005-02-04 | 2006-08-10 | Molecular Imprints, Inc. | Imprint lithography template to facilitate control of liquid movement |
US7922474B2 (en) * | 2005-02-17 | 2011-04-12 | Asml Netherlands B.V. | Imprint lithography |
WO2006093722A2 (en) * | 2005-02-25 | 2006-09-08 | Accent Optical Technologies, Inc. | Methods and systems for determining overlay error based on target image symmetry |
US7808643B2 (en) * | 2005-02-25 | 2010-10-05 | Nanometrics Incorporated | Determining overlay error using an in-chip overlay target |
US7523701B2 (en) * | 2005-03-07 | 2009-04-28 | Asml Netherlands B.V. | Imprint lithography method and apparatus |
US7762186B2 (en) * | 2005-04-19 | 2010-07-27 | Asml Netherlands B.V. | Imprint lithography |
US7611348B2 (en) * | 2005-04-19 | 2009-11-03 | Asml Netherlands B.V. | Imprint lithography |
US20060240344A1 (en) * | 2005-04-20 | 2006-10-26 | Affymetrix, Inc. | Method of manufacture of polymer arrays |
WO2006116752A2 (en) | 2005-04-28 | 2006-11-02 | The Regents Of The University Of California | Compositions comprising nanostructures for cell, tissue and artificial organ growth, and methods for making and using same |
US20070228608A1 (en) * | 2006-04-03 | 2007-10-04 | Molecular Imprints, Inc. | Preserving Filled Features when Vacuum Wiping |
US7767129B2 (en) * | 2005-05-11 | 2010-08-03 | Micron Technology, Inc. | Imprint templates for imprint lithography, and methods of patterning a plurality of substrates |
US7442029B2 (en) * | 2005-05-16 | 2008-10-28 | Asml Netherlands B.V. | Imprint lithography |
US20060266916A1 (en) * | 2005-05-25 | 2006-11-30 | Molecular Imprints, Inc. | Imprint lithography template having a coating to reflect and/or absorb actinic energy |
US20060267231A1 (en) * | 2005-05-27 | 2006-11-30 | Asml Netherlands B.V. | Imprint lithography |
US7708924B2 (en) * | 2005-07-21 | 2010-05-04 | Asml Netherlands B.V. | Imprint lithography |
US7692771B2 (en) * | 2005-05-27 | 2010-04-06 | Asml Netherlands B.V. | Imprint lithography |
US7418902B2 (en) * | 2005-05-31 | 2008-09-02 | Asml Netherlands B.V. | Imprint lithography including alignment |
JP4290177B2 (ja) * | 2005-06-08 | 2009-07-01 | キヤノン株式会社 | モールド、アライメント方法、パターン形成装置、パターン転写装置、及びチップの製造方法 |
CN101604124B (zh) * | 2005-06-08 | 2011-07-27 | 佳能株式会社 | 模子、图案形成方法以及图案形成设备 |
US7377764B2 (en) * | 2005-06-13 | 2008-05-27 | Asml Netherlands B.V. | Imprint lithography |
US7771917B2 (en) * | 2005-06-17 | 2010-08-10 | Micron Technology, Inc. | Methods of making templates for use in imprint lithography |
US20070008865A1 (en) * | 2005-07-08 | 2007-01-11 | Nanochip, Inc. | High density data storage devices with polarity-dependent memory switching media |
US8808808B2 (en) | 2005-07-22 | 2014-08-19 | Molecular Imprints, Inc. | Method for imprint lithography utilizing an adhesion primer layer |
US20070023976A1 (en) * | 2005-07-26 | 2007-02-01 | Asml Netherlands B.V. | Imprint lithography |
US20070074635A1 (en) * | 2005-08-25 | 2007-04-05 | Molecular Imprints, Inc. | System to couple a body and a docking plate |
US7665981B2 (en) * | 2005-08-25 | 2010-02-23 | Molecular Imprints, Inc. | System to transfer a template transfer body between a motion stage and a docking plate |
US20070064384A1 (en) * | 2005-08-25 | 2007-03-22 | Molecular Imprints, Inc. | Method to transfer a template transfer body between a motion stage and a docking plate |
JP4262267B2 (ja) * | 2005-09-06 | 2009-05-13 | キヤノン株式会社 | モールド、インプリント装置及びデバイスの製造方法 |
JP4330168B2 (ja) * | 2005-09-06 | 2009-09-16 | キヤノン株式会社 | モールド、インプリント方法、及びチップの製造方法 |
US7670534B2 (en) | 2005-09-21 | 2010-03-02 | Molecular Imprints, Inc. | Method to control an atmosphere between a body and a substrate |
JP5268239B2 (ja) * | 2005-10-18 | 2013-08-21 | キヤノン株式会社 | パターン形成装置、パターン形成方法 |
US20070267764A1 (en) * | 2005-10-25 | 2007-11-22 | Dai Nippon Printing Co., Ltd. | Mold for photocuring nano-imprint and its fabrication process |
US8011915B2 (en) | 2005-11-04 | 2011-09-06 | Asml Netherlands B.V. | Imprint lithography |
US7878791B2 (en) * | 2005-11-04 | 2011-02-01 | Asml Netherlands B.V. | Imprint lithography |
US7803308B2 (en) | 2005-12-01 | 2010-09-28 | Molecular Imprints, Inc. | Technique for separating a mold from solidified imprinting material |
US7670530B2 (en) * | 2006-01-20 | 2010-03-02 | Molecular Imprints, Inc. | Patterning substrates employing multiple chucks |
MY144847A (en) | 2005-12-08 | 2011-11-30 | Molecular Imprints Inc | Method and system for double-sided patterning of substrates |
US20070138699A1 (en) * | 2005-12-21 | 2007-06-21 | Asml Netherlands B.V. | Imprint lithography |
US7517211B2 (en) | 2005-12-21 | 2009-04-14 | Asml Netherlands B.V. | Imprint lithography |
US7690910B2 (en) | 2006-02-01 | 2010-04-06 | Canon Kabushiki Kaisha | Mold for imprint, process for producing minute structure using the mold, and process for producing the mold |
US8142850B2 (en) * | 2006-04-03 | 2012-03-27 | Molecular Imprints, Inc. | Patterning a plurality of fields on a substrate to compensate for differing evaporation times |
US7802978B2 (en) | 2006-04-03 | 2010-09-28 | Molecular Imprints, Inc. | Imprinting of partial fields at the edge of the wafer |
JP5306989B2 (ja) | 2006-04-03 | 2013-10-02 | モレキュラー・インプリンツ・インコーポレーテッド | 複数のフィールド及びアライメント・マークを有する基板を同時にパターニングする方法 |
US8850980B2 (en) | 2006-04-03 | 2014-10-07 | Canon Nanotechnologies, Inc. | Tessellated patterns in imprint lithography |
TW200815912A (en) * | 2006-04-03 | 2008-04-01 | Molecular Imprints Inc | Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques |
US20070231422A1 (en) * | 2006-04-03 | 2007-10-04 | Molecular Imprints, Inc. | System to vary dimensions of a thin template |
US8012395B2 (en) | 2006-04-18 | 2011-09-06 | Molecular Imprints, Inc. | Template having alignment marks formed of contrast material |
JP4795300B2 (ja) * | 2006-04-18 | 2011-10-19 | キヤノン株式会社 | 位置合わせ方法、インプリント方法、位置合わせ装置、インプリント装置、及び位置計測方法 |
US7854867B2 (en) * | 2006-04-21 | 2010-12-21 | Molecular Imprints, Inc. | Method for detecting a particle in a nanoimprint lithography system |
DE102006022882B4 (de) * | 2006-05-15 | 2016-04-14 | Immobiliengesellschaft Helmut Fischer Gmbh & Co. Kg | Vorrichtung zum Messen der Dicke dünner Schichten mit einer Messsonde |
US8215946B2 (en) | 2006-05-18 | 2012-07-10 | Molecular Imprints, Inc. | Imprint lithography system and method |
US20080023885A1 (en) * | 2006-06-15 | 2008-01-31 | Nanochip, Inc. | Method for forming a nano-imprint lithography template having very high feature counts |
US8318253B2 (en) * | 2006-06-30 | 2012-11-27 | Asml Netherlands B.V. | Imprint lithography |
US8015939B2 (en) | 2006-06-30 | 2011-09-13 | Asml Netherlands B.V. | Imprintable medium dispenser |
US8707890B2 (en) | 2006-07-18 | 2014-04-29 | Asml Netherlands B.V. | Imprint lithography |
US7613538B2 (en) * | 2006-07-24 | 2009-11-03 | Hewlett-Packard Development Company, L.P. | Compensation for distortion in contact lithography |
KR101290598B1 (ko) * | 2006-09-07 | 2013-07-29 | 엘지디스플레이 주식회사 | 횡전계형 액정표시장치용 컬러필터 기판의 제조방법 |
US7780431B2 (en) * | 2006-09-14 | 2010-08-24 | Hewlett-Packard Development Company, L.P. | Nanoimprint molds and methods of forming the same |
JP5027468B2 (ja) * | 2006-09-15 | 2012-09-19 | 日本ミクロコーティング株式会社 | プローブクリーニング用又はプローブ加工用シート、及びプローブ加工方法 |
US7985530B2 (en) | 2006-09-19 | 2011-07-26 | Molecular Imprints, Inc. | Etch-enhanced technique for lift-off patterning |
US20080090312A1 (en) * | 2006-10-17 | 2008-04-17 | Inkyu Park | LITHOGRAPHY ALIGNMENT SYSTEM AND METHOD USING nDSE-BASED FEEDBACK CONTROL |
US7612882B2 (en) * | 2006-10-20 | 2009-11-03 | Hewlett-Packard Development Company, L.P. | Optical gratings, lithography tools including such optical gratings and methods for using same for alignment |
TW200819546A (en) * | 2006-10-30 | 2008-05-01 | Jinn P Chu | In-air micro and nanoimprint of bulk metallic glasses and a method for making the same |
US8377361B2 (en) * | 2006-11-28 | 2013-02-19 | Wei Zhang | Imprint lithography with improved substrate/mold separation |
WO2008082650A1 (en) * | 2006-12-29 | 2008-07-10 | Molecular Imprints, Inc. | Imprint fluid control |
KR101348184B1 (ko) * | 2007-01-19 | 2014-01-07 | 삼성디스플레이 주식회사 | 임프린트 장치 및 방법 |
KR101281279B1 (ko) | 2007-02-06 | 2013-07-03 | 캐논 가부시끼가이샤 | 임프린트 방법 및 임프린트 장치 |
US7749422B2 (en) * | 2007-03-30 | 2010-07-06 | International Business Machines Corporation | Release layer for imprinted photocationic curable resins |
KR20080096901A (ko) * | 2007-04-30 | 2008-11-04 | 삼성전자주식회사 | 임프린트방법 및 상기 임프린트방법을 이용한 표시기판제조방법 |
KR20100031570A (ko) * | 2007-05-30 | 2010-03-23 | 몰레큘러 임프린츠 인코퍼레이티드 | 질화규소, 탄화규소 또는 옥시질화규소 막을 갖는 템플레이트 |
WO2008151107A2 (en) * | 2007-06-01 | 2008-12-11 | Massachusetts Institute Of Technology | High-resolution flexural stage for in-plane position and out-of-plane pitch/roll alignment |
JP5570688B2 (ja) * | 2007-06-28 | 2014-08-13 | ピーエスフォー ルクスコ エスエイアールエル | 微細レジストパターン形成方法及びナノインプリントモールド構造 |
US20090014917A1 (en) * | 2007-07-10 | 2009-01-15 | Molecular Imprints, Inc. | Drop Pattern Generation for Imprint Lithography |
US7837907B2 (en) * | 2007-07-20 | 2010-11-23 | Molecular Imprints, Inc. | Alignment system and method for a substrate in a nano-imprint process |
JP2009034926A (ja) * | 2007-08-02 | 2009-02-19 | Sumitomo Electric Ind Ltd | 樹脂パターン形成方法 |
US20090038636A1 (en) * | 2007-08-09 | 2009-02-12 | Asml Netherlands B.V. | Cleaning method |
US7854877B2 (en) | 2007-08-14 | 2010-12-21 | Asml Netherlands B.V. | Lithography meandering order |
US8144309B2 (en) | 2007-09-05 | 2012-03-27 | Asml Netherlands B.V. | Imprint lithography |
KR20090029320A (ko) * | 2007-09-18 | 2009-03-23 | 삼성전자주식회사 | 임프린팅 방법, 이를 이용한 박막 트랜지스터 기판의제조방법 및 이를 이용한 컬러필터 기판의 제조방법 |
US8119052B2 (en) * | 2007-11-02 | 2012-02-21 | Molecular Imprints, Inc. | Drop pattern generation for imprint lithography |
US7906274B2 (en) * | 2007-11-21 | 2011-03-15 | Molecular Imprints, Inc. | Method of creating a template employing a lift-off process |
US8945444B2 (en) | 2007-12-04 | 2015-02-03 | Canon Nanotechnologies, Inc. | High throughput imprint based on contact line motion tracking control |
US20090147237A1 (en) * | 2007-12-05 | 2009-06-11 | Molecular Imprints, Inc. | Spatial Phase Feature Location |
NL1036215A1 (nl) * | 2007-12-11 | 2009-06-15 | Asml Netherlands Bv | Lithographic method and carrier substrate. |
WO2009085286A1 (en) * | 2007-12-28 | 2009-07-09 | Molecular Imprints, Inc. | Template pattern density doubling |
US8361371B2 (en) * | 2008-02-08 | 2013-01-29 | Molecular Imprints, Inc. | Extrusion reduction in imprint lithography |
US20090212012A1 (en) * | 2008-02-27 | 2009-08-27 | Molecular Imprints, Inc. | Critical dimension control during template formation |
US8105736B2 (en) * | 2008-03-13 | 2012-01-31 | Miradia Inc. | Method and system for overlay correction during photolithography |
US8187515B2 (en) * | 2008-04-01 | 2012-05-29 | Molecular Imprints, Inc. | Large area roll-to-roll imprint lithography |
WO2009129441A2 (en) * | 2008-04-17 | 2009-10-22 | Massachusetts Institute Of Technology | Symmetric thermocentric flexure with minimal yaw error motion |
US20090263729A1 (en) * | 2008-04-21 | 2009-10-22 | Micron Technology, Inc. | Templates for imprint lithography and methods of fabricating and using such templates |
US20090294028A1 (en) * | 2008-06-03 | 2009-12-03 | Nanochip, Inc. | Process for fabricating high density storage device with high-temperature media |
JP5123059B2 (ja) * | 2008-06-09 | 2013-01-16 | 株式会社東芝 | 半導体装置の製造方法 |
US20100015270A1 (en) * | 2008-07-15 | 2010-01-21 | Molecular Imprints, Inc. | Inner cavity system for nano-imprint lithography |
US20100039919A1 (en) * | 2008-08-15 | 2010-02-18 | Nanochip, Inc. | Cantilever Structure for Use in Seek-and-Scan Probe Storage |
TW201022017A (en) * | 2008-09-30 | 2010-06-16 | Molecular Imprints Inc | Particle mitigation for imprint lithography |
US20100092599A1 (en) * | 2008-10-10 | 2010-04-15 | Molecular Imprints, Inc. | Complementary Alignment Marks for Imprint Lithography |
US8237133B2 (en) * | 2008-10-10 | 2012-08-07 | Molecular Imprints, Inc. | Energy sources for curing in an imprint lithography system |
US8562892B2 (en) * | 2008-10-14 | 2013-10-22 | The Regents Of The University Of California | Mechanical process for producing particles in a fluid |
US8586126B2 (en) | 2008-10-21 | 2013-11-19 | Molecular Imprints, Inc. | Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement |
US8512797B2 (en) * | 2008-10-21 | 2013-08-20 | Molecular Imprints, Inc. | Drop pattern generation with edge weighting |
US20100095862A1 (en) * | 2008-10-22 | 2010-04-22 | Molecular Imprints, Inc. | Double Sidewall Angle Nano-Imprint Template |
US8877073B2 (en) * | 2008-10-27 | 2014-11-04 | Canon Nanotechnologies, Inc. | Imprint lithography template |
US8345242B2 (en) * | 2008-10-28 | 2013-01-01 | Molecular Imprints, Inc. | Optical system for use in stage control |
US9122148B2 (en) * | 2008-11-03 | 2015-09-01 | Canon Nanotechnologies, Inc. | Master template replication |
US20100112220A1 (en) * | 2008-11-03 | 2010-05-06 | Molecular Imprints, Inc. | Dispense system set-up and characterization |
US8432548B2 (en) * | 2008-11-04 | 2013-04-30 | Molecular Imprints, Inc. | Alignment for edge field nano-imprinting |
US8231821B2 (en) * | 2008-11-04 | 2012-07-31 | Molecular Imprints, Inc. | Substrate alignment |
US8529778B2 (en) * | 2008-11-13 | 2013-09-10 | Molecular Imprints, Inc. | Large area patterning of nano-sized shapes |
JP4825891B2 (ja) * | 2009-03-31 | 2011-11-30 | 株式会社東芝 | 半導体装置の製造方法およびテンプレート |
US8339573B2 (en) * | 2009-05-27 | 2012-12-25 | 3M Innovative Properties Company | Method and apparatus for photoimaging a substrate |
US8378252B2 (en) * | 2009-05-29 | 2013-02-19 | Electro Scientific Industries, Inc. | Method and apparatus for hybrid resolution feedback of a motion stage |
NL2004932A (en) | 2009-07-27 | 2011-01-31 | Asml Netherlands Bv | Imprint lithography template. |
US20110084417A1 (en) * | 2009-10-08 | 2011-04-14 | Molecular Imprints, Inc. | Large area linear array nanoimprinting |
US20110085968A1 (en) * | 2009-10-13 | 2011-04-14 | The Regents Of The University Of California | Articles comprising nano-materials for geometry-guided stem cell differentiation and enhanced bone growth |
NL2005266A (en) | 2009-10-28 | 2011-05-02 | Asml Netherlands Bv | Imprint lithography. |
US8514374B2 (en) * | 2009-11-04 | 2013-08-20 | International Business Machines Corporation | Alignment method for semiconductor processing |
US8496466B1 (en) | 2009-11-06 | 2013-07-30 | WD Media, LLC | Press system with interleaved embossing foil holders for nano-imprinting of recording media |
US9330685B1 (en) | 2009-11-06 | 2016-05-03 | WD Media, LLC | Press system for nano-imprinting of recording media with a two step pressing method |
US8402638B1 (en) | 2009-11-06 | 2013-03-26 | Wd Media, Inc. | Press system with embossing foil free to expand for nano-imprinting of recording media |
US20120256890A1 (en) * | 2009-12-24 | 2012-10-11 | Sharp Kabushiki Kaisha | Display module and method for manufacturing display module |
WO2011097514A2 (en) * | 2010-02-05 | 2011-08-11 | Molecular Imprints, Inc. | Templates having high contrast alignment marks |
KR20110092546A (ko) * | 2010-02-09 | 2011-08-18 | 삼성전자주식회사 | 나노 임프린트용 장치 및 이를 이용한 반도체 소자의 형성방법 |
JP5451450B2 (ja) * | 2010-02-24 | 2014-03-26 | キヤノン株式会社 | インプリント装置及びそのテンプレート並びに物品の製造方法 |
JP5574801B2 (ja) * | 2010-04-26 | 2014-08-20 | キヤノン株式会社 | インプリント装置及び物品の製造方法 |
JP2012064810A (ja) * | 2010-09-16 | 2012-03-29 | Toshiba Corp | ナノインプリント用テンプレート及びパターン転写装置 |
JP5404570B2 (ja) | 2010-09-24 | 2014-02-05 | 株式会社東芝 | 滴下制御方法および滴下制御装置 |
JP5002695B2 (ja) * | 2010-09-24 | 2012-08-15 | 株式会社東芝 | 微細加工方法、微細加工装置、および微細加工プログラム |
JP5982386B2 (ja) | 2010-11-05 | 2016-08-31 | モレキュラー・インプリンツ・インコーポレーテッド | 非凸形ナノ構造のパターン形成 |
JP5850717B2 (ja) * | 2010-12-02 | 2016-02-03 | キヤノン株式会社 | インプリント装置、及びそれを用いた物品の製造方法 |
TWI542952B (zh) | 2010-12-02 | 2016-07-21 | Asml控股公司 | 圖案化裝置支撐件 |
JP5744548B2 (ja) * | 2011-02-02 | 2015-07-08 | キヤノン株式会社 | 保持装置、それを用いたインプリント装置および物品の製造方法 |
US8967992B2 (en) * | 2011-04-25 | 2015-03-03 | Canon Nanotechnologies, Inc. | Optically absorptive material for alignment marks |
US20140131912A1 (en) * | 2011-05-04 | 2014-05-15 | The University Of Akron | Suppression of dewetting of polymer films via inexpensive soft lithograpy |
SG188770A1 (en) * | 2011-09-22 | 2013-04-30 | Agency Science Tech & Res | A process for making a patterned metal oxide structure |
US9599525B2 (en) * | 2011-09-30 | 2017-03-21 | Sensitronics, LLC | Pre-loaded force sensors |
JP6021606B2 (ja) * | 2011-11-28 | 2016-11-09 | キヤノン株式会社 | インプリント装置、それを用いた物品の製造方法、およびインプリント方法 |
JP5930699B2 (ja) * | 2011-12-20 | 2016-06-08 | キヤノン株式会社 | インプリント装置、インプリント方法およびデバイスの製造方法 |
JP6066565B2 (ja) * | 2012-01-31 | 2017-01-25 | キヤノン株式会社 | インプリント装置、および、物品の製造方法 |
JP5948102B2 (ja) * | 2012-03-26 | 2016-07-06 | 株式会社Screenホールディングス | 転写装置および転写方法 |
US8834146B2 (en) | 2012-10-24 | 2014-09-16 | Massachusetts Institute Of Technology | System for passive alignment of surfaces |
US20140205702A1 (en) * | 2013-01-24 | 2014-07-24 | Kabushiki Kaisha Toshiba | Template, manufacturing method of the template, and position measuring method in the template |
US20140209567A1 (en) * | 2013-01-29 | 2014-07-31 | Kabushiki Kaisha Toshiba | Template, manufacturing method of the template, and strain measuring method in the template |
US9385089B2 (en) | 2013-01-30 | 2016-07-05 | Seagate Technology Llc | Alignment mark recovery with reduced topography |
US9426886B2 (en) | 2013-01-30 | 2016-08-23 | Seagate Technology Llc | Electrical connection with reduced topography |
US9343089B2 (en) | 2013-03-08 | 2016-05-17 | Seagate Technology Llc | Nanoimprint lithography for thin film heads |
JP6060796B2 (ja) * | 2013-04-22 | 2017-01-18 | 大日本印刷株式会社 | インプリントモールド及びダミーパターン設計方法 |
US10118315B1 (en) * | 2013-05-06 | 2018-11-06 | Surfx Technologies Llc | Preparing tool surfaces for composites |
JP6418773B2 (ja) | 2013-05-14 | 2018-11-07 | キヤノン株式会社 | インプリント装置、インプリント方法および物品の製造方法 |
JP5960198B2 (ja) | 2013-07-02 | 2016-08-02 | キヤノン株式会社 | パターン形成方法、リソグラフィ装置、リソグラフィシステムおよび物品製造方法 |
JP6282069B2 (ja) * | 2013-09-13 | 2018-02-21 | キヤノン株式会社 | インプリント装置、インプリント方法、検出方法及びデバイス製造方法 |
US9853229B2 (en) | 2013-10-23 | 2017-12-26 | University Of Southern California | Organic electroluminescent materials and devices |
US9563946B2 (en) * | 2014-07-22 | 2017-02-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Overlay metrology method and overlay control method and system |
US10026609B2 (en) | 2014-10-23 | 2018-07-17 | Board Of Regents, The University Of Texas System | Nanoshape patterning techniques that allow high-speed and low-cost fabrication of nanoshape structures |
JP6478635B2 (ja) * | 2015-01-05 | 2019-03-06 | キヤノン株式会社 | インプリント装置、インプリント方法及び物品の製造方法 |
JP6011671B2 (ja) * | 2015-04-02 | 2016-10-19 | 大日本印刷株式会社 | インプリント用基板およびインプリント方法 |
JP2018526812A (ja) * | 2015-06-15 | 2018-09-13 | ザイゴ コーポレーションZygo Corporation | 変形体の変位測定 |
US10156786B2 (en) | 2015-09-30 | 2018-12-18 | Thomas E. Seidel | Method and structure for nanoimprint lithography masks using optical film coatings |
IL258703B2 (en) | 2015-10-15 | 2023-11-01 | Univ Texas | A versatile process for precise manufacturing on a nanometer scale |
DE102015120535A1 (de) | 2015-11-26 | 2017-06-01 | Leibniz-Institut für Oberflächenmodifizierung e.V. | Vorrichtung und Verfahren zur Herstellung einer beidseitig mikrostrukturierten Folie |
US11131922B2 (en) | 2016-06-06 | 2021-09-28 | Canon Kabushiki Kaisha | Imprint lithography template, system, and method of imprinting |
KR20180023102A (ko) | 2016-08-23 | 2018-03-07 | 삼성디스플레이 주식회사 | 와이어 그리드 패턴 및 이의 제조방법 |
JP7164289B2 (ja) | 2016-09-05 | 2022-11-01 | 東京エレクトロン株式会社 | 半導体プロセッシング中のオーバレイを制御するための湾曲を制御する応力の位置特定チューニング |
CN109937127B (zh) * | 2016-10-18 | 2021-06-08 | 分子印记公司 | 结构的显微光刻制造 |
CN110402189B (zh) * | 2016-12-23 | 2023-04-21 | 德克萨斯大学系统董事会 | 一种用于将异构组件组装至产品衬底上的方法 |
JP6342570B1 (ja) * | 2016-12-27 | 2018-06-13 | 株式会社アルバック | ギャップ計測方法 |
KR102256349B1 (ko) * | 2017-03-08 | 2021-05-27 | 캐논 가부시끼가이샤 | 경화물 패턴의 제조 방법, 광학 부품, 회로 기판 및 석영 몰드 레플리카의 제조 방법, 및 임프린트 전처리 코팅용 재료 및 그의 경화물 |
KR102256347B1 (ko) * | 2017-03-08 | 2021-05-27 | 캐논 가부시끼가이샤 | 패턴 형성 방법, 및 가공 기판, 광학 부품 및 석영 몰드 레플리카의 제조 방법, 및 임프린트 전처리 코팅 재료 및 그와 임프린트 레지스트와의 세트 |
US10866510B2 (en) * | 2017-07-31 | 2020-12-15 | Canon Kabushiki Kaisha | Overlay improvement in nanoimprint lithography |
US10996560B2 (en) | 2017-07-31 | 2021-05-04 | Canon Kabushiki Kaisha | Real-time correction of template deformation in nanoimprint lithography |
JP6957281B2 (ja) * | 2017-09-12 | 2021-11-02 | キオクシア株式会社 | テンプレートの作製方法、および半導体装置の製造方法 |
US10606170B2 (en) | 2017-09-14 | 2020-03-31 | Canon Kabushiki Kaisha | Template for imprint lithography and methods of making and using the same |
US10409178B2 (en) | 2017-12-18 | 2019-09-10 | Canon Kabushiki Kaisha | Alignment control in nanoimprint lithography based on real-time system identification |
US10996561B2 (en) | 2017-12-26 | 2021-05-04 | Canon Kabushiki Kaisha | Nanoimprint lithography with a six degrees-of-freedom imprint head module |
EP3657114B1 (en) | 2018-11-26 | 2021-06-16 | Alfa Laval Corporate AB | Heat transfer plate |
US10901327B2 (en) * | 2018-12-20 | 2021-01-26 | Canon Kabushiki Kaisha | Automatic defect analyzer for nanoimprint lithography using image analysis |
DE102019101346A1 (de) | 2019-01-18 | 2020-07-23 | Osram Opto Semiconductors Gmbh | Nanostempelverfahren und nanooptisches bauteil |
NL2023097B1 (en) * | 2019-05-09 | 2020-11-30 | Suss Microtec Lithography Gmbh | Stamp replication device and method for producing a holding means for a stamp replication device as well as a stamp |
WO2020234848A1 (en) * | 2019-05-22 | 2020-11-26 | Vuereal Inc. | Systems and methods for transferring devices or patterns to a substrate |
KR102168476B1 (ko) * | 2019-08-27 | 2020-10-21 | 한국기계연구원 | 투명스탬프 제조장치 및 제조방법, 상기 제조장치로 만들어진 투명스탬프, 상기 투명스탬프를 이용한 임프린트 리소그래피 방법 |
WO2021037509A1 (en) * | 2019-08-29 | 2021-03-04 | Asml Holding N.V. | On chip sensor for wafer overlay measurement |
US11656546B2 (en) | 2020-02-27 | 2023-05-23 | Canon Kabushiki Kaisha | Exposure apparatus for uniform light intensity and methods of using the same |
JP2023517953A (ja) * | 2020-03-12 | 2023-04-27 | マジック リープ, インコーポレイテッド | 光学ポリマーフィルムを鋳造するための方法および装置 |
US11443940B2 (en) | 2020-06-24 | 2022-09-13 | Canon Kabushiki Kaisha | Apparatus for uniform light intensity and methods of using the same |
CN116917805A (zh) | 2021-01-20 | 2023-10-20 | 应用材料公司 | 防滑印模降落环 |
US11815811B2 (en) | 2021-03-23 | 2023-11-14 | Canon Kabushiki Kaisha | Magnification ramp scheme to mitigate template slippage |
Family Cites Families (300)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3783520A (en) | 1970-09-28 | 1974-01-08 | Bell Telephone Labor Inc | High accuracy alignment procedure utilizing moire patterns |
US3807027A (en) | 1972-03-31 | 1974-04-30 | Johns Manville | Method of forming the bell end of a bell and spigot joint |
US3807029A (en) | 1972-09-05 | 1974-04-30 | Bendix Corp | Method of making a flexural pivot |
US3811665A (en) | 1972-09-05 | 1974-05-21 | Bendix Corp | Flexural pivot with diaphragm means |
FR2325018A1 (fr) * | 1975-06-23 | 1977-04-15 | Ibm | Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus |
IT1068535B (it) | 1975-11-03 | 1985-03-21 | Ibm | Apparecchio e processo elettrolito grafico |
US4062600A (en) | 1976-04-05 | 1977-12-13 | Litton Systems, Inc. | Dual-gimbal gyroscope flexure suspension |
US4119588A (en) | 1976-09-14 | 1978-10-10 | The B. F. Goodrich Company | Reversion resistant rubber compositions with thio carbamyl sulfenamides |
US4098001A (en) | 1976-10-13 | 1978-07-04 | The Charles Stark Draper Laboratory, Inc. | Remote center compliance system |
GB1578259A (en) | 1977-05-11 | 1980-11-05 | Philips Electronic Associated | Methods of manufacturing solid-state devices apparatus for use therein and devices manufactured thereby |
US4155169A (en) | 1978-03-16 | 1979-05-22 | The Charles Stark Draper Laboratory, Inc. | Compliant assembly system device |
US4201800A (en) | 1978-04-28 | 1980-05-06 | International Business Machines Corp. | Hardened photoresist master image mask process |
JPS6053675B2 (ja) | 1978-09-20 | 1985-11-27 | 富士写真フイルム株式会社 | スピンコ−テイング方法 |
US4202107A (en) | 1978-10-23 | 1980-05-13 | Watson Paul C | Remote axis admittance system |
US4326805A (en) | 1980-04-11 | 1982-04-27 | Bell Telephone Laboratories, Incorporated | Method and apparatus for aligning mask and wafer members |
US4337579A (en) | 1980-04-16 | 1982-07-06 | The Charles Stark Draper Laboratory, Inc. | Deformable remote center compliance device |
US4355469A (en) | 1980-11-28 | 1982-10-26 | The Charles Stark Draper Laboratory, Inc. | Folded remote center compliance device |
US4414750A (en) | 1981-10-19 | 1983-11-15 | The Charles Stark Draper Laboratory, Inc. | Single stage remote center compliance device |
DE3208081A1 (de) | 1982-03-06 | 1983-09-08 | Braun Ag, 6000 Frankfurt | Verfahren zur herstellung einer siebartigen scherfolie fuer einen elektrisch betriebenen trockenrasierapparat mit erhebungen auf ihrer der haut zugewandten flaeche |
EP0091651B1 (en) | 1982-04-12 | 1988-08-03 | Nippon Telegraph And Telephone Corporation | Method for forming micropattern |
US4440804A (en) | 1982-08-02 | 1984-04-03 | Fairchild Camera & Instrument Corporation | Lift-off process for fabricating self-aligned contacts |
US4544572A (en) | 1982-09-07 | 1985-10-01 | Minnesota Mining And Manufacturing Company | Coated ophthalmic lenses and method for coating the same |
JPS5972727A (ja) | 1982-10-19 | 1984-04-24 | Matsushita Electric Ind Co Ltd | 位置合わせ用テ−ブル |
US4451507A (en) | 1982-10-29 | 1984-05-29 | Rca Corporation | Automatic liquid dispensing apparatus for spinning surface of uniform thickness |
FR2538923A1 (fr) * | 1982-12-30 | 1984-07-06 | Thomson Csf | Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent |
US4507331A (en) | 1983-12-12 | 1985-03-26 | International Business Machines Corporation | Dry process for forming positive tone micro patterns |
US4512848A (en) * | 1984-02-06 | 1985-04-23 | Exxon Research And Engineering Co. | Procedure for fabrication of microstructures over large areas using physical replication |
US4552833A (en) | 1984-05-14 | 1985-11-12 | International Business Machines Corporation | Radiation sensitive and oxygen plasma developable resist |
US4694703A (en) | 1984-06-28 | 1987-09-22 | Lear Siegler, Inc. | Circumferentially oriented flexure suspension |
US5554336A (en) * | 1984-08-08 | 1996-09-10 | 3D Systems, Inc. | Method and apparatus for production of three-dimensional objects by stereolithography |
JPS61116358A (ja) | 1984-11-09 | 1986-06-03 | Mitsubishi Electric Corp | フオトマスク材料 |
US4908298A (en) | 1985-03-19 | 1990-03-13 | International Business Machines Corporation | Method of creating patterned multilayer films for use in production of semiconductor circuits and systems |
EP0228671A1 (en) | 1985-12-23 | 1987-07-15 | General Electric Company | Method for the production of a coated substrate with controlled surface characteristics |
US4657845A (en) | 1986-01-14 | 1987-04-14 | International Business Machines Corporation | Positive tone oxygen plasma developable photoresist |
US4692205A (en) | 1986-01-31 | 1987-09-08 | International Business Machines Corporation | Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings |
US4724222A (en) | 1986-04-28 | 1988-02-09 | American Telephone And Telegraph Company, At&T Bell Laboratories | Wafer chuck comprising a curved reference surface |
US4737425A (en) | 1986-06-10 | 1988-04-12 | International Business Machines Corporation | Patterned resist and process |
KR900004269B1 (ko) * | 1986-06-11 | 1990-06-18 | 가부시기가이샤 도시바 | 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치 |
US4929083A (en) | 1986-06-19 | 1990-05-29 | Xerox Corporation | Focus and overlay characterization and optimization for photolithographic exposure |
EP0255303B1 (en) | 1986-07-25 | 1989-10-11 | Oki Electric Industry Company, Limited | Negative resist material, method for its manufacture and method for using it |
JPS6334108A (ja) * | 1986-07-30 | 1988-02-13 | Hitachi Ltd | 光デイスク用基板の製造方法および装置 |
JPS6376330A (ja) | 1986-09-18 | 1988-04-06 | Oki Electric Ind Co Ltd | 半導体装置の製造方法 |
FR2604553A1 (fr) | 1986-09-29 | 1988-04-01 | Rhone Poulenc Chimie | Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat |
US4707218A (en) | 1986-10-28 | 1987-11-17 | International Business Machines Corporation | Lithographic image size reduction |
JPH06104375B2 (ja) | 1986-11-10 | 1994-12-21 | 松下電器産業株式会社 | 印刷方法 |
JPS63162132A (ja) | 1986-12-26 | 1988-07-05 | Nippon Thompson Co Ltd | Xyテ−ブル |
US4931351A (en) | 1987-01-12 | 1990-06-05 | Eastman Kodak Company | Bilayer lithographic process |
US6391798B1 (en) * | 1987-02-27 | 2002-05-21 | Agere Systems Guardian Corp. | Process for planarization a semiconductor substrate |
US5736424A (en) * | 1987-02-27 | 1998-04-07 | Lucent Technologies Inc. | Device fabrication involving planarization |
US4731155A (en) | 1987-04-15 | 1988-03-15 | General Electric Company | Process for forming a lithographic mask |
US4772878A (en) * | 1987-05-06 | 1988-09-20 | Kane Roger A | Merchandise theft deterrent sensor |
US4808511A (en) | 1987-05-19 | 1989-02-28 | International Business Machines Corporation | Vapor phase photoresist silylation process |
KR930000293B1 (ko) | 1987-10-26 | 1993-01-15 | 마쯔시다덴기산교 가부시기가이샤 | 미세패턴형성방법 |
US5028366A (en) * | 1988-01-12 | 1991-07-02 | Air Products And Chemicals, Inc. | Water based mold release compositions for making molded polyurethane foam |
US4883561A (en) | 1988-03-29 | 1989-11-28 | Bell Communications Research, Inc. | Lift-off and subsequent bonding of epitaxial films |
US4846931A (en) | 1988-03-29 | 1989-07-11 | Bell Communications Research, Inc. | Method for lifting-off epitaxial films |
US4891303A (en) | 1988-05-26 | 1990-01-02 | Texas Instruments Incorporated | Trilayer microlithographic process using a silicon-based resist as the middle layer |
JPH0224848A (ja) | 1988-07-14 | 1990-01-26 | Canon Inc | 光記録媒体用基板の製造方法 |
JPH0269936A (ja) | 1988-07-28 | 1990-03-08 | Siemens Ag | 半導体材料上の樹脂構造の形成方法 |
US4921778A (en) | 1988-07-29 | 1990-05-01 | Shipley Company Inc. | Photoresist pattern fabrication employing chemically amplified metalized material |
US5108875A (en) | 1988-07-29 | 1992-04-28 | Shipley Company Inc. | Photoresist pattern fabrication employing chemically amplified metalized material |
EP0355496A3 (en) * | 1988-08-15 | 1990-10-10 | Sumitomo Heavy Industries Co., Ltd. | Position detector employing a sector fresnel zone plate |
JP2546350B2 (ja) | 1988-09-09 | 1996-10-23 | キヤノン株式会社 | 位置合わせ装置 |
JPH0292603A (ja) | 1988-09-30 | 1990-04-03 | Hoya Corp | 案内溝付き情報記録用基板の製造方法 |
US5876550A (en) * | 1988-10-05 | 1999-03-02 | Helisys, Inc. | Laminated object manufacturing apparatus and method |
US5171490A (en) | 1988-11-29 | 1992-12-15 | Fudim Efrem V | Method and apparatus for production of three-dimensional objects by irradiation of photopolymers |
US4964945A (en) | 1988-12-09 | 1990-10-23 | Minnesota Mining And Manufacturing Company | Lift off patterning process on a flexible substrate |
US5439766A (en) | 1988-12-30 | 1995-08-08 | International Business Machines Corporation | Composition for photo imaging |
CA2010169A1 (en) | 1989-02-21 | 1990-08-21 | Masakazu Uekita | Multi-layer resist |
US4999280A (en) | 1989-03-17 | 1991-03-12 | International Business Machines Corporation | Spray silylation of photoresist images |
US5169494A (en) | 1989-03-27 | 1992-12-08 | Matsushita Electric Industrial Co., Ltd. | Fine pattern forming method |
JP3001607B2 (ja) | 1989-04-24 | 2000-01-24 | シーメンス、アクチエンゲゼルシヤフト | 二層法における寸法安定な構造転写方法 |
EP0394741B1 (de) | 1989-04-24 | 1997-06-25 | Siemens Aktiengesellschaft | Verfahren zur Erzeugung ätzresistenter Strukturen |
US5110514A (en) | 1989-05-01 | 1992-05-05 | Soane Technologies, Inc. | Controlled casting of a shrinkable material |
US5053318A (en) | 1989-05-18 | 1991-10-01 | Shipley Company Inc. | Plasma processing with metal mask integration |
CA2011927C (en) | 1989-06-02 | 1996-12-24 | Alan Lee Sidman | Microlithographic method for producing thick, vertically-walled photoresist patterns |
US4919748A (en) | 1989-06-30 | 1990-04-24 | At&T Bell Laboratories | Method for tapered etching |
JP2704001B2 (ja) * | 1989-07-18 | 1998-01-26 | キヤノン株式会社 | 位置検出装置 |
DE4031637C2 (de) * | 1989-10-06 | 1997-04-10 | Toshiba Kawasaki Kk | Anordnung zum Messen einer Verschiebung zwischen zwei Objekten |
US5362606A (en) | 1989-10-18 | 1994-11-08 | Massachusetts Institute Of Technology | Positive resist pattern formation through focused ion beam exposure and surface barrier silylation |
US5139925A (en) | 1989-10-18 | 1992-08-18 | Massachusetts Institute Of Technology | Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser |
US5505349A (en) | 1990-02-09 | 1996-04-09 | Berg Company, A Division Of Dec International, Inc. | Electronic dispensing heads |
JP3197010B2 (ja) * | 1990-03-05 | 2001-08-13 | 株式会社東芝 | 間隔設定方法及び間隔設定装置 |
US5073230A (en) | 1990-04-17 | 1991-12-17 | Arizona Board Of Regents Acting On Behalf Of Arizona State University | Means and methods of lifting and relocating an epitaxial device layer |
US5328810A (en) | 1990-05-07 | 1994-07-12 | Micron Technology, Inc. | Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process |
JP2586692B2 (ja) | 1990-05-24 | 1997-03-05 | 松下電器産業株式会社 | パターン形成材料およびパターン形成方法 |
JP2524436B2 (ja) | 1990-09-18 | 1996-08-14 | インターナショナル・ビジネス・マシーンズ・コーポレイション | 表面処理方法 |
DE4029912A1 (de) | 1990-09-21 | 1992-03-26 | Philips Patentverwaltung | Verfahren zur bildung mindestens eines grabens in einer substratschicht |
US5314772A (en) | 1990-10-09 | 1994-05-24 | Arizona Board Of Regents | High resolution, multi-layer resist for microlithography and method therefor |
US5126006A (en) | 1990-10-30 | 1992-06-30 | International Business Machines Corp. | Plural level chip masking |
US5072126A (en) | 1990-10-31 | 1991-12-10 | International Business Machines Corporation | Promixity alignment using polarized illumination and double conjugate projection lens |
JP2796899B2 (ja) | 1991-02-16 | 1998-09-10 | 住友重機械工業株式会社 | 色収差2重焦点装置における帯域光および複色光照明方法 |
DE4108539A1 (de) | 1991-03-15 | 1992-09-17 | Hoesch Metall & Kunststoffwerk | Verfahren zur desinfektion von rohrleitungssystemen sanitaerer anlagen und whirlpool-anlagen zur durchfuehrung des verfahrens |
US5155749A (en) * | 1991-03-28 | 1992-10-13 | International Business Machines Corporation | Variable magnification mask for X-ray lithography |
US5240878A (en) | 1991-04-26 | 1993-08-31 | International Business Machines Corporation | Method for forming patterned films on a substrate |
US5212147A (en) | 1991-05-15 | 1993-05-18 | Hewlett-Packard Company | Method of forming a patterned in-situ high Tc superconductive film |
US5206983A (en) | 1991-06-24 | 1993-05-04 | Wisconsin Alumni Research Foundation | Method of manufacturing micromechanical devices |
US5421981A (en) | 1991-06-26 | 1995-06-06 | Ppg Industries, Inc. | Electrochemical sensor storage device |
EP0524759A1 (en) | 1991-07-23 | 1993-01-27 | AT&T Corp. | Device fabrication process |
US5242711A (en) | 1991-08-16 | 1993-09-07 | Rockwell International Corp. | Nucleation control of diamond films by microlithographic patterning |
US5563702A (en) | 1991-08-22 | 1996-10-08 | Kla Instruments Corporation | Automated photomask inspection apparatus and method |
JPH0553289A (ja) * | 1991-08-22 | 1993-03-05 | Nec Corp | 位相シフトレチクルの製造方法 |
US5357122A (en) | 1991-09-05 | 1994-10-18 | Sony Corporation | Three-dimensional optical-electronic integrated circuit device with raised sections |
US5317386A (en) | 1991-09-06 | 1994-05-31 | Eastman Kodak Company | Optical monitor for measuring a gap between two rollers |
JPH0580530A (ja) | 1991-09-24 | 1993-04-02 | Hitachi Ltd | 薄膜パターン製造方法 |
EP0534720B1 (en) * | 1991-09-24 | 1998-05-27 | Raphael L. Levien | Register marks |
US5277749A (en) | 1991-10-17 | 1994-01-11 | International Business Machines Corporation | Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps |
US5263073A (en) * | 1991-12-20 | 1993-11-16 | Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College | Scanning systems for high resolution E-beam and X-ray lithography |
JP3074579B2 (ja) * | 1992-01-31 | 2000-08-07 | キヤノン株式会社 | 位置ずれ補正方法 |
US5204739A (en) | 1992-02-07 | 1993-04-20 | Karl Suss America, Inc. | Proximity mask alignment using a stored video image |
US5244818A (en) | 1992-04-08 | 1993-09-14 | Georgia Tech Research Corporation | Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits |
US5545367A (en) | 1992-04-15 | 1996-08-13 | Soane Technologies, Inc. | Rapid prototype three dimensional stereolithography |
DE59310332D1 (de) | 1992-04-28 | 2003-04-03 | Sika Schweiz Ag | Härtungsmittel für wässrige Epoxidharzdispersionen, Verfahren zu dessen Herstellung und dessen Verwendung |
EP0568478A1 (en) | 1992-04-29 | 1993-11-03 | International Business Machines Corporation | Darkfield alignment system using a confocal spatial filter |
US5731981A (en) * | 1992-06-08 | 1998-03-24 | Azbar, Inc. | Beverage dispensing system for bar |
US5376810A (en) | 1992-06-26 | 1994-12-27 | California Institute Of Technology | Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response |
US5601641A (en) * | 1992-07-21 | 1997-02-11 | Tse Industries, Inc. | Mold release composition with polybutadiene and method of coating a mold core |
US5431777A (en) | 1992-09-17 | 1995-07-11 | International Business Machines Corporation | Methods and compositions for the selective etching of silicon |
TW227628B (ko) | 1992-12-10 | 1994-08-01 | Samsung Electronics Co Ltd | |
JP2821073B2 (ja) | 1992-12-18 | 1998-11-05 | 松下電器産業株式会社 | ギャップ制御装置及びギャップ制御方法 |
JPH06183561A (ja) * | 1992-12-18 | 1994-07-05 | Canon Inc | 移動ステージ装置 |
US6153886A (en) * | 1993-02-19 | 2000-11-28 | Nikon Corporation | Alignment apparatus in projection exposure apparatus |
DE69405451T2 (de) | 1993-03-16 | 1998-03-12 | Koninkl Philips Electronics Nv | Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche |
US5348616A (en) | 1993-05-03 | 1994-09-20 | Motorola, Inc. | Method for patterning a mold |
US5884292A (en) * | 1993-05-06 | 1999-03-16 | Pitney Bowes Inc. | System for smart card funds refill |
US5380474A (en) | 1993-05-20 | 1995-01-10 | Sandia Corporation | Methods for patterned deposition on a substrate |
US5414514A (en) | 1993-06-01 | 1995-05-09 | Massachusetts Institute Of Technology | On-axis interferometric alignment of plates using the spatial phase of interference patterns |
US5324683A (en) | 1993-06-02 | 1994-06-28 | Motorola, Inc. | Method of forming a semiconductor structure having an air region |
JP2837063B2 (ja) | 1993-06-04 | 1998-12-14 | シャープ株式会社 | レジストパターンの形成方法 |
JP3325351B2 (ja) | 1993-08-18 | 2002-09-17 | 株式会社東芝 | 半導体装置 |
US6776094B1 (en) | 1993-10-04 | 2004-08-17 | President & Fellows Of Harvard College | Kit For Microcontact Printing |
US5776748A (en) * | 1993-10-04 | 1998-07-07 | President And Fellows Of Harvard College | Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor |
US5512131A (en) | 1993-10-04 | 1996-04-30 | President And Fellows Of Harvard College | Formation of microstamped patterns on surfaces and derivative articles |
KR970009858B1 (ko) | 1994-01-12 | 1997-06-18 | 엘지반도체 주식회사 | 다층 레지스트 패턴 형성방법 |
KR0157279B1 (ko) * | 1994-03-15 | 1999-05-01 | 모리시타 요이찌 | 노광방법 |
US5417802A (en) | 1994-03-18 | 1995-05-23 | At&T Corp. | Integrated circuit manufacturing |
US5453157A (en) | 1994-05-16 | 1995-09-26 | Texas Instruments Incorporated | Low temperature anisotropic ashing of resist for semiconductor fabrication |
US5670415A (en) | 1994-05-24 | 1997-09-23 | Depositech, Inc. | Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment |
US5425964A (en) | 1994-07-22 | 1995-06-20 | Rockwell International Corporation | Deposition of multiple layer thin films using a broadband spectral monitor |
US5515167A (en) | 1994-09-13 | 1996-05-07 | Hughes Aircraft Company | Transparent optical chuck incorporating optical monitoring |
US5477058A (en) | 1994-11-09 | 1995-12-19 | Kabushiki Kaisha Toshiba | Attenuated phase-shifting mask with opaque reticle alignment marks |
US5458520A (en) | 1994-12-13 | 1995-10-17 | International Business Machines Corporation | Method for producing planar field emission structure |
US6034378A (en) * | 1995-02-01 | 2000-03-07 | Nikon Corporation | Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus |
US5849209A (en) * | 1995-03-31 | 1998-12-15 | Johnson & Johnson Vision Products, Inc. | Mold material made with additives |
US5743998A (en) * | 1995-04-19 | 1998-04-28 | Park Scientific Instruments | Process for transferring microminiature patterns using spin-on glass resist media |
US5820769A (en) * | 1995-05-24 | 1998-10-13 | Regents Of The University Of Minnesota | Method for making magnetic storage having discrete elements with quantized magnetic moments |
US5948570A (en) | 1995-05-26 | 1999-09-07 | Lucent Technologies Inc. | Process for dry lithographic etching |
US5808742A (en) * | 1995-05-31 | 1998-09-15 | Massachusetts Institute Of Technology | Optical alignment apparatus having multiple parallel alignment marks |
US5654238A (en) * | 1995-08-03 | 1997-08-05 | International Business Machines Corporation | Method for etching vertical contact holes without substrate damage caused by directional etching |
WO1997007429A1 (en) * | 1995-08-18 | 1997-02-27 | President And Fellows Of Harvard College | Self-assembled monolayer directed patterning of surfaces |
JPH0963929A (ja) * | 1995-08-25 | 1997-03-07 | Nippon Telegr & Teleph Corp <Ntt> | X線露光装置およびそれを用いたx線露光方法 |
JPH0988506A (ja) | 1995-09-21 | 1997-03-31 | Ngk Insulators Ltd | ハイブリッド型ガスタービン動翼用のブレード及びタービンディスク並びにこれらからなるハイブリッド型ガスタービン動翼 |
US5849222A (en) * | 1995-09-29 | 1998-12-15 | Johnson & Johnson Vision Products, Inc. | Method for reducing lens hole defects in production of contact lens blanks |
US6482742B1 (en) * | 2000-07-18 | 2002-11-19 | Stephen Y. Chou | Fluid pressure imprint lithography |
US6309580B1 (en) * | 1995-11-15 | 2001-10-30 | Regents Of The University Of Minnesota | Release surfaces, particularly for use in nanoimprint lithography |
US6518189B1 (en) * | 1995-11-15 | 2003-02-11 | Regents Of The University Of Minnesota | Method and apparatus for high density nanostructures |
US20040036201A1 (en) * | 2000-07-18 | 2004-02-26 | Princeton University | Methods and apparatus of field-induced pressure imprint lithography |
US5772905A (en) * | 1995-11-15 | 1998-06-30 | Regents Of The University Of Minnesota | Nanoimprint lithography |
US20040137734A1 (en) * | 1995-11-15 | 2004-07-15 | Princeton University | Compositions and processes for nanoimprinting |
US7758794B2 (en) * | 2001-10-29 | 2010-07-20 | Princeton University | Method of making an article comprising nanoscale patterns with reduced edge roughness |
US5669303A (en) * | 1996-03-04 | 1997-09-23 | Motorola | Apparatus and method for stamping a surface |
US5725788A (en) * | 1996-03-04 | 1998-03-10 | Motorola | Apparatus and method for patterning a surface |
US6355198B1 (en) * | 1996-03-15 | 2002-03-12 | President And Fellows Of Harvard College | Method of forming articles including waveguides via capillary micromolding and microtransfer molding |
US20030179354A1 (en) | 1996-03-22 | 2003-09-25 | Nikon Corporation | Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method |
JPH09283621A (ja) | 1996-04-10 | 1997-10-31 | Murata Mfg Co Ltd | 半導体装置のt型ゲート電極形成方法およびその構造 |
US5802914A (en) * | 1996-05-30 | 1998-09-08 | Eastman Kodak Company | Alignment mechanism using flexures |
US6753131B1 (en) * | 1996-07-22 | 2004-06-22 | President And Fellows Of Harvard College | Transparent elastomeric, contact-mode photolithography mask, sensor, and wavefront engineering element |
US5717518A (en) * | 1996-07-22 | 1998-02-10 | Kla Instruments Corporation | Broad spectrum ultraviolet catadioptric imaging system |
US6036055A (en) * | 1996-11-12 | 2000-03-14 | Barmate Corporation | Wireless liquid portion and inventory control system |
JPH10172897A (ja) * | 1996-12-05 | 1998-06-26 | Nikon Corp | 基板アダプタ,基板保持装置及び基板保持方法 |
US5895263A (en) * | 1996-12-19 | 1999-04-20 | International Business Machines Corporation | Process for manufacture of integrated circuit device |
US5757160A (en) * | 1996-12-23 | 1998-05-26 | Svg Lithography Systems, Inc. | Moving interferometer wafer stage |
US20040022666A1 (en) * | 1998-06-30 | 2004-02-05 | Invitrogen Corporation | Methods for reducing adventitious agents and toxins and cell culture reagents produced thereby |
US6049373A (en) * | 1997-02-28 | 2000-04-11 | Sumitomo Heavy Industries, Ltd. | Position detection technique applied to proximity exposure |
US6051179A (en) * | 1997-03-19 | 2000-04-18 | Replicator Systems, Inc. | Apparatus and method for production of three-dimensional models by spatial light modulator |
JP3296239B2 (ja) * | 1997-03-27 | 2002-06-24 | ウシオ電機株式会社 | 間隙設定機構を備えたプロキシミティ露光装置 |
US5948470A (en) * | 1997-04-28 | 1999-09-07 | Harrison; Christopher | Method of nanoscale patterning and products made thereby |
US5948219A (en) | 1997-05-07 | 1999-09-07 | Advanced Micro Devices, Inc. | Apparatus for selectively exposing a semiconductor topography to an electric field |
US5926690A (en) * | 1997-05-28 | 1999-07-20 | Advanced Micro Devices, Inc. | Run-to-run control process for controlling critical dimensions |
US6033977A (en) | 1997-06-30 | 2000-03-07 | Siemens Aktiengesellschaft | Dual damascene structure |
AU3818997A (en) | 1997-07-25 | 1999-02-16 | Regents Of The University Of Minnesota | Single-electron floating-gate mos memory |
US5988859A (en) * | 1997-07-30 | 1999-11-23 | Kirk; Lester C. | Apparatus for dispensing valuable bulk commodities and method therefor |
US6069655A (en) | 1997-08-01 | 2000-05-30 | Wells Fargo Alarm Services, Inc. | Advanced video security system |
JPH11126401A (ja) | 1997-10-20 | 1999-05-11 | Sony Corp | 記録再生装置 |
US5937758A (en) * | 1997-11-26 | 1999-08-17 | Motorola, Inc. | Micro-contact printing stamp |
US6539286B1 (en) * | 1998-01-26 | 2003-03-25 | Micron Technology, Inc. | Fluid level sensor |
US6117708A (en) * | 1998-02-05 | 2000-09-12 | Micron Technology, Inc. | Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device |
JP4498601B2 (ja) * | 1998-03-05 | 2010-07-07 | オブデュキャット、アクチボラグ | エッチング方法 |
SE514520C2 (sv) * | 1998-03-05 | 2001-03-05 | Etchtech Sweden Ab | Mönsterkort, substrat eller halvledarbricka med en ledare med etsad ytstruktur |
US6150680A (en) | 1998-03-05 | 2000-11-21 | Welch Allyn, Inc. | Field effect semiconductor device having dipole barrier |
TW352421B (en) * | 1998-04-27 | 1999-02-11 | United Microelectronics Corp | Method and process of phase shifting mask |
US6239590B1 (en) * | 1998-05-26 | 2001-05-29 | Micron Technology, Inc. | Calibration target for calibrating semiconductor wafer test systems |
JP3780700B2 (ja) | 1998-05-26 | 2006-05-31 | セイコーエプソン株式会社 | パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法 |
US6150231A (en) | 1998-06-15 | 2000-11-21 | Siemens Aktiengesellschaft | Overlay measurement technique using moire patterns |
US5907782A (en) * | 1998-08-15 | 1999-05-25 | Acer Semiconductor Manufacturing Inc. | Method of forming a multiple fin-pillar capacitor for a high density dram cell |
US6096655A (en) | 1998-09-02 | 2000-08-01 | International Business Machines, Corporation | Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure |
US6713238B1 (en) * | 1998-10-09 | 2004-03-30 | Stephen Y. Chou | Microscale patterning and articles formed thereby |
WO2000021689A1 (en) | 1998-10-09 | 2000-04-20 | The Trustees Of Princeton University | Microscale patterning and articles formed thereby |
US6218316B1 (en) | 1998-10-22 | 2001-04-17 | Micron Technology, Inc. | Planarization of non-planar surfaces in device fabrication |
US6437891B1 (en) * | 1998-10-27 | 2002-08-20 | Agere Systems Guardian Corp. | Integrated dual-wavelength transceiver |
US6248486B1 (en) * | 1998-11-23 | 2001-06-19 | U.S. Philips Corporation | Method of detecting aberrations of an optical imaging system |
JP4846888B2 (ja) * | 1998-12-01 | 2011-12-28 | キヤノン株式会社 | 位置合わせ方法 |
US6388755B1 (en) * | 1998-12-03 | 2002-05-14 | Advanced Optical Technologies, Inc. | Wireless position and orientation detecting system |
US6251207B1 (en) * | 1998-12-31 | 2001-06-26 | Kimberly-Clark Worldwide, Inc. | Embossing and laminating irregular bonding patterns |
US6387797B1 (en) * | 1999-01-20 | 2002-05-14 | Philips Electronics No. America Corp. | Method for reducing the capacitance between interconnects by forming voids in dielectric material |
US6274294B1 (en) | 1999-02-03 | 2001-08-14 | Electroformed Stents, Inc. | Cylindrical photolithography exposure process and apparatus |
US6565928B2 (en) * | 1999-03-08 | 2003-05-20 | Tokyo Electron Limited | Film forming method and film forming apparatus |
US6334960B1 (en) * | 1999-03-11 | 2002-01-01 | Board Of Regents, The University Of Texas System | Step and flash imprint lithography |
US6569481B1 (en) * | 1999-03-29 | 2003-05-27 | The Quaker Oats Company | Method for making a puffed food starch product |
JP4286374B2 (ja) | 1999-03-30 | 2009-06-24 | 新日鐵化学株式会社 | シリコーン樹脂及びこれを含有する感光性樹脂組成物 |
JP4151151B2 (ja) * | 1999-04-06 | 2008-09-17 | 松下電器産業株式会社 | ダイボンディング用のペースト塗布装置およびペースト塗布方法 |
US6387783B1 (en) * | 1999-04-26 | 2002-05-14 | International Business Machines Corporation | Methods of T-gate fabrication using a hybrid resist |
JP2000309037A (ja) * | 1999-04-27 | 2000-11-07 | Sodick Co Ltd | 射出成形機の金型取付装置 |
JP2000323461A (ja) * | 1999-05-11 | 2000-11-24 | Nec Corp | 微細パターン形成装置、その製造方法、および形成方法 |
AU773080B2 (en) * | 1999-05-20 | 2004-05-13 | Lancer Partnership, Ltd. | A beverage dispenser including an improved electronic control system |
US6522411B1 (en) * | 1999-05-25 | 2003-02-18 | Massachusetts Institute Of Technology | Optical gap measuring apparatus and method having two-dimensional grating mark with chirp in one direction |
US6255022B1 (en) * | 1999-06-17 | 2001-07-03 | Taiwan Semiconductor Manufacturing Company | Dry development process for a bi-layer resist system utilized to reduce microloading |
EP1065567A3 (en) | 1999-06-29 | 2001-05-16 | Applied Materials, Inc. | Integrated critical dimension control |
US6190929B1 (en) * | 1999-07-23 | 2001-02-20 | Micron Technology, Inc. | Methods of forming semiconductor devices and methods of forming field emission displays |
EP1072954A3 (en) * | 1999-07-28 | 2002-05-22 | Lucent Technologies Inc. | Lithographic process for device fabrication |
US6383928B1 (en) | 1999-09-02 | 2002-05-07 | Texas Instruments Incorporated | Post copper CMP clean |
JP3507374B2 (ja) * | 1999-09-03 | 2004-03-15 | キヤノン株式会社 | 二次元位相素子の作製方法 |
US6517995B1 (en) * | 1999-09-14 | 2003-02-11 | Massachusetts Institute Of Technology | Fabrication of finely featured devices by liquid embossing |
JP4091222B2 (ja) * | 1999-09-16 | 2008-05-28 | 株式会社東芝 | 加工装置 |
US6329256B1 (en) | 1999-09-24 | 2001-12-11 | Advanced Micro Devices, Inc. | Self-aligned damascene gate formation with low gate resistance |
US6873087B1 (en) | 1999-10-29 | 2005-03-29 | Board Of Regents, The University Of Texas System | High precision orientation alignment and gap control stages for imprint lithography processes |
DE19958966A1 (de) * | 1999-12-07 | 2001-06-13 | Infineon Technologies Ag | Erzeugung von Resiststrukturen |
JP3774739B2 (ja) * | 1999-12-23 | 2006-05-17 | ウニヴェルジテート コンスタンツ | フィルム上にサブミクロンパターンを形成するための方法及び装置 |
US6498640B1 (en) * | 1999-12-30 | 2002-12-24 | Koninklijke Philips Electronics N.V. | Method to measure alignment using latent image grating structures |
US6337262B1 (en) * | 2000-03-06 | 2002-01-08 | Chartered Semiconductor Manufacturing Ltd. | Self aligned T-top gate process integration |
JP2001277200A (ja) * | 2000-03-30 | 2001-10-09 | Toshiba Corp | 微細加工装置 |
JP2001287200A (ja) * | 2000-04-07 | 2001-10-16 | Toshiba Corp | スタンプ装置、スタンプ方法および原盤作製方法 |
US6245581B1 (en) | 2000-04-19 | 2001-06-12 | Advanced Micro Devices, Inc. | Method and apparatus for control of critical dimension using feedback etch control |
KR100862301B1 (ko) * | 2000-07-16 | 2008-10-13 | 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 | 임프린트 리소그래피를 위한 고분해능 오버레이 정렬 방법 및 시스템 |
US7635262B2 (en) * | 2000-07-18 | 2009-12-22 | Princeton University | Lithographic apparatus for fluid pressure imprint lithography |
US7211214B2 (en) * | 2000-07-18 | 2007-05-01 | Princeton University | Laser assisted direct imprint lithography |
US20050037143A1 (en) * | 2000-07-18 | 2005-02-17 | Chou Stephen Y. | Imprint lithography with improved monitoring and control and apparatus therefor |
JP2004505273A (ja) * | 2000-08-01 | 2004-02-19 | ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム | 転写リソグラフィのための透明テンプレートと基板の間のギャップおよび配向を高精度でセンシングするための方法 |
US6326627B1 (en) | 2000-08-02 | 2001-12-04 | Archimedes Technology Group, Inc. | Mass filtering sputtered ion source |
US6730256B1 (en) | 2000-08-04 | 2004-05-04 | Massachusetts Institute Of Technology | Stereolithographic patterning with interlayer surface modifications |
US6777170B1 (en) | 2000-08-04 | 2004-08-17 | Massachusetts Institute Of Technology | Stereolithographic patterning by variable dose light delivery |
US8016277B2 (en) * | 2000-08-21 | 2011-09-13 | Board Of Regents, The University Of Texas System | Flexure based macro motion translation stage |
US6451705B1 (en) * | 2000-08-31 | 2002-09-17 | Micron Technology, Inc. | Self-aligned PECVD etch mask |
US6455411B1 (en) | 2000-09-11 | 2002-09-24 | Texas Instruments Incorporated | Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics |
US6718630B2 (en) * | 2000-09-18 | 2004-04-13 | Matsushita Electric Industrial Co., Ltd. | Apparatus and method for mounting components on substrate |
CN100365507C (zh) | 2000-10-12 | 2008-01-30 | 德克萨斯州大学系统董事会 | 用于室温下低压微刻痕和毫微刻痕光刻的模板 |
EP1405336A2 (en) | 2000-12-04 | 2004-04-07 | Ebara Corporation | Substrate processing method |
US6632742B2 (en) | 2001-04-18 | 2003-10-14 | Promos Technologies Inc. | Method for avoiding defects produced in the CMP process |
US6783719B2 (en) * | 2001-01-19 | 2004-08-31 | Korry Electronics, Co. | Mold with metal oxide surface compatible with ionic release agents |
US6489068B1 (en) * | 2001-02-21 | 2002-12-03 | Advanced Micro Devices, Inc. | Process for observing overlay errors on lithographic masks |
US6387787B1 (en) * | 2001-03-02 | 2002-05-14 | Motorola, Inc. | Lithographic template and method of formation and use |
US6517977B2 (en) * | 2001-03-28 | 2003-02-11 | Motorola, Inc. | Lithographic template and method of formation and use |
US6791669B2 (en) * | 2001-04-12 | 2004-09-14 | Nikon Corporation | Positioning device and exposure apparatus including the same |
DE10119531A1 (de) | 2001-04-12 | 2002-10-24 | Siemens Ag | Mobile Rechnereinheit |
US6383888B1 (en) * | 2001-04-18 | 2002-05-07 | Advanced Micro Devices, Inc. | Method and apparatus for selecting wafer alignment marks based on film thickness variation |
US6541360B1 (en) * | 2001-04-30 | 2003-04-01 | Advanced Micro Devices, Inc. | Bi-layer trim etch process to form integrated circuit gate structures |
US6534418B1 (en) * | 2001-04-30 | 2003-03-18 | Advanced Micro Devices, Inc. | Use of silicon containing imaging layer to define sub-resolution gate structures |
US6964793B2 (en) | 2002-05-16 | 2005-11-15 | Board Of Regents, The University Of Texas System | Method for fabricating nanoscale patterns in light curable compositions using an electric field |
US6847433B2 (en) * | 2001-06-01 | 2005-01-25 | Agere Systems, Inc. | Holder, system, and process for improving overlay in lithography |
TW488080B (en) | 2001-06-08 | 2002-05-21 | Au Optronics Corp | Method for producing thin film transistor |
US6561706B2 (en) | 2001-06-28 | 2003-05-13 | Advanced Micro Devices, Inc. | Critical dimension monitoring from latent image |
US20050064344A1 (en) * | 2003-09-18 | 2005-03-24 | University Of Texas System Board Of Regents | Imprint lithography templates having alignment marks |
AU2002337653A1 (en) * | 2001-07-25 | 2003-02-17 | The Trustees Of Princeton University | Nanochannel arrays and their preparation and use for high throughput macromolecular analysis |
CN100347608C (zh) * | 2001-09-25 | 2007-11-07 | 米卢塔技术株式会社 | 利用毛细作用力在基体上形成微型图案的方法 |
US20030080472A1 (en) * | 2001-10-29 | 2003-05-01 | Chou Stephen Y. | Lithographic method with bonded release layer for molding small patterns |
US6716767B2 (en) | 2001-10-31 | 2004-04-06 | Brewer Science, Inc. | Contact planarization materials that generate no volatile byproducts or residue during curing |
US6890688B2 (en) | 2001-12-18 | 2005-05-10 | Freescale Semiconductor, Inc. | Lithographic template and method of formation and use |
US6737202B2 (en) | 2002-02-22 | 2004-05-18 | Motorola, Inc. | Method of fabricating a tiered structure using a multi-layered resist stack and use |
US7455955B2 (en) | 2002-02-27 | 2008-11-25 | Brewer Science Inc. | Planarization method for multi-layer lithography processing |
US6716754B2 (en) * | 2002-03-12 | 2004-04-06 | Micron Technology, Inc. | Methods of forming patterns and molds for semiconductor constructions |
US20040112881A1 (en) | 2002-04-11 | 2004-06-17 | Bloemeke Stephen Roger | Circle laser trepanning |
AU2003269813A1 (en) * | 2002-04-16 | 2003-12-31 | Princeton University | Gradient structures interfacing microfluidics and nanofluidics, methods for fabrication and uses thereof |
US6881366B2 (en) * | 2002-04-22 | 2005-04-19 | International Business Machines Corporation | Process of fabricating a precision microcontact printing stamp |
US6743713B2 (en) | 2002-05-15 | 2004-06-01 | Institute Of Microelectronics | Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC) |
US6849558B2 (en) * | 2002-05-22 | 2005-02-01 | The Board Of Trustees Of The Leland Stanford Junior University | Replication and transfer of microstructures and nanostructures |
US7179079B2 (en) * | 2002-07-08 | 2007-02-20 | Molecular Imprints, Inc. | Conforming template for patterning liquids disposed on substrates |
US6932934B2 (en) | 2002-07-11 | 2005-08-23 | Molecular Imprints, Inc. | Formation of discontinuous films during an imprint lithography process |
US6900881B2 (en) * | 2002-07-11 | 2005-05-31 | Molecular Imprints, Inc. | Step and repeat imprint lithography systems |
US6908861B2 (en) * | 2002-07-11 | 2005-06-21 | Molecular Imprints, Inc. | Method for imprint lithography using an electric field |
US7019819B2 (en) | 2002-11-13 | 2006-03-28 | Molecular Imprints, Inc. | Chucking system for modulating shapes of substrates |
US7077992B2 (en) * | 2002-07-11 | 2006-07-18 | Molecular Imprints, Inc. | Step and repeat imprint lithography processes |
US7070405B2 (en) | 2002-08-01 | 2006-07-04 | Molecular Imprints, Inc. | Alignment systems for imprint lithography |
US7027156B2 (en) | 2002-08-01 | 2006-04-11 | Molecular Imprints, Inc. | Scatterometry alignment for imprint lithography |
US6916584B2 (en) | 2002-08-01 | 2005-07-12 | Molecular Imprints, Inc. | Alignment methods for imprint lithography |
US6665119B1 (en) * | 2002-10-15 | 2003-12-16 | Eastman Kodak Company | Wire grid polarizer |
US6770410B2 (en) * | 2002-10-31 | 2004-08-03 | Xerox Corporation | Imaging member |
KR100492800B1 (ko) * | 2002-11-12 | 2005-06-07 | 주식회사 하이닉스반도체 | 불휘발성 강유전체 메모리 제어 장치 |
US6980282B2 (en) * | 2002-12-11 | 2005-12-27 | Molecular Imprints, Inc. | Method for modulating shapes of substrates |
US6929762B2 (en) * | 2002-11-13 | 2005-08-16 | Molecular Imprints, Inc. | Method of reducing pattern distortions during imprint lithography processes |
US7750059B2 (en) * | 2002-12-04 | 2010-07-06 | Hewlett-Packard Development Company, L.P. | Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure |
DE60225569T2 (de) * | 2002-12-06 | 2009-09-03 | Alstom Technology Ltd. | Verfahren zur örtlichen Abscheidung einer MCrAlY - Beschichtung |
US20040158586A1 (en) * | 2003-02-10 | 2004-08-12 | Mingtar Tsai | Method and system of using shared file for data collaboration |
US6770852B1 (en) | 2003-02-27 | 2004-08-03 | Lam Research Corporation | Critical dimension variation compensation across a wafer by means of local wafer temperature control |
WO2004086471A1 (en) * | 2003-03-27 | 2004-10-07 | Korea Institute Of Machinery & Materials | Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization |
JP2004296921A (ja) * | 2003-03-27 | 2004-10-21 | Canon Inc | 位置検出装置 |
TWI228638B (en) * | 2003-06-10 | 2005-03-01 | Ind Tech Res Inst | Method for and apparatus for bonding patterned imprint to a substrate by adhering means |
US7136150B2 (en) * | 2003-09-25 | 2006-11-14 | Molecular Imprints, Inc. | Imprint lithography template having opaque alignment marks |
US20050084804A1 (en) * | 2003-10-16 | 2005-04-21 | Molecular Imprints, Inc. | Low surface energy templates |
US7122482B2 (en) * | 2003-10-27 | 2006-10-17 | Molecular Imprints, Inc. | Methods for fabricating patterned features utilizing imprint lithography |
US20050098534A1 (en) * | 2003-11-12 | 2005-05-12 | Molecular Imprints, Inc. | Formation of conductive templates employing indium tin oxide |
-
2001
- 2001-07-16 KR KR1020037000632A patent/KR100862301B1/ko active IP Right Grant
- 2001-07-16 US US09/907,512 patent/US6921615B2/en not_active Expired - Lifetime
- 2001-07-16 EP EP20100183242 patent/EP2264524A3/en not_active Withdrawn
- 2001-07-16 SG SG200500146-6A patent/SG142150A1/en unknown
- 2001-07-16 EP EP20100181839 patent/EP2264522A3/en not_active Withdrawn
- 2001-07-16 EP EP20100182709 patent/EP2264523A3/en not_active Withdrawn
- 2001-07-16 CN CNB018155057A patent/CN100504598C/zh not_active Expired - Lifetime
- 2001-07-16 AU AU2001273491A patent/AU2001273491A1/en not_active Abandoned
- 2001-07-16 JP JP2002514472A patent/JP4511786B2/ja not_active Expired - Lifetime
- 2001-07-16 WO PCT/US2001/022317 patent/WO2002008835A2/en active Application Filing
- 2001-07-16 EP EP01952771A patent/EP1303792B1/en not_active Expired - Lifetime
-
2003
- 2003-05-27 US US10/445,863 patent/US6919152B2/en not_active Expired - Lifetime
- 2003-05-27 US US10/446,192 patent/US6916585B2/en not_active Expired - Lifetime
- 2003-12-29 US US10/747,795 patent/US6842229B2/en not_active Expired - Lifetime
-
2004
- 2004-02-18 US US10/781,278 patent/US20040163563A1/en not_active Abandoned
- 2004-03-22 US US10/805,916 patent/US7186483B2/en not_active Expired - Fee Related
- 2004-04-05 US US10/818,099 patent/US6986975B2/en not_active Expired - Lifetime
- 2004-05-11 US US10/843,195 patent/US6902853B2/en not_active Expired - Fee Related
- 2004-06-09 US US10/864,214 patent/US7303383B1/en not_active Expired - Fee Related
-
2009
- 2009-12-28 JP JP2009297647A patent/JP4601712B2/ja not_active Expired - Lifetime
- 2009-12-28 JP JP2009297519A patent/JP4512167B2/ja not_active Expired - Lifetime
- 2009-12-28 JP JP2009297623A patent/JP4512168B2/ja not_active Expired - Lifetime
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101340922B1 (ko) * | 2005-12-01 | 2013-12-13 | 몰레큘러 임프린츠 인코퍼레이티드 | 고화된 임프린팅 재료로부터 몰드를 분리하는 기술 |
US8253941B2 (en) | 2006-05-09 | 2012-08-28 | Samsung Electronics Co., Ltd. | Apparatus for manufacturing display panel and method for manufacturing the same |
KR100790899B1 (ko) * | 2006-12-01 | 2008-01-03 | 삼성전자주식회사 | 얼라인 마크가 형성된 템플릿 및 그 제조 방법 |
KR100931603B1 (ko) * | 2007-05-10 | 2009-12-14 | 주식회사 에이디피엔지니어링 | 임프린트 공정 시스템 및 패턴형성방법 |
KR20180088527A (ko) * | 2010-12-17 | 2018-08-03 | 칼 짜이스 에스엠티 게엠베하 | 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 오류를 교정하기 위한 방법 및 장치 |
KR101435250B1 (ko) * | 2011-02-07 | 2014-08-28 | 캐논 가부시끼가이샤 | 형, 임프린트 방법 및 물품의 제조 방법 |
KR20140110545A (ko) * | 2013-03-08 | 2014-09-17 | 삼성전자주식회사 | 오버레이 계측 방법 |
US10001702B2 (en) | 2013-05-16 | 2018-06-19 | Canon Kabushiki Kaisha | Imprinting apparatus, device fabrication method, and imprinting method |
US9442370B2 (en) | 2013-05-27 | 2016-09-13 | Canon Kabushiki Kaisha | Imprinting method, imprinting apparatus, and device manufacturing method |
US9952504B2 (en) | 2013-06-28 | 2018-04-24 | Canon Kabushiki Kaisha | Imprint method, imprint apparatus, and method for manufacturing device |
KR20200080099A (ko) * | 2018-12-26 | 2020-07-06 | 삼성전자주식회사 | 웨이퍼의 접합 방법, 반도체 장치의 제조 방법, 및 이를 위한 장치 |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100862301B1 (ko) | 임프린트 리소그래피를 위한 고분해능 오버레이 정렬 방법 및 시스템 | |
KR101031528B1 (ko) | 실온 저압 마이크로- 및 나노- 임프린트 리소그래피용템플릿 | |
US9223202B2 (en) | Method of automatic fluid dispensing for imprint lithography processes | |
KR20030040378A (ko) | 임프린트 리소그래피를 위한 투명한 템플릿과 기판사이의고정확성 갭 및 방향설정 감지 방법 | |
US8016277B2 (en) | Flexure based macro motion translation stage |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20120924 Year of fee payment: 5 |
|
FPAY | Annual fee payment |
Payment date: 20130926 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20140923 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20150923 Year of fee payment: 8 |
|
FPAY | Annual fee payment |
Payment date: 20160922 Year of fee payment: 9 |
|
FPAY | Annual fee payment |
Payment date: 20170926 Year of fee payment: 10 |
|
FPAY | Annual fee payment |
Payment date: 20180919 Year of fee payment: 11 |
|
FPAY | Annual fee payment |
Payment date: 20190924 Year of fee payment: 12 |