JPH10335435A - 枚葉式ウエハ用化学気相堆積/エッチングプロセスチャンバのための石英ピンリフト - Google Patents

枚葉式ウエハ用化学気相堆積/エッチングプロセスチャンバのための石英ピンリフト

Info

Publication number
JPH10335435A
JPH10335435A JP10121230A JP12123098A JPH10335435A JP H10335435 A JPH10335435 A JP H10335435A JP 10121230 A JP10121230 A JP 10121230A JP 12123098 A JP12123098 A JP 12123098A JP H10335435 A JPH10335435 A JP H10335435A
Authority
JP
Japan
Prior art keywords
susceptor
wafer
support
wafer support
arms
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10121230A
Other languages
English (en)
Other versions
JP4401449B2 (ja
Inventor
Bunh Bui
ブイ ビン
Roger N Anderson
エヌ. アンダーソン ロジャー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10335435A publication Critical patent/JPH10335435A/ja
Application granted granted Critical
Publication of JP4401449B2 publication Critical patent/JP4401449B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Abstract

(57)【要約】 【課題】 ウエハ支持装置が提供される。 【解決手段】ウエハ支持装置は、ウエハの底面を支持す
るために配置された面を有するサセプタを含む。 サセ
プタは複数の案内用凹みを有する。 ウエハ支持装置は
リフトピン自身から延びる複数のピンを有するピンリフ
トを含む。複数のピンは、サセプタの複数の案内用凹み
を通り、ウエハの底面と係合するように構成されてい
る。 サセプタは、サセプタの面にほぼ垂直の方向に複
数のピンに対して動かされるように構成されている。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体製造プロセス
で利用される装置に関する。特に、本発明はウエハ支持
装置に関する。
【0002】
【従来の技術】半導体ウエハ上に集積回路構造が形成さ
れる過程においては、プロセスが発生される密閉チャン
バ内に配置された、加熱サセプタ或いはウエハ支持体の
使用を含む特定のプロセスが利用される。これらのプロ
セスのいくつかは、例えば、エピタキシャルシリコン層
の成長、シリコンの上の熱酸化物或いは熱窒化物層の形
成、すでにウエハの上に形成されている集積回路構造の
急速熱アニーリングなどを含む。通常は、サセプタ、サ
セプタ支持体或いはウエハ支持体等の装置が、下から水
平にウエハを支持するために使用されているであろう。
【0003】図1に、半導体ウエハ104を支持するた
めのアセンブリ100を示す。アセンブリ100は、半
導体ウエハ104が載置されて水平に支持されたサセプ
タ102を含む。サセプタ102は、通常サセプタ支持
体108によって支持され、サセプタ支持体108は、
互いに120°の角度に配置された方向に沿って外方に
延びる3つのサセプタ腕部109を有するシャフト11
6を含む。サセプタ支持体108は、更に3本の脚部1
10を含む。脚部110は各々、サセプタ腕部109の
対応する遠隔端(remote end)から上方へ延びており、
サセプタ102の底面と係合して、もってサセプタ10
2を支持している。更に、サセプタ支持体108は、シ
ャフト116から中央のサセプタ102まで上方へ延び
た中央の脚部117を含む。
【0004】サセプタ102は、3つの位置に形成され
た3つの貫通穴(through-hole)113を含み、その3
つは、ウエハ104の直径より小さい直径を有する円の
上に互いに120°の角度で配置されている。ウエハ1
04を支持する3本のリフトピン112が、ウエハ10
4を支持するために、アセンブリ100のサセプタ10
2の3つの穴113を通して取り付けられている。図1
は、アセンブリ100にウエハ104を搬入或いは除去
するために、ウエハ104とサセプタ102との間にロ
ボットアーム103が配置されることができるように、
ウエハ104がサセプタ102から取り外された位置で
リフトピン112によって支持されているウエハ104
を示している。ウエハ104とサセプタ102の間の変
位は、ウエハ104を同位置で固定させて維持している
最中に、サセプタ支持体108をウエハ104に関して
下方に動かすことによって形成されるであろう。
【0005】サセプタ102の3つの穴113は、徐々
に増加する直径でテーパ削りされた、サセプタ102の
上面に隣接して位置する上部を有しているであろう。徐
々に増加する直径でテーパ削りされた部分が、リフトピ
ン112の上の端部に同様に形成されている。このテー
パ削りされた部分は、ウエハ104がサセプタの上に直
接載置されたときにリフトピン112をサセプタの高さ
で止めるために、貫通穴113のテーパ削りされた部分
と一致するようになっており、それによって、リフトピ
ン112がサセプタを通って落ちることが防止されてい
る。
【0006】
【発明が解決しようとする課題】リフトピン112は、
通常、サセプタ102の貫通穴113及びサセプタ支持
腕部109の貫通穴115を通して降下されて上から取
り付けられる。リフトピン112の取り付けは、サセプ
タ102が不透明なグラファイトから作られており、ピ
ン112が貫通穴113を通して差し込まれた後、サセ
プタの上からサセプタ腕部109の貫通穴115を見る
ことが実際不可能にされるために、困難である。
【0007】通常、サセプタ102と上に載置されたウ
エハ104は、シールされた処理チャンバ(図示せず)
内に位置している。チャンバは、例えば二重のドーム形
のチャンバ(図示せず)であり、そこでこれらの装置
は、チャンバ内のサセプタの下に、上のウエハ104と
同様に対称的に配置された複数の加熱装置(加熱ラン
プ)によって加熱される。加熱ランプからの熱は、上に
載置されたウエハ104とともに、サセプタ102を加
熱するためにサセプタの裏側にドームを通して発散され
る。ウエハはまた、ウエハとサセプタの上に置かれた上
部ドームの上方に位置する加熱ランプの第2のセットに
よって加熱される。
【0008】サセプタ102を中央に位置する中央の脚
部117の使用によって、加熱ランプによって行われる
加熱に関して、サセプタ102全体にわたってむらのあ
る熱分配がもたらされる。これは、サセプタ102の中
央部が、中央の脚部117を通してシャフト116に熱
的に結合していることと、中央の脚部117によってサ
セプタ102の下側に影ができることによって、加熱ラ
ンプからサセプタ102まで発散している熱分配にむら
ができることとによる。このようなむらのある加熱、或
いは、サセプタ102の熱の不均一性は、更にウエハ1
04の加熱のむらをもたらすであろう。
【0009】図1に示すウエハ支持装置は、更にウエハ
中央部リフト122から外方に延びる3つのウエハリフ
ト腕部120を有するウエハリフト装置を含むであろ
う。ウエハリフト腕部120は、ウエハ中央部リフト1
22と通常一体になっているであろう。この設計は、異
なった直径を有するウエハが処理されるときに、全部の
ウエハリフト装置(ウエハリフト腕部120とウエハ中
央部リフト122)の交換を必要とするため、不利であ
る。この設計の他の不利な点は、下方へのサセプタ支持
体108の動きがウエハリフト腕部120によって限定
され、もってウエハ104とサセプタ102の間に提供
される変位が制限されることである。更に他の不利な点
は、ピン112を支持するパッド123を有するウエハ
リフト腕部120が、それらの配置によって影を作ると
いうことである。
【0010】更に、腕部109を有するサセプタ支持体
108は、シャフト116の下部に取り付けられている
回転機構によって回転されるであろう。このような回転
が起こるときは、ウエハリフト腕部120とウエハ中央
リフト122は静止されている。このような構成では、
シャフト116が回転するときに、回転機構が、シャフ
トのサセプタの特定の位置に取り付けられていることが
必要である。この特定の位置とは、シャフト116の回
転が終了するときに腕部109を案内しているピン11
2の底部がパッド123と一致するように、腕部がウエ
ハリフト腕部120と整列される位置で止められること
を確保する位置である。このような回転機構の正確な取
り付けは、制限的であって望ましくない。
【0011】サセプタ全体にわたる、より均一な加熱及
び熱的均一性又は熱分配を行うことができるサセプタ支
持体を、ウエハ支持装置に提供し、もって、処理される
半導体ウエハ全体にわたるより均一な加熱又は熱分配を
達成することが望ましい。異なった大きさを有するウエ
ハに対して中央部ウエハリフトの交換が不要なウエハ支
持装置を提供することも望ましい。また、サセプタ支持
体の調整を妨害しないウエハ支持体を提供することも望
ましい。
【0012】
【課題を解決しようとする手段】本発明は、ウエハ支持
装置を提供する。ウエハ支持装置は、ウエハを支持する
ように構成された面を有するサセプタを含む。サセプタ
は複数の案内用凹みを有する。ウエハ支持装置はウエハ
支持体も含んでおり、そのウエハ支持体には複数のウエ
ハ支持部材が接続されている。複数のウエハ支持部材
は、サセプタの複数の案内用凹みを通ってウエハと係合
するように構成されている。サセプタは、複数のウエハ
支持部材に対して、サセプタの面にほぼ垂直方向に動く
ように構成されている。
【0013】
【発明の実施形態】本発明の特徴、見地及び利点は、以
下の詳細な説明 、添付請求項及び付随図面から一層明
白になるであろう。
【0014】以下の説明では、多数の特定の細部が、本
発明の完全な理解を提供するために明らかにされる。し
かしながら、当業者は、本発明がこれらの特定の細部な
しで実施され得ることを認識するであろう。場合によっ
ては、既知の構造と技術については、本発明を不明瞭に
するのを避けるために、詳細に示されていない。
【0015】図2は、本発明によるウエハ支持装置20
0を説明したものである。通常、ウエハ支持装置200
は、半導体製造装置のプロセスチャンバ(図示せず)の
中で、ウエハ205の底面207から水平にウエハ20
5を支持するように構成されている。ウエハ支持装置2
00はサセプタ208を含み、その上面210はウエハ
205の底面207と係合するように構成されている。
サセプタ208は通常円板の形をしている。また、サセ
プタ208は良好な熱伝導率を提供するようにグラファ
イトから作られている。しかしながら、本発明はグラフ
ァイトから作られている円板の形をしたサセプタに限定
されない。サセプタは、ウエハ205を支持するために
他の適切な形を有していてもよく、良好な熱伝導率を提
供するグラファイト以外の材料から作られていてもよ
い。サセプタ208は、ウエハ支持装置200とウエハ
205を内蔵するプロセスチャンバ(図示せず)内に存
在し得る腐食性の材料に対する化学的安定性を強めるた
めに、シリコンカーバイドのコーティングを施されてい
るであろう。
【0016】サセプタ208は、通常、ウエハ205の
直径より小さい直径を有する円形に配置された複数の案
内用凹み212を含む。しかしながら、当業者は、本発
明を、サセプタの上に配置された案内用凹み212がウ
エハ205の周囲と整列されるように実行することもで
きる。本明細書で記述されている本発明の実施形態で
は、案内用凹み212は貫通穴である。サセプタ208
はサセプタ支持体によって支持されており、サセプタ支
持体は、シャフト204と、シャフト204から外方に
延びる第1の複数のサセプタ支持腕部216(以下、
「サセプタ支持スポーク」と呼ぶ)とを含む。本発明の
1つの実施形態において、3本のサセプタ支持スポーク
216は、互いに約120°の角度でシャフト204か
ら延びている。スポーク216は、シャフト204に溶
接されていてもよく、或いはシャフト204が軸方向に
上下に動かされたときに、シャフト204と共にスポー
ク216が軸方向に動くことができるような他の方法で
取り付けられていてもよい。図2に関して記述した本発
明の実施形態では、スポーク216はサセプタ208の
方向にわずかに上方に向かって延びている。しかし、本
発明はこの形状に限定されない。更に、サセプタ支持体
は、各サセプタ支持スポーク216に関してサセプタ支
持脚部218を含んでおり、サセプタ支持脚部218は
サセプタ支持スポーク216の各自由端から上方へ延び
てサセプタ208の底面と係合し、もってサセプタ20
8の直径より小さい直径を有する円形で互いに120°
の角度で配置された3点においてサセプタ208を支持
する。
【0017】本発明のウエハ支持装置がサセプタ208
を支持する3本のサセプタ支持脚部218に限定されて
いないことは、当業者によって正当に評価されるべきで
ある。しかし、このようなサセプタ支持脚部の数は特定
の具体例のよって変わるであろう。また、不連続の数を
有するサセプタ支持脚部の代わりに、本発明に従って、
円筒状のカラー等の連続的な(360°の)のサセプタ
支持「脚部」を用いるウエハ支持装置も設計され得る。
【0018】通常、サセプタ支持体は、シャフト204
が低部においてモータに結合され得るために、上下動す
るであろう。モータは、シャフトの上下動を引き起こ
し、もってサセプタ支持体の上下動を引き起こすことが
できる。サセプタ支持体の上下動は、ウエハ205に対
するサセプタ208の変位を引き起こすことができ、ウ
エハ205はウエハ支持体222(以下、「ピンリフト
装置222」と呼ぶ)によって支持される。ピンリフト
装置222は通常石英から作られている。しかし、当業
者は石英と密接に関係がある特性を有する他の材料を使
ってもよい。ピンリフト装置222は、中央部分、ハブ
223を含む。ハブは、シャフト204の上部の、サセ
プタ支持腕部216が外方に延びている部分に隣接し
た、サセプタ支持スポーク216に取り付けられてい
る。ピンリフト装置222は、更に、ハブ223の上の
部分に接続された複数のピンリフト腕部226(以下、
「ピンリフトスポーク226」と呼ぶ)を含む。更に、
ピンリフト装置222は、スポーク226の(ハブ22
3から離れた)自由端に付けられた複数のウエハ支持部
材224(以下、「リフトピン」と呼ぶ)を含む。本明
細書に記述した本発明による実施形態において、ピンリ
フト装置222は、3本のピンリフトスポーク226
と、ピンリフトスポーク226に対応している3本のリ
フトピン224とを含む。しかしながら、本発明は3本
のスポーク226と3本のリフトピン224の点に関し
てはこれに限定されない。
【0019】ピンリフトスポーク226は、互いに12
0°の角度で配置されており、ウエハの周辺部220に
向かって外方且つわずかに上方に延びている。スポーク
226は、ハブ223とスポーク226が軸方向に上下
に、或いは回転方向に、共に動くように、ハブ223に
付けられている。スポーク226はハブ223と一体形
成されていてもよいが、本発明はこの点に関して限定さ
れない。また、リフトピン224は、ハブ223が軸方
向に上下動されたときハブ223と共に上下動するよう
に、或いはハブ223が回転されたときに、ともに移動
するようにスポーク226と一体形成されていてもよ
い。本明細書に記述した本発明による実施形態におい
て、リフトピン224はスポーク226の両側に垂直に
延びており、各ピン224は、スポーク226の下に延
びる下部230と、案内用凹み212に向かってスポー
ク226の上に延びる上部232とを有する。
【0020】ピンリフト装置222は、リフトピン22
4がサセプタ208の案内用凹み212と整列されるよ
うに構成されており、サセプタ208がピンリフト装置
222に対して上下動されるときに、リフトピン224
の上部232の一部が案内用凹み212を通るようにな
っている。ピンリフト装置222は、サセプタ208の
案内用凹み212がテーパ構成された上部を有する必要
なく、クリアランスホールを単に含めばよい態様でリフ
トピン224を支持し、サセプタ208の製造コストが
低減される。各リフトピン224の下部230は、複数
のスポーク216を通して構成された対応する貫通穴2
50を通過するように構成されている。従って、ピン2
24は、サセプタ支持腕部216及びサセプタ208に
対して上下動する。ピンリフト装置222の、ゆえにピ
ン224の、サセプタ208に対する上下動は、ピンリ
フト装置222が静止中の、サセプタ支持体の垂直動に
よって引き起こされるであろう。ハブ223を有するサ
セプタ支持腕部216、スポーク226とピン224
は、回転が回転装置(図示せず)によって誘発されると
きに、共に回転され得ることに注意されたい。回転装置
は、サセプタ支持体に回転の動きを与えるためにシャフ
ト204の下部に取り付けられているであろう。
【0021】ピンリフト装置222を有する本発明によ
るウエハ支持装置は、サセプタ支持体の腕部216と実
質的に(重ねられて)整列された腕部226を備える。
これらの腕部は、回転装置が取り付けられてシャフト2
04が回転されたときに、腕部216と整列された状態
で共に動かされるであろう。腕部216の上方で腕部2
16と整列されて配置された腕部226を有することに
よって、図1で示した実施形態に関連して説明した陰に
なる問題は、実質的に低減される。更に、腕部216と
共に回転し、腕部216と整列された腕部26を有する
ことによって、回転装置はシャフト204のどの位置に
取り付けられてもよく、図1に関連して示したアセンブ
リのように3箇所の位置のみに限定される必要がなくな
る。本発明に関して使用され得る回転装置或いは回転機
構に関する情報のためには、アプライド マテリアルズ
インコーポレイテッドに譲渡された、米国特許出願第
5,421,893号明細書を参照されたい。
【0022】図3は、単純化された方法で、中央ハブ2
23を含むピンリフト装置222と、外方に延びるスポ
ーク226と、スポーク226から垂直に延びるリフト
ピン224を示す。中央ハブ223は、一般に円筒中空
形状であって、ハブ223に沿って縦に延びるスリット
238を含む。スリット238は、ハブ223のベース
240から上方の棟部(ridges)242まで延びてい
る。スリット238は、サセプタ支持スポーク216を
通るように構成されている(図2に示す)。上方の棟部
242は、サセプタ支持スポーク216が上方の棟部2
42と係合した後、サセプタ支持スポーク216がハブ
223に対して更に上方に動くことを阻止するために構
成されている。スポーク226は、スリット238を通
してサセプタ支持スポーク216を取り付ける際に、ス
ポーク226と216が整列するように、スリット23
8に重ねられることに注目されたい。このような整列に
よって 、スポーク226がスポーク216と整列され
ていない場合に引き起こされたであろう影になる問題が
低減される。
【0023】図2に戻って、ウエハ205とサセプタ2
08の間に変位を引き起こす一つの方法は、ピンリフト
装置222が固定されているとき、ハブ223(図3)
のスリット238を通してサセプタ支持スポーク216
の相対的な動きを作り出すことである。この相対的な動
きは、ハブ223(図3)のベース240がウエハ中央
リフト装置252のリム253に係合するときに起こ
る。ウエハ中央リフト装置252は、シャフト204を
収容するように構成された中空の一般に円筒状の形を有
する。ウエハ中央リフト装置252は、(図示せず)モ
ータの下部254に取り付けられていてもよく、そのモ
ータは、ウエハ中央リフト装置252を「上」或いは
「下」に動かす。ハブ223(図3)のベース240が
ウエハリフト装置252の上部リム253と係合し、ウ
エハ中央リフト装置252が静止しているならば、シャ
フト204は、ハブ223(図3)のスリット238を
通してサセプタ支持スポーク216を下方に「引っ張っ
て」下方に動き、これにより、サセプタ208を下方に
動かす。ウエハ中央リフト装置252を静止させておく
ことによって、従って、ピンリフト装置222を静止さ
せておくことによって、サセプタ支持体の下方への動き
は、ピン224によって支持されている間、静止してい
るウエハ205と、サセプタ支持体の垂直動によって下
方に動かされるサセプタ208との間に変位を引き起こ
す。ピンリフト装置222を有する本発明の構成によ
り、従来のウエハ支持装置によるサセプタ支持体の変位
と比較して、サセプタ支持体の変位が増加される。
【0024】サセプタ支持体が上方に動かされるとき、
ウエハ205とサセプタ208との間の変位は減少す
る。サセプタ支持スポーク216がハブ223の上部棟
部242に到達すると、サセプタ支持スポーク216の
いかなる上方の動きに対しても、ピンリフト装置222
とウエハ205をサセプタ208とともに動かして、ウ
エハ205とサセプタ208の間の距離が変化しないよ
うになっている。
【0025】図4は、本発明によるウエハ支持装置40
2の第2の実施形態を示す。この実施形態では、ピンリ
フト装置422は、スポーク426の1つの面(上面)
からのピンリフト装置422のスポーク426から上方
に延びるリフトピン424を含む。この実施形態によれ
ば、サセプタ支持スポーク416を通ってピン424の
上下動を許容する案内用凹みを用いる必要がなく、リフ
トピン424を上下に案内するのにはサセプタ408に
設けられた穴412で十分である。従ってピンリフト装
置422は壊れにくくなり、ウエハ支持装置402への
取り付けは、単にピン424を貫通穴412に通すこと
によって成されるので、大いに容易にされる。
【0026】更に、サセプタ支持体は、上端部に配置さ
れたセンタポスト440を含んでいてもよい。センタポ
スト440は、シャフト404の直径より小さい直径を
有し、ピンリフト装置422に追加の案内を提供する段
階として構成されている。図4に示すピンリフト装置4
22は、ポスト440の直径とほぼ等しい直径の中空部
を有する環状部材450を含む。また、センタポスト4
40は、サセプタ支持体が、ウエハリフト装置452の
上のリム453に向かい重力によって移動するのを助長
する追加重量を提供する。
【0027】図5は、本発明によるウエハ支持装置50
2の第3の実施形態を示す。この実施形態では、ピンリ
フト装置522は、スポーク526の自由端から上方に
延びるリフトピン524が周囲520においてウエハ5
05と係合するように構成されている。周囲からウエハ
505を持ち上げることによって、この実施形態では、
図1のピン224で引き起こされる可能性のあるウエハ
体の過冷点/過熱点を低減するために役立つ。更に、任
意で、ウエハ支持体502は、段になったポスト550
と552を有する上部を設けたシャフト504を備える
ようにしてもよい。ポスト550は、シャフト504の
直径より小さい直径を有する。更に、ポスト552は、
ポスト550の直径より小さい直径を有する。ポスト5
22は、サセプタ508を真中に置くために提供されて
いる。ポスト552の比較的小さい直径によってサセプ
タ支持体508の中心に作られる影が最小化されること
に注意されたい。
【0028】図6は、半導体ウエハを処理する装置内で
ウエハに支持体を提供するプロセスのフローチャートを
示す。プロセスは602で始まり、そこからブロック6
04に至る。ブロック604において、ウエハ支持体が
サセプタ支持体に取り付けられる。ウエハ支持体は、ウ
エハ支持体自身から延びた複数のウエハ支持部材を有す
る。ウエハ支持体は、図2〜図5に関連して説明したよ
うなウエハ支持体であってもよい。ウエハ支持体から延
びるウエハ支持部材は、図2〜図5で示されるリフトピ
ンであってもよい。プロセスはブロック606に至り、
そこではサセプタがサセプタ支持体に取り付けられる。
サセプタは、サセプタがサセプタ支持体に取り付けられ
るときの部材となるように構成された複数の案内用凹み
を有する。サセプタは、図2〜図5に関連して説明され
た実施形態に示されたように、サセプタ支持体が多くの
不連続点でサセプタを保つように、サセプタ支持体に取
り付けられてもよい。プロセスはブロック608に至
り、ウエハ支持部材(ピン)が案内用凹みを通して突き
出ないならば、ウエハはサセプタに置かれる。ウエハは
代わりに、ウエハがウエハ支持体と整列されるように、
ウエハ支持部材に取り付けられてもよい。
【0029】本明細書において、本発明は特定の実施形
態に関して記述された。しかし、種々の変形と変更を、
添付請求項に示された発明の精神と範囲から外れること
なく行い得ることは明白であろう。明細書と図面は、従
って、限定するものではなく、例示のためのものであ
る。それゆえ、発明の範囲は添付請求項によってのみ限
定されるべきである。
【図面の簡単な説明】
【図1】半導体ウエハを支持するためのアセンブリを示
す図である。
【図2】本発明によるウエハ支持装置を示す図である。
【図3】本発明によるウエハ支持装置に関連して利用さ
れるウエハ支持体を示す図である。
【図4】本発明によるウエハ支持装置の第2の実施形態
を示す図である。
【図5】本発明によるウエハ支持装置の第3の実施形態
を示す図である。
【図6】ウエハの支持を提供するための本発明による方
法を示す図である。
【符号の説明】
200、402、502…ウエハ支持装置、204、4
04、504…シャフト、205、405、505…ウ
エハ、208…サセプタ、212…案内用凹み、216
…サセプタ支持スポーク、218…サセプタ支持脚部、
222、422、522…ピンリフト装置、223…ハ
ブ、224、424、524…リフトピン、226…ピ
ンリフトスポーク、238…スリット、240…ベー
ス、242…棟部、412…穴、440…センタポス
ト、450…環状部材、452…ウエハリフト装置、4
53…リム。
フロントページの続き (72)発明者 ロジャー エヌ. アンダーソン アメリカ合衆国, カリフォルニア州, サン ノゼ, ネストリタ ウェイ 1824

Claims (37)

    【特許請求の範囲】
  1. 【請求項1】 ウエハを支持するように構成された面を
    有するサセプタであって、複数の案内用凹みを有するサ
    セプタと、 中央部及び前記中央部に接続された複数のウエハ支持部
    材を有するウエハ支持体であって、前記複数のウエハ支
    持部材が前記サセプタの前記複数の案内用凹みを通して
    前記ウエハと係合するように構成されたウエハ支持体
    と、を備え、 前記サセプタが、前記面に対してほぼ垂直方向に動くよ
    うに構成されているウエハ支持装置。
  2. 【請求項2】 前記ウエハ支持体が前記中央部から外方
    に延びた第1の複数の腕部を含み、前記第1の複数の腕
    部が自由端を有する請求項1に記載のウエハ支持装置。
  3. 【請求項3】 前記ウエハ支持体の各前記複数のウエハ
    支持部材が、前記第1の複数の腕部の対応した腕部か
    ら、前記サセプタの対応する案内用凹みに向かって延び
    た請求項2に記載のウエハ支持装置。
  4. 【請求項4】 前記複数のウエハ支持部材が、前記サセ
    プタの前記複数の案内用凹みと整列されている請求項1
    に記載のウエハ支持装置。
  5. 【請求項5】 前記ウエハ支持体と係合し、前記ウエハ
    支持体を前記サセプタの前記面に対してほぼ垂直の方向
    に動かすように構成されたウエハリフト装置を更に含む
    請求項1に記載のウエハ支持装置。
  6. 【請求項6】 前記サセプタを支持し、且つ前記サセプ
    タの前記面とほぼ垂直方向に動くように構成されたサセ
    プタ支持体を更に含む請求項1に記載のウエハ支持装
    置。
  7. 【請求項7】 シャフト、及び前記シャフトから延びた
    第2の複数の腕部を含む請求項6に記載のウエハ支持装
    置。
  8. 【請求項8】 前記第1の複数の腕部が、前記第2の複
    数の腕部と整列するように構成された請求項7に記載の
    ウエハ支持装置。
  9. 【請求項9】 前記サセプタが前記サセプタ支持体によ
    って支持されたときに、前記サセプタの前記案内用凹み
    と整列するように構成された複数の貫通穴を、前記第2
    の複数の腕部が含む請求項7に記載のウエハ支持装置。
  10. 【請求項10】 前記中央部が複数のスリットを有する
    ハブを含み、前記第2の複数の腕部が前記ハブを通して
    案内される請求項7に記載のウエハ支持装置。
  11. 【請求項11】 前記ハブが前記スリットの末端を成す
    複数の棟部を含んでおり、前記複数の棟部は、前記第2
    の複数の腕部が前記複数の棟部と係合したときに、前記
    第2の複数の腕部が前記ハブに対して上方に動くことを
    停止するように構成されている請求項10に記載のウエ
    ハ支持装置。
  12. 【請求項12】 前記複数のスリットが前記第1の複数
    の腕部と整列されている請求項10に記載のウエハ支持
    装置。
  13. 【請求項13】 前記ウエハ支持部材が、前記ウエハと
    周囲で係合するように構成された請求項1に記載のウエ
    ハ支持装置。
  14. 【請求項14】 前記サセプタ支持体に回転運動を与え
    る装置を更に備えた請求項6に記載のウエハ支持装置。
  15. 【請求項15】 回転運動が前記サセプタ支持体に与え
    られたときに、前記ウエハ支持体がサセプタ支持体とと
    もに回転されるように構成された請求項14に記載のウ
    エハ支持装置。
  16. 【請求項16】 前記複数のウエハ支持部材が複数のウ
    エハ支持ピンを含む請求項1に記載の支持装置。
  17. 【請求項17】 前記ウエハ支持体が動かされたとき
    に、前記ウエハ支持部材が前記ウエハ支持体とともに動
    かされるように構成された請求項1に記載のウエハ支持
    装置。
  18. 【請求項18】 ウエハを支持するように構成された面
    を有するサセプタであって、複数の案内用凹みを有する
    サセプタと、 中央部及び前記中央部に接続された複数のウエハ支持部
    材を有するウエハ支持体であって、前記複数のウエハ支
    持部材が前記サセプタの前記複数の案内用凹みを通して
    前記ウエハに係合するように構成されたウエハ支持体
    と、を含むチャンバを備え、 前記サセプタが前記面にほぼ垂直の方向に動かされるよ
    うに構成された装置。
  19. 【請求項19】 前記ウエハ支持体が、前記中央部から
    外方に延びた第1の複数の腕部を含み、前記第1の複数
    の腕部が自由端を有する請求項18に記載の装置。
  20. 【請求項20】 前記ウエハ支持体の各前記複数のウエ
    ハ支持部材が、対応した前記第1の複数の腕部から前記
    サセプタの対応した案内用凹みに向かって延びた請求項
    19に記載の装置。
  21. 【請求項21】 前記複数のウエハ支持部材が、前記サ
    セプタの前記複数の案内用凹みと整列された請求項18
    に記載のウエハ支持装置。
  22. 【請求項22】 前記ウエハ支持体と係合し、前記サセ
    プタの前記面に対してほぼ垂直の方向に前記ウエハ支持
    体を動かすように構成されたウエハリフト装置を更に備
    えた請求項18に記載の装置。
  23. 【請求項23】 前記サセプタを支持し、且つ前記サセ
    プタの前記面にほぼ垂直の方向に動かされるように構成
    されたサセプタ支持体を更に含む請求項18に記載の装
    置。
  24. 【請求項24】 前記サセプタ支持体が、シャフト及び
    前記シャフトから延びた第2の複数の腕部を含む請求項
    23に記載の装置。
  25. 【請求項25】 前記第2の複数の腕部が、前記サセプ
    タが前記サセプタ支持体によって支持されたときに、前
    記サセプタの前記案内用凹みと整列するように構成され
    た複数の貫通穴を含む請求項24に記載のウエハ支持装
    置。
  26. 【請求項26】 前記中央部が、前記第2の複数の腕部
    を通るように案内する複数のスリットを有するハブを含
    む請求項24に記載の装置。
  27. 【請求項27】 前記ハブは前記スリットに達する複数
    の棟部を含み、前記複数の棟部は前記第2の複数の腕部
    が前記複数の棟部に係合したときに、前記第2の複数の
    腕部が前記ハブに対して上方に動くのを阻止するように
    構成された請求項26に記載の装置。
  28. 【請求項28】 前記ウエハ支持部材が、前記ウエハの
    周囲で前記ウエハと係合するように構成された請求項1
    8に記載の装置。
  29. 【請求項29】 前記サセプタ支持体に回転運動を与え
    る装置を更に備えた請求項23に記載の装置。
  30. 【請求項30】 回転運動が前記サセプタ支持体に与え
    られたときに、前記ウエハ支持体が前記サセプタ支持体
    とともに回転されるように構成された請求項29に記載
    の装置。
  31. 【請求項31】 前記複数のウエハ支持部材が複数のウ
    エハ支持ピンを含む請求項18に記載の装置。
  32. 【請求項32】 前記ウエハ支持体が動かされたとき
    に、前記ウエハ支持部材が前記ウエハ支持体とともに動
    かされるように構成された請求項18に記載の装置。
  33. 【請求項33】a)ウエハ支持体自身から延びた複数の
    ウエハ支持部材を有するウエハ支持体を、サセプタ支持
    体に取り付けるステップであって、 b)前記サセプタ支持体に取り付けられるときに前記ウ
    エハ支持部材と整列されるように構成された複数の案内
    用凹みを有するサセプタを、前記サセプタ支持体に取り
    付けるステップと、 c)ウエハを前記サセプタ及び前記ウエハ支持部材の一
    方の上に置くステップと、を備えた半導体ウエハを処理
    する装置におけるウエハを支持する方法。
  34. 【請求項34】 前記サセプタ支持体が前記ウエハ支持
    部材に対して下方に動かされたときに、前記ウエハ支持
    部材によって前記ウエハを支持するステップを更に備え
    た請求項33に記載の方法。
  35. 【請求項35】 前記サセプタ支持体とともに前記ウエ
    ハ支持体を回転することを更に含む請求項33に記載の
    方法。
  36. 【請求項36】 複数の案内用凹みを有し、ウエハを支
    持するように構成された面を有するサセプタと、 前記サセプタを支持するように構成されたサセプタ支持
    体と、 前記サセプタ及び前記サセプタ支持体の間に配置された
    ウエハ支持体と、を備え、 前記ウエハ支持体は中央部及び該中央部に接続された複
    数のウエハ支持部材を有し、前記複数のウエハ支持部材
    は、前記サセプタの前記複数の案内用凹みを通って前記
    ウエハと係合するように構成されており、 前記サセプタ支持体は、前記ウエハ支持体の下を前記面
    とほぼ垂直の方向に動くように構成されたウエハ支持装
    置。
  37. 【請求項37】 複数の案内用凹みを有し、ウエハを支
    持するように構成された面を有するサセプタと、 前記サセプタを支持するように構成されたサセプタ支持
    体と、 前記サセプタ及び前記サセプタ支持体の間に配置された
    ウエハ支持体と、を備え、 前記ウエハ支持体は中央部及び該中央部に接続された複
    数のウエハ支持部材を有し、前記複数のウエハ支持部材
    は、前記サセプタの前記複数の案内用凹みを通って前記
    ウエハと係合するように構成されており、 前記ウエハ支持体に係合し、前記サセプタの前記面にほ
    ぼ垂直の方向に前記ウエハ支持体を動かすように構成さ
    れたウエハリフトを備えたウエハ支持装置。
JP12123098A 1997-04-30 1998-04-30 ウエハを支持する方法と装置 Expired - Lifetime JP4401449B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/846,250 US6190113B1 (en) 1997-04-30 1997-04-30 Quartz pin lift for single wafer chemical vapor deposition/etch process chamber
US08/846250 1997-04-30

Publications (2)

Publication Number Publication Date
JPH10335435A true JPH10335435A (ja) 1998-12-18
JP4401449B2 JP4401449B2 (ja) 2010-01-20

Family

ID=25297366

Family Applications (1)

Application Number Title Priority Date Filing Date
JP12123098A Expired - Lifetime JP4401449B2 (ja) 1997-04-30 1998-04-30 ウエハを支持する方法と装置

Country Status (4)

Country Link
US (1) US6190113B1 (ja)
EP (1) EP0877414A3 (ja)
JP (1) JP4401449B2 (ja)
KR (1) KR100574241B1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100678867B1 (ko) 2005-12-12 2007-02-05 주식회사 뉴파워 프라즈마 이중 히팅 플레이트를 구비한 반도체 제조용 히터 및 이를이용한 기판 처리 방법
JP2010114139A (ja) * 2008-11-04 2010-05-20 Sumco Techxiv株式会社 サセプタ装置、エピタキシャルウェハの製造装置、および、エピタキシャルウェハの製造方法
JP2012182464A (ja) * 1999-07-01 2012-09-20 Applied Materials Inc 基板支持組立体のためのシリコンカーバイドスリーブ
US8372196B2 (en) 2008-11-04 2013-02-12 Sumco Techxiv Corporation Susceptor device, manufacturing apparatus of epitaxial wafer, and manufacturing method of epitaxial wafer
KR101346851B1 (ko) * 2007-12-28 2014-01-03 주성엔지니어링(주) 기판분리수단
JP2014514734A (ja) * 2011-03-11 2014-06-19 アプライド マテリアルズ インコーポレイテッド ランプアセンブリを使用した基板下面のオフアングル加熱
JP2017069414A (ja) * 2015-09-30 2017-04-06 信越半導体株式会社 エピタキシャル成長装置及びエピタキシャルウェーハの製造方法

Families Citing this family (259)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AT411304B (de) * 1997-06-18 2003-11-25 Sez Ag Träger für scheibenförmige gegenstände, insbesondere silizium-wafer
JP2990197B1 (ja) * 1999-01-20 1999-12-13 熊本日本電気株式会社 半導体チップのピックアップ装置
JP2000323487A (ja) * 1999-05-14 2000-11-24 Tokyo Electron Ltd 枚葉式熱処理装置
JP2001318470A (ja) * 2000-02-29 2001-11-16 Nikon Corp 露光装置、マイクロデバイス、フォトマスク、及び露光方法
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
DE60026476D1 (de) * 2000-12-21 2006-05-04 Oxford Instr Plasma Technology Substrateneinschleusenvorrichtung
KR100422199B1 (ko) * 2001-05-04 2004-03-12 주성엔지니어링(주) 반도체 소자 제조장치
US6767176B2 (en) * 2001-06-29 2004-07-27 Applied Materials, Inc. Lift pin actuating mechanism for semiconductor processing chamber
US6510888B1 (en) 2001-08-01 2003-01-28 Applied Materials, Inc. Substrate support and method of fabricating the same
KR100489189B1 (ko) * 2002-01-14 2005-05-11 주성엔지니어링(주) 서셉터 구조물
US6799940B2 (en) * 2002-12-05 2004-10-05 Tokyo Electron Limited Removable semiconductor wafer susceptor
TW589243B (en) * 2003-07-02 2004-06-01 Chunghwa Picture Tubes Ltd A robot preventing static electro damage
TWI286529B (en) * 2004-05-06 2007-09-11 Hannstar Display Corp Method and structure for reception and delivery
US8365682B2 (en) * 2004-06-01 2013-02-05 Applied Materials, Inc. Methods and apparatus for supporting substrates
TWI346989B (en) * 2005-04-25 2011-08-11 Terasemicon Co Ltd Batch type of semiconductor manufacturing device,and loading/unloading method and apparatus of semiconductor substrate
US7638003B2 (en) * 2006-01-12 2009-12-29 Asm Japan K.K. Semiconductor processing apparatus with lift pin structure
US20080079220A1 (en) * 2006-08-29 2008-04-03 Aviza Technology, Inc. Rotary seal for diffusion furnance incorporating nonmetallic seals
CN101353222B (zh) * 2007-07-26 2010-12-01 张彩根 悬臂石英桨的制作方法及产品
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101685150B1 (ko) * 2011-01-14 2016-12-09 주식회사 원익아이피에스 박막 증착 장치 및 이를 포함한 기판 처리 시스템
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9123765B2 (en) * 2013-03-11 2015-09-01 Applied Materials, Inc. Susceptor support shaft for improved wafer temperature uniformity and process repeatability
JP5386046B1 (ja) * 2013-03-27 2014-01-15 エピクルー株式会社 サセプタ支持部およびこのサセプタ支持部を備えるエピタキシャル成長装置
CN103456672B (zh) * 2013-09-04 2016-03-09 沈阳拓荆科技有限公司 开放式销用支板
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR102195649B1 (ko) * 2014-08-13 2020-12-28 에스케이실트론 주식회사 에피택셜 웨이퍼 성장 장치
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR101660899B1 (ko) * 2015-01-26 2016-09-28 주식회사 엘지실트론 반도체 제조 장치
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
WO2017204082A1 (ja) * 2016-05-24 2017-11-30 三益半導体工業株式会社 回転テーブル用ウェーハ保持機構及び方法並びにウェーハ回転保持装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
DE102016212780A1 (de) 2016-07-13 2018-01-18 Siltronic Ag Vorrichtung zur Handhabung einer Halbleiterscheibe in einem Epitaxie-Reaktor und Verfahren zur Herstellung einer Halbleiterscheibe mit epitaktischer Schicht
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9892956B1 (en) * 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) * 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
JP6995098B2 (ja) * 2019-09-30 2022-01-14 日本電子株式会社 試料チップ作業台及びリテーナ
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
JP7418241B2 (ja) * 2020-02-27 2024-01-19 東京エレクトロン株式会社 位置決め装置、処理システム及び位置決め方法
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111739820B (zh) * 2020-06-08 2023-05-16 北京北方华创微电子装备有限公司 半导体设备的反应腔室
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
EP4009358A1 (en) * 2020-12-04 2022-06-08 ASM IP Holding B.V. High performance susceptor apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD1009817S1 (en) * 2021-09-28 2024-01-02 Applied Materials, Inc. Shadow ring lift pin

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60169148A (ja) * 1984-02-13 1985-09-02 Dainippon Screen Mfg Co Ltd 基板の搬送方法及びその装置
JPS6423420A (en) * 1987-07-20 1989-01-26 Hitachi Maxell Production of magnetic recording medium
JPH04124853A (ja) * 1990-09-17 1992-04-24 Hitachi Ltd ウエハ搬送ロボット
JPH04256311A (ja) * 1990-08-16 1992-09-11 Applied Materials Inc 半導体ウェーハ処理装置のサセプタの熱分布を向上するサセプタ用スポーク支持体
JPH0555148A (ja) * 1991-08-27 1993-03-05 Toshiba Mach Co Ltd マルチチヤンバ型枚葉処理方法およびその装置
JPH05190414A (ja) * 1992-01-17 1993-07-30 Nikon Corp 基板吸着装置
JPH06318630A (ja) * 1993-02-26 1994-11-15 Applied Materials Inc サセプタ駆動及びウエハ変位機構
JPH07508132A (ja) * 1992-06-15 1995-09-07 東京エレクトロン株式会社 半導体ウェーハを処理する装置
JPH07305168A (ja) * 1994-03-18 1995-11-21 Anelva Corp 基板の機械的脱離機構およびその機構を用いた脱離方法
JPH08191097A (ja) * 1995-01-11 1996-07-23 Touyoko Kagaku Kk 高速熱処理装置
US5562947A (en) * 1994-11-09 1996-10-08 Sony Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
JPH0964158A (ja) * 1995-08-29 1997-03-07 Toshiba Mach Co Ltd 試料昇降装置

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60169148A (ja) * 1984-02-13 1985-09-02 Dainippon Screen Mfg Co Ltd 基板の搬送方法及びその装置
JPS6423420A (en) * 1987-07-20 1989-01-26 Hitachi Maxell Production of magnetic recording medium
JPH04256311A (ja) * 1990-08-16 1992-09-11 Applied Materials Inc 半導体ウェーハ処理装置のサセプタの熱分布を向上するサセプタ用スポーク支持体
JPH04124853A (ja) * 1990-09-17 1992-04-24 Hitachi Ltd ウエハ搬送ロボット
JPH0555148A (ja) * 1991-08-27 1993-03-05 Toshiba Mach Co Ltd マルチチヤンバ型枚葉処理方法およびその装置
JPH05190414A (ja) * 1992-01-17 1993-07-30 Nikon Corp 基板吸着装置
JPH07508132A (ja) * 1992-06-15 1995-09-07 東京エレクトロン株式会社 半導体ウェーハを処理する装置
JPH06318630A (ja) * 1993-02-26 1994-11-15 Applied Materials Inc サセプタ駆動及びウエハ変位機構
JPH07305168A (ja) * 1994-03-18 1995-11-21 Anelva Corp 基板の機械的脱離機構およびその機構を用いた脱離方法
US5562947A (en) * 1994-11-09 1996-10-08 Sony Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
JPH08191097A (ja) * 1995-01-11 1996-07-23 Touyoko Kagaku Kk 高速熱処理装置
JPH0964158A (ja) * 1995-08-29 1997-03-07 Toshiba Mach Co Ltd 試料昇降装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012182464A (ja) * 1999-07-01 2012-09-20 Applied Materials Inc 基板支持組立体のためのシリコンカーバイドスリーブ
KR100678867B1 (ko) 2005-12-12 2007-02-05 주식회사 뉴파워 프라즈마 이중 히팅 플레이트를 구비한 반도체 제조용 히터 및 이를이용한 기판 처리 방법
KR101346851B1 (ko) * 2007-12-28 2014-01-03 주성엔지니어링(주) 기판분리수단
JP2010114139A (ja) * 2008-11-04 2010-05-20 Sumco Techxiv株式会社 サセプタ装置、エピタキシャルウェハの製造装置、および、エピタキシャルウェハの製造方法
US8372196B2 (en) 2008-11-04 2013-02-12 Sumco Techxiv Corporation Susceptor device, manufacturing apparatus of epitaxial wafer, and manufacturing method of epitaxial wafer
JP2014514734A (ja) * 2011-03-11 2014-06-19 アプライド マテリアルズ インコーポレイテッド ランプアセンブリを使用した基板下面のオフアングル加熱
JP2017069414A (ja) * 2015-09-30 2017-04-06 信越半導体株式会社 エピタキシャル成長装置及びエピタキシャルウェーハの製造方法

Also Published As

Publication number Publication date
EP0877414A2 (en) 1998-11-11
KR19980081849A (ko) 1998-11-25
JP4401449B2 (ja) 2010-01-20
KR100574241B1 (ko) 2006-07-25
EP0877414A3 (en) 2004-05-12
US6190113B1 (en) 2001-02-20

Similar Documents

Publication Publication Date Title
JPH10335435A (ja) 枚葉式ウエハ用化学気相堆積/エッチングプロセスチャンバのための石英ピンリフト
JPH0789541B2 (ja) 半導体ウェーハ処理装置のサセプタの熱分布を向上するサセプタ用スポーク支持体
JP4592849B2 (ja) 半導体製造装置
JP3234576B2 (ja) 半導体製造装置におけるウェハ支持装置
US20030015141A1 (en) Wafer supporting device in semiconductor manufacturing device
KR100883285B1 (ko) 열 분산 플레이트 및 에지 지지대를 구비하는 어셈블리
JP4300523B2 (ja) エピタキシャル成長装置
WO2017130809A1 (ja) エピタキシャル成長装置及び保持部材
JP5098873B2 (ja) 気相成長装置用のサセプタ及び気相成長装置
WO2000024044A1 (fr) Support de plaquette de systeme de fabrication de semiconducteurs
JP4016823B2 (ja) シリコンエピタキシャルウェーハの製造方法
TWI698948B (zh) 用以處理晶圓的裝置與方法
JPH09199437A (ja) 半導体ウェーハ支持装置
JP3357311B2 (ja) 半導体製造装置におけるウェハ支持装置
KR20010015165A (ko) 기판 지지 어셈블리용 실리콘 카바이드 슬리브
CN114016003A (zh) 一种化学气相沉积装置的反应腔室及化学气相沉积装置
JP6233712B2 (ja) 気相成長装置及び被処理基板の支持構造
JP2002110581A (ja) 熱処理装置と熱処理方法
JPH07118466B2 (ja) サセプタ
JPH07118465B2 (ja) 縦型エピタキシャル装置用サセプター
JP3777964B2 (ja) 熱処理用基板支持具
JP3130607B2 (ja) 処理装置
CN110878429A (zh) 基座和化学气相生长装置
JPH03291916A (ja) サセプタ
JP2022089813A (ja) 高性能サセプタ装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050419

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080304

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080604

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080609

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080704

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080709

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080804

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080807

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080904

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080904

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090310

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090609

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090929

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091028

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121106

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121106

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121106

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131106

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term