JP2014514734A - ランプアセンブリを使用した基板下面のオフアングル加熱 - Google Patents

ランプアセンブリを使用した基板下面のオフアングル加熱 Download PDF

Info

Publication number
JP2014514734A
JP2014514734A JP2013558073A JP2013558073A JP2014514734A JP 2014514734 A JP2014514734 A JP 2014514734A JP 2013558073 A JP2013558073 A JP 2013558073A JP 2013558073 A JP2013558073 A JP 2013558073A JP 2014514734 A JP2014514734 A JP 2014514734A
Authority
JP
Japan
Prior art keywords
substrate
chamber
processing
substrate support
receiving surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013558073A
Other languages
English (en)
Other versions
JP6073256B2 (ja
Inventor
モーリス イー エワート
アナンサ ケイ スブラマニ
ウメッシュ エム ケルカー
チャンドラセカー バラスブラマンヤン
ジョセフ エム ラニッシュ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014514734A publication Critical patent/JP2014514734A/ja
Application granted granted Critical
Publication of JP6073256B2 publication Critical patent/JP6073256B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3488Constructional details of particle beam apparatus not otherwise provided for, e.g. arrangement, mounting, housing, environment; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Thermal Sciences (AREA)
  • Automation & Control Theory (AREA)
  • Physical Vapour Deposition (AREA)
  • Electric Connection Of Electric Components To Printed Circuits (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

基板を処理する方法及び装置を開示する。装置は、基板上への材料処理と熱処理の両方共に行うことができる二重機能チャンバである。チャンバは、チャンバの処理位置と搬送位置の間に配置された環状の放射源を有する。リフトピンは、基板の放射加熱を供給するために基板支持体が放射源平面よりも下に下がっている間、処理位置に基板を維持するに十分な長さを有する。その第1の面に形成された開口を有する基板を処理する方法は、第1の面上の開口に材料を堆積させる段階と、第1の面の反対側の第2の面を加熱することにより材料をリフローさせる段階とを含む。第2の材料をその後に堆積させることができ、開口を部分的に又は完全に充填する。代替的に、循環的な堆積/リフロー処理を行うことができる。
【選択図】 図1

Description

本明細書に開示する実施形態は、半導体処理に関する。より詳細には、本明細書に開示する実施形態は、半導体基板の材料及び熱処理のための装置及び方法に関する。
熱処理は、半導体製造において一般的である。半導体基板は、多くの場合に堆積、注入、又はエッチングのような材料処理の後に熱処理を受ける。多くの場合、熱処理は、材料処理とは別のチャンバで行われる。基板を材料処理チャンバから熱処理チャンバへ移すには時間を消費し、生産スループットを低減する。機械の稼働率、つまり機械が基板を処理するように作動している時間は、製造される各チップのコストを低減することにおいて重要なファクタである。すなわち、より効率的な半導体製造処理及び装置に対する継続的な必要性が存在する。
基板に対する材料処理と熱処理の両方を行うことができる二重機能処理チャンバを使用した基板処理の方法及び装置を開示する。チャンバは、基板が処理のために位置決めされる処理位置と、基板をチャンバから移すために位置決めすることができるチャンバ周囲のチャンバ搬送位置との間に配置された放射エネルギ源を有する。基板支持体は、材料処理を受けるように基板を位置決めすることができる基板受け面を有する。反射器が、放射エネルギ源の周りに配置され、ガス源が、チャンバの処理位置上方に配置される。放射エネルギ源は、放射エネルギ源を通過する放射源平面を形成し、かつ基板支持体の基板受け面にほぼ平行である。基板支持体が放射源平面と搬送位置の間に位置決めされている間に基板を処理位置に維持するのに十分な長さを有するリフトピンを使用して、基板の放射加熱を提供することができる。反射面を有するエッジリングを基板支持体の周りに配置することができる。
1つの態様において、基板の第1の面に形成されたトレンチを含む開口を有する基板を処理する方法は、トレンチの第1の面上に材料を堆積させる段階と、第1の面と反対側の基板の第2の面にエネルギを向けることにより材料をリフローさせる段階とを含む。その後に第2の材料を堆積させることができ、開口は部分的に又は完全に充填される。代替的に、循環的堆積/リフロー処理を開口を充填するために行うことができる。
本発明の上述の特徴を詳細に理解することができる方法により、上記に簡単に要約した本発明のより多くの詳細説明を一部が添付図面に例示されている実施形態を参照して行うことができる。しかし、本発明は、他の等しく有効な実施形態を容認することができるので、添付の図面は、本発明の単に典型的な実施形態だけを例示するものであり、従って、本発明の範囲を制限するものとは見なされないことに注意されたい。
理解しやすいように、図に共通した同一要素を示すために可能な場合は同一の参照番号が使用されている。一実施形態に開示した要素は、具体的な説明なしに他の実施形態で便宜的に利用することができるように考えられている。
一実施形態によるチャンバの概略断面図である。 図1のチャンバの部分詳細図である。 他の実施形態による基板支持体の拡大図である。 図1のチャンバに使用することができる放射源の斜視図である。 他の実施形態による方法を要約する流れ図である。 他の実施形態によるクラスターツールの平面図である。 他の実施形態によるクラスターツールの平面図である。
チャンバは、基板の第1の側面上への材料の堆積、及び基板の第1の側面と反対側の基板の第2の側面上で照射ができるように構成される。そのようなチャンバは、基板への材料処理と熱処理の両方をチャンバから基板を移動することなく実行可能な二重機能チャンバであり、従って、堆積チャンバからアニールチャンバへ基板を搬送するのに必要とされる時間を削除することができる。チャンバは、その周囲領域に位置決めされて処理位置とチャンバ搬送位置の間に放射源平面を形成する放射エネルギアセンブリと、その放射エネルギアセンブリの周りに配置された反射器と、処理位置上方に配置されたガス源とを有する。図1は、一実施形態によるチャンバ100の概略断面図である。チャンバ100は、その内部容積138を取り囲む壁104と蓋部分102とを有する。基板支持体106は、内部容積138を上側容積136と下側容積134に分ける。処理ガスは、蓋部分102に形成された入口108を通してチャンバの上側容積136に誘導され、基板支持体106の基板受け面116に配置された基板は、チャンバ100の処理位置160で処理ガスに露出される。処理ガスは、基板支持体106の基板受け面116を横切って、その周囲部分の周りを流れ、ポンピングポータル110を通してチャンバ100から出て行く。
基板支持体のシャフト132は、チャンバの下側壁140を貫通しており、かつ冷却ガス源(図示しない)と基板受け面116との間で流体連通を提供する導管130を含む。基板支持体106は垂直に作動され、処理位置160とチャンバ搬送位置124との間で、基板支持体106の基板受け面116上に配置された基板を移動する。搬送位置124は、基板取扱装置(図示しない)がポータル122を通して基板を操作する基板位置を定める。
放射源アセンブリ112は、チャンバ100の周囲142に配置され、処理位置160と搬送位置124の間にある放射源平面を形成する。複数のリフトピン114は、基板支持体106の基板受け面116を通して配置され、基板支持体106が放射源平面126の下方に引っ込んでいる間に処理位置の近くに基板を維持するように作動する。基板は、それによって放射源アセンブリからの放射に露出されることになる。1つの態様において、基板は、材料処理位置である場合がある処理位置160とは異なる熱処理位置128にリフトピンを作動させることにより位置決めすることができる。
放射源アセンブリ112は、典型的には、基板支持体116を取り囲む。放射源アセンブリ112の内側範囲144は、基板支持体106の外側範囲146から半径方向距離「d」の位置にある。距離「d」は、処理位置160か又はその近くに位置する基板の選択的照射を生じるように選択される。放射源アセンブリ112と基板支持体106の外側範囲146との間の距離「d」を変えることにより、基板に作用する放射の総量と強度を調整することができる。距離「d」は、典型的には、放射源アセンブリの全個所で実質的に一定であり、約0.001cm(つまり10μm)と約5cmの間であり、例えば、300mmウェーハを処理するように構成されたチャンバに対しては、約1cmと約3cmの間である。距離「d」はまた、いずれかの所望された設計に従ってチャンバ100の異なる位置で異なる場合がある。例えば、距離「d」は、放射エネルギアセンブリ112の範囲の周りの異なる個所で異なる場合がある。
シールドリング118は、金属又はセラミックとすることができるが、基板受け面116の縁部148の周囲に配置される。シールドリング118は、基板支持体106の外側範囲146を覆って、そこへの堆積を防止する。シールドリング118は、基板受け面116の外側範囲146に形成された出っ張り150に載っている。殆どの場合、基板受け面116に配置された基板は、シールドリング118と接触する。他の実施形態において、基板は、基板がシールドリング118に接触しないように、シールドリング118の内径よりも小さい外径を有することができる。
作動中、基板支持体106は、処理の異なる段階で様々な位置へ伸びたり引っ込んだりしてチャンバ100内で垂直に動く。搬送位置まで完全に引っ込むと、基板受け面116は、搬送位置124の近くに配置され、基板取扱機構(図示しない)が基板を置く又は回収することができるようにする。リフトピン114は、基板を基板受け面上方に持ち上げるためにアクチュエータ162により伸ばされる。アクチュエータ162は、アクチュエータ162に連結したモータ(図示しない)によって基板支持体106とは独立に動く。基板支持体106が搬送位置から上昇する時にリフトピン114は引っ込み、結果として基板受け面は基板と係合する。
基板受け面116は、静電チャックを組み込むことができ、静電チャックは、典型的には、絶縁性の受け面116に配置された導体158である。導体158は、プレート、ワイヤメッシュ、又は基板受け面116の中をぐるぐる巻きに延びる単一経路ワイヤとすることができる。電力は、典型的には、基板支持体のシャフト132を通して配置された導管156を通じて導体158に結合される。基板受け面116が基板と係合すると、静電チャックは活性化され、基板支持体106上の基板を固定する。冷却ガスも、その時に導管130を通して確立することができる。
基板支持体106は、基板をその上に置いて処理位置128及び160に向けて基板を移動する。基板支持体106が処理位置160に向けて上昇する時に、基板支持体106は、シールドリング118を出っ張り150に載せて放射源アセンブリの傍を通過する。基板受け面116が処理位置に達すると、基板は、堆積、注入、又はエッチングといった材料処理を受けることができる。シールドリング118は、カバーリング166と係合するようにノッチ164を有することができ、カバーリングは、金属又はセラミック製でシールドリング118から蓋部分102に向けて外側へ広がっている。カバーリング166とノッチ164は、上側容積からカバーリング166を通り過ぎて下側容積へ向うガス流動を制御することにより、シールドリング118の機能を改善する。ノッチ164及びカバーリング166は、任意的である。基板支持体106が処理位置160及び128に向けて移動する時に、シールドリング118は、カバーリング166と係合する。基板支持体106が処理位置160から処理位置128に向けて移動する時に、カバーリングは、シールドリング118及び基板支持体106と共に移動する。
一部の実施形態において、放射源アセンブリ112と搬送位置124の間の個所に側壁104から内側に延びる縁部支持体を与えることができる。縁部支持体(図示しない)は、基板支持体106が搬送位置124に向けて移動する際にシールドリング118と係合するように構成することができる。そのような実施形態において、シールドリング118の一部分が基板支持体106の外側範囲146を超えて延びるように、出っ張り150は、シールドリング118の外径よりも小さい外径を有する。このような構成は、搬送位置において基板受け面116へのアクセスを改善するためにシールドリング118を基板支持体106から取り外すことを可能にする。
処理位置160で処理が完了した後、基板支持体106は、基板の裏側熱処理に対して位置決めすることができる。基板のいずれかのチャッキングは、導体158への電力(又は真空チャックの実施形態では基板受け面への真空)を遮断することによって解除され、基板支持体106は引っ込み、そしてリフトピン114は、拡張位置の中に作動される。これは、基板を基板受け面116から外し、基板支持体106が放射源平面126下方の熱処理位置に引っ込む際に基板を処理位置160に維持する。その結果、基板裏側は、放射源アセンブリ112からの放射に露出されることになる。望む場合は、リフトピンを作動させることによって処理位置160とは異なる熱処理位置128へ基板を移動することができる。そのような実施形態において、処理位置160は、材料処理位置である場合がある。特定の実施形態のエネルギ照射必要度に応じて要求されるように、熱処理位置は、材料処理位置の上方又は下方とすることができることに注意すべきである。基板168は、図1では熱処理位置に示されている。
熱処理中に、放射源アセンブリ112には電力が供給され、エネルギが放射源アセンブリ112から基板168に向けて放射される。材料処理が行われる面170とは反対側の面172を意味する基板の「裏側」は、この様式で照射される。材料処理及び熱処理を統合したチャンバを提供することに加えて、この様式で基板168の裏側172を照射することは、基板168のより反射的でない面を照射することにより熱処理のエネルギ効率を改善することができる。一部の実施形態において、基板168上に行われる材料処理は、基板上にエネルギ吸収率を低減する反射性の層又は部分層を形成する。裏側172を照射することは、反射率の増大を回避する。更に、面170の反射性は、更に効率を改善するために基板168の裏側から基板168中を伝わる放射源アセンブリ112からの放射を反射することができる。
一部の実施形態において、熱処理中の基板168の位置は、基板168への放射均一性を改善するために調整することができる。裏側172上の様々な位置に対する放射パターンにおけるいずれの不均一性をも移動するために、リフトピン114を作動させることにより、基板168を熱処理位置128から更に上方に又は下方に周期的に移動することができ、結果として基板処理時の不均一性及び/又は基板の撓みの影響を低減することができる。熱処理位置128からの裏側172の最大偏位は、基板厚みに対する比率として表現することができる。上昇比率は、基板厚みの約0.1から約100の間で変わる場合がある。
基板支持体106が熱処理位置にある時に、図1に示すように熱センサ120は、放射源アセンブリ112とシールドリング118の間の間隙154を通る見通し線により、基板受け面上方の伸びたリフトピン上に位置する基板168の熱的な状態を感知する。シールドリング118を省略した実施形態において、間隙154は、放射源アセンブリ112と基板支持体106の外側範囲146との間であることになる。従って、熱処理位置は、放射源アセンブリ112とシールドリング118の間、又は基板支持体106の外側範囲146と放射源アセンブリ112の内側範囲144との間の望ましい間隙154によって形成することができる。
熱処理が完了した後、基板は、典型的には、リフトピンを引っ込めることにより基板受け面116と再び係合する。チャッキングが再び適用され、冷却ガスが再び確立されて基板を冷却する。基板支持体106は、その後、更に処理を行うための位置へ移動することができ、望む場合は、基板を回収するための搬送位置に戻ることができる。基板支持体106が搬送位置にある時に、基板へのアクセスは、ロボットブレードが基板と基板受け面116の間に挿入されるようにリフトピン114を伸ばすことによって提供される。
図2Aは、基板支持体106と放射源アセンブリ112の関係を示すチャンバ100の詳細図である。放射源アセンブリ112は、チャンバ壁104と蓋部分102の間の延長部230によってチャンバ壁104に支持されている。放射源アセンブリ112は、ハウジング202、放射エネルギ源204、ハウジングから突き出て放射エネルギ源204を支持する少なくとも1つの支持体206、及び反射面208を含む。ハウジング202は、典型的には、金属、例えば、ステンレス鋼のような熱伝導性材料で作られる。ハウジング202内部の導管212は、冷媒の循環を可能にする。支持体206は、金属、例えば、ステンレス鋼のような熱伝導性材料、又はセラミックのような耐熱性材料とすることができる。放射エネルギ源204は、赤外線から紫までの波長の放射、又はマイクロ波、ミリ波、テラヘルツ波、サブミリ波、又は遠赤外線を生じるようなランプとすることができる。放射エネルギ源204は、約5x10-2mから約1x10-7mまでの波長を有する放射を生成することができる。典型的な放射エネルギ源は、加熱ランプ、ハロゲンランプ、アークランプ、及び同軸形マイクロ波又はミリ波源を含む。
ハウジング202は、ハウジング202の中を通って延びる複数の導管242を特徴とする。導管242は、処理中及びチャンバのポンプダウン作動中の上側容積136から下側容積134へのガス流動を可能にする。
ハウジング202の反射面208は、放射エネルギ源204からの放射を処理位置128又は160に位置する基板の裏側に向けて反射するように成形される。一部の実施形態において、反射面208は、基板の実質的に均一な照射を可能にするように成形される。反射面208は、円筒形、円環形、楕円形、卵形、又は変則的に湾曲した形といったいずれかの所望される形状を有することができる。反射面208は、湾曲していることに加えて又はその代わりに、小面を刻むことができる。一実施形態において、反射面208は、同じか又は異なる曲率半径を有する円筒形部分を接合したものとすることができ、その各々はまた、テーパが付いているか又は部分的に小面を刻むことができる。一実施形態において、反射面208は半円環形である。他の実施形態において、反射面208は、複数の反射部分から成っており、その各々は実質的に平坦、湾曲、テーパ付き、又は小面を刻むことができ、反射部分は、曲面を近似するように配置される。支持体206は、典型的に断続的であり、例えば、支持ピン、ロッド、又は突起であり、それゆえ放射エネルギ源204からの放射は、反射面の実質的に全ての範囲に届き、基板の方向へ反射する。
ハウジング202の上側部分232は、2つの理由に基づいて選択された距離「x」だけ半径方向内向きに反射面208から延びている。第一に、障壁166を省いた実施形態に関して、距離「x」は、シールドリング118と上側部分232の間に望ましい空間を提供するように選択され、一方で基板支持体106は、その最上部の処理位置に配備することができる。そのような実施形態において、シールドリング118と上側部分232の間の空間は、堆積材料が下側容積134中へ流れてその中でチャンバ面に堆積する範囲に対して影響を及ぼす。第二に、距離「x」は、熱処理中に放射エネルギ源204に最の近い基板部分を陰にして過剰な照射を避けるように選択される。上側部分232は、放射エネルギ源204から来る放射を望ましい量だけ遮るように与えられた突起234付きの延長部を有することができる。延長部210は、一部の実施形態では、放射エネルギ源204及び導管212への相対的近接度のためにセラミックのような耐熱材料とすることができる。他の実施形態において、延長部210は、放射が選択的に阻止、透過、又は吸収及び再放出されるように、放出された放射に関して望ましい光学特性を有する材料で形成することができる。延長部210の内側半径は、チャンバ100の中心領域から測定すると、一部の実施形態で内側半径144と同程度とすることができる。一部の実施形態において、距離「x」と突起234は、放射エネルギ源234から処理位置128及び160に位置する基板のいずれの部分への見通し線も遮るように構成することができる。300mmウェーハを処理するように構成されたチャンバに関して、ハウジングの上側部分及び下側部分は、放射エネルギ源204の構成に応じてチャンバ壁104から約1cmから約6cmの範囲で拡張することができる。一実施形態において、ハウジングの上側部分及び下側部分は、チャンバ壁から約2cm拡張されている。
放射エネルギ源204による基板の直接照射を低減するために、他の方法が使用することができる。一実施形態において、基板の方を向いた放射エネルギ源204の面、例えば、ハウジング202から離れる方向に向く面又は反射面208は、基板への直接的な見通し線照射の入射を低減する又は除くように構成されたコーティングで被覆することができる。一部の実施形態において、放射エネルギ源204からコーティングへ入射する光が反射面208のようなハウジング202の反射面に向けて反射するように、コーティングは反射性とすることができる。他の実施形態において、コーティングは、吸収性、半透明、不透明、黒色、又は白色とすることができる。一部の実施形態において、コーティングは、金属又はセラミックである。コーティングは、典型的には、放射エネルギ源204の温熱環境に耐えるように選択される。コーティングの使用は、任意的に延長部210の突起234及び上側部分232と合わせて、熱処理中に基板へ到達する全ての光が反射光であることを確実にする。一部の実施形態において、コーティングを使用することで、放出された放射による基板面の直接照射に対する可能性を排除することにより、ハウジング202の上側部分232の必要性を取り除くことができる。
シールドリング118は、放射エネルギ源204から処理位置160に位置する基板に向けて放射を反射するように構成された上面224を有することができる。シールドリング118の輪郭部分240は、反射された放射を基板に向けるように、高くし、角度を付け、又は湾曲させることができる。障壁は、シールドリング118の輪郭部分240の形状と整合するように成形された輪郭部分236を有することができる。輪郭部分236は、ノッチ164及び障壁166周りを通るいずれのガス流動の制御をも確実にするために、シールドリング118の輪郭部分240に対する整合関係に湾曲させ、角度を付け、又はテーパを付けることができる。
シールドリング118は、金属、例えば、ステンレス鋼又は金属被覆セラミックとすることができる。典型的には、シールドリング118は、高温処理に耐える材料で形成され、シールドリング118の面224は反射性である。シールドリング118は、銀のような反射性金属で被覆したセラミックとすることができ、又はシールドリング118は、異なる屈折率を有する層状のセラミックのような誘電体ミラーを使用することができる。シールドリング118の上面224は、反射面208とシールドリング118の上面224とが共に可能な限り大量の放射を可能な限り均一に放射エネルギ源204から放射エネルギ源204上方に位置する基板裏側へ誘導するように構成された複合反射器を形成するように、反射面208の曲率及び/又は刻面と適合する方法で湾曲させ及び/又は小面を刻むことができる。
熱センサ120は、チャンバ壁104の開口部216を通して配置される分光センサを含む。プレート218は、間隙154を通して処理位置160にある基板の裏側が見えるように望ましい位置に分光センサを固定する。分光センサ214は、高温計とすることができる。一実施形態において、分光センサ214は、約1μmの波長を有する放射の強度を測定するように調整された高温計であると考えられる。他の実施形態において、高温計は、約7−15μmの波長領域で作動することができる。
チャンネル228は、基板支持体106の基板受け面116内に形成され、基板受け面116に配置された基板への冷媒の接触を容易にする。チャンネル228は、導管130と流体連通している。冷媒、例えば、冷却ガスは、導管130を通じてチャンネル228へ供給され、基板受け面の中心から端部へ流れる。チャンネル228は、流体の流れを容易にするために基板受け面116の中心から端部へ向けて増加する深さを有することができる。流体の流れる領域の増加は、加熱による冷媒の膨張を相殺する。冷媒の膨張は、基板受け面116の外周への流れを妨げる圧力を生じる。チャンネルが漸増的に深くなることで、その妨げが相殺される。チャンネルは、望ましい正確な冷却プロフィールに応じて、いずれかの有用な深さプロフィールで設計することができる。一実施形態において、チャンネル深さは、基板受け面116中心からの距離に線形比例して増加する。そのような実施形態において、流体流れの流束面積も線形に増加する。他の実施形態において、チャンネルの深さ228は、基板受け面116中心からの距離の2乗で増加する。図2Bは、図1の基板支持体106のような基板受け面中心からの距離の2乗で増加する深さを有するチャンネル228を有する基板支持体の拡大図である。他の実施形態において、チャンネル228の深さは、基板受け面116の中心から端部への質量流束が実質的に一定になるように構成することができる。
基板受け面116は、反射性とすることができる。誘電体ミラーが一実施形態では備えられている。他の実施形態において、銀のような反射性金属がセラミック材料の上に又は透明材料の下に適用されている。反射性金属は、流体流れの凹部の中に共形的な方式で拡張することができる。例えば、望む場合に、反射性のライナを流体流れの凹部に適用することができる。望む場合は、いずれの公知の共形的処理も、共形的な反射性面を形成するのに使用することができる。他の実施形態において、例えば、反射性材料を共形的に堆積させて、研磨のような物理的手段か又はエッチングのような化学的手段により凹部間の平坦な面から反射性材料を除去することにより、反射性材料は、流体流れの凹部にのみ適用することができる。
反射性の基板受け面116は、基板168によって吸収される可能性が高い放射を選択的に反射するように構成することができる。一実施形態において、約0.2μmから約1.0μmまでの範囲の波長を有する放射を反射するように構成された誘電体ミラーが役に立つ場合がある。そのような誘電体ミラーは、基板受け面116上に異なる屈折率を有する交互層を形成することによって作り出すことができる。
図2Cは、図2Aの実施形態で放射エネルギ源204として使用することができる放射エネルギ源250の斜視図である。放射エネルギ源250は、円形基板の処理のために実質的に円筒形をしたチャンバで使用するように半円形である。放射エネルギ源250は、放射部分252及び各端部に1個ずつの2個のコネクタ254を有するハロゲンランプである。コネクタ254は、電源(図示しない)への接続のために、図2Aに関して上述したハウジング202及びチャンバ壁104の開口部から突き出るように構成される。典型的には、導体は、コネクタ254へ電力を伝えるためにチャンバ壁外側の周りに配置される。2個のそのような放射エネルギ源250が、全体を通して均一な放射を生じるように、一般的に1つのチャンバで互いに向かい合わせて使用されることになる。一実施形態において、放射エネルギ源250は、7.5kW,480Vの白熱又はハロゲン白熱Osramランプである。他の実施形態において、放射エネルギ源250は、キセノン放電ランプである。放射エネルギ源250は、図2Aの支持体206のような支持体と接するための接触面256を有することができる。接触面256は、放射エネルギ源250との接触による支持体206の直接加熱を低減するために、熱絶縁パッドとすることができる。
本明細書で204及び250として表される放射エネルギ源は、放射源平面126を形成して基板受け面116と実質的に同一平面上にあるように示唆されている。一部の実施形態において、基板受け面116に対して横断的な又は垂直な変位要素を有する放射源の使用が有利であることに注意すべきである。そのような放射源は、放射が放出される直線距離を増大させることにより放射源の力を増すのに役に立つ場合がある。一実施形態において、複数の直線的に延ばされた放射源は、基板受け面116と垂直な方向に配置することができる。他の実施形態において、放射源は、交互に横断的な変位(例えば、波形パターン)を特徴とすることができる。そのような実施形態において、ハウジング及びその反射面は、特定の放射パターンを生じるように最適化することができる。他の実施形態において、ランプは、多角形ランプを形成する複数の結合された直線部分から成ることができる。他の実施形態において、放射源は、チャンバの周囲に基板支持体106の基板受け面116に実質的に平行な平面と同一平面に配置された複数の線状ランプとすることができ、各ランプの主軸は、基板受け面116に実質的に平行である。他の実施形態において、複数のそのようなランプ平面を使用することができ、各平面は、他平面から基板受け面116に垂直な方向へ配置されている。他の実施形態において、渦巻き状又は螺旋状のランプは、上述の他のランプ構成と合わせて使用することができる。
他の実施形態では、複数のU字形ランプを使用することができ、そこではU字形の直線部分は基板受け面と実質的に平行に延びており、1つのU字形ランプの端部は、ハウジング反射面の中断を最小にするために2つの隣接するランプの電気接点がハウジング及びチャンバをできるだけ小面積で貫通するように、別のU字形ランプの端部の近くに配置される。そのようなランプは、1つのランプの電気出口を隣接するランプの電気入口に接続することにより、容易に直列接続が可能である。チャンバの周囲に配置された多数の供給源を特徴とする実施形態において、各供給源は、個別の反射器とハウジングを有することができ、又は全供給源に対して単一の反射器とハウジングを含み又はそれに至るまで、1つの反射器とハウジングを一群の放射源に対して与えることができる。一実施形態において、2つの放射源が使用される。
再び図2Aを参照すると、ハウジング202の上側部分232は、通常、基板受け面116に対して平行に描かれる下面238を有する。下面238は、放射源アセンブリ112の効率を改善するように反射性とすることができる。一実施形態において、下面238と基板受け面116の間の距離が、チャンバ壁104からの半径方向距離と共に減少するように、下面238には、基板受け面116に関して傾斜が付けられている。この傾斜は、線形又は非線形とすることができ、放射エネルギ源204から基板面への放射を増加させることができる。一実施形態において、下面238の傾斜は線形であり、約3°から約7°の範囲のような約1°から約10°の範囲にある基板受け面116となす角を形成しており、例えば、約5°である。他の実施形態において、下面238は、いずれかの都合のよい設計に応じて湾曲させることができる。下面238は、単一の曲率半径又は焦点を有することができ、又は複数の曲率半径又は焦点を有することができる。一例では、下面238は、ランプの放電コイル又はフィラメントと実質的に一致する焦点領域を有して近似的に円筒形とすることができる。下面238から反射された放射は、より少ないエネルギで望ましい放射出力電力に達するように、放電コイルの温度を作動範囲まで上昇させるのを助けることができる。他の実施形態では、下面238は、基板まで反射放射を到達させるために、反射された放射をランプから遠ざけて面208に向けて誘導する又は集束させるように構成することができる。他の実施形態では、下面238は、ハウジング202内の多数の個所へ、又は基板支持体106又はシールドリング118へ放射を反射させるようにカスプ型とすることができる。
基板は、材料処理(例えば、堆積又は注入)及び熱処理に対して同じ位置に配置される必要がないことに注意すべきである。上述では処理位置160が材料処理中及び熱処理中で同じであると示唆されているが、そうである必要はない。例えば、熱処理位置は、材料処理位置とは異なることができる。基板は、材料処理位置から熱処理位置へ上昇又は下降させることができる。熱処理位置の材料処理位置に対する位置は、一般的に、放射源の設計と材料処理の必要度に依存する。
チャンバ100は、一実施形態ではPVDチャンバとすることができる。そのような実施形態において、チャンバ100の蓋部分102は、スパッタリングターゲット、マグネトロン、及び当業技術で公知のようなガス給送システムを含むことになる。代替の実施形態では、チャンバ100は、蓋部分102に配置された当業技術で公知のようなシャワーヘッド又はシャワーヘッド電極を有するCVDチャンバ、PECVDチャンバ、又はエッチングチャンバとすることができる。別の実施形態では、チャンバ100は、当業技術で公知のような蓋部分102内に配置された又は結合された誘導プラズマ源を有するP3iチャンバとすることができる。放射源アセンブリ112のような放射源アセンブリは、統合された熱処理に望ましいあらゆる処理チャンバに使用することができる。
図3は、別の実施形態による方法300を要約した流れ図である。方法300は、上述の実施形態のいずれかに説明されるような二重機能チャンバを利用して材料処理(例えば、堆積、エッチング、及び/又は注入)と熱処理を単一チャンバで達成する。310で、基板は、チャンバ内の基板支持面に配置される。320で、基板は、材料処理位置に配置され、材料処理が基板の第1の面上で実行される。330で、基板は、基板支持面からある間隔だけ離される。340で、基板の第1の面と反対側の第2の面を基板支持体の周りに配置された放射エネルギ源に露出することによって熱処理が実行される。350で、基板は、基板支持体と再度係合し、冷却される。方法300全体は、単一チャンバで循環的に材料/熱処理を行うためにいずれかの所望回数繰り返すことができる。更に、材料作動及び熱作動は、望む場合に同じ回数又は異なる回数だけ独立して繰り返すことができる。
一実施形態において、320の材料処理は、基板に金属を堆積するためのPVD処理である。スパッタリングガスは、チャンバの蓋部分でチャンバへ供給される。蓋部分に配置されたスパッタリングターゲットは、RF又はDC電力で活性化され、プラズマを形成する。プラズマは、ターゲットから基板上へ材料をスパッタリングする。金属埋め込み処理の場合には、TiN又はTaNといった障壁層が、金属化の前に埋め込まれる特徴部に堆積される。シリサイド化の場合には、障壁層は省略され、金属は、直接にシリコン上に堆積される。金属堆積処理の場合に、320で行われる熱処理は、基板凹部での金属のオーバーハングを低減するためのリフロー処理、又は金属とシリコン間の反応を促進するシリサイド化処理とすることができる。1つのPVD実施形態において、銅ターゲットが、必要とされる堆積レート及び基板サイズに応じて約200scmから約20,000sccmの範囲の流量で供給されるアルゴンのスパッタリングガスによってスパッタリングされる。約100Wから約10,000Wの範囲のRF電力がターゲットに印加される。
金属堆積処理に続いて、基板は、330で第1温度プロフィールから第2温度プロフィールへ、約15℃/secから約17℃/secの範囲内といった約10℃/secから約20℃/secの範囲の例えば約16.2℃/secの昇温レートで加熱される。第1温度プロフィールは、約5℃の均一性を有して約20℃から約200℃の範囲内の例えば約50℃の平均温度を特徴とする。
本明細書に説明する加熱方法は、金属堆積処理に関して優れている。金属が基板面に堆積される時に、面は反射性を得る。放射エネルギの吸収は、一般的に、金属被膜処理された面上で減少するために、金属被膜処理された面の照射は、金属被膜処理された面の反対側、例えば、基板裏側を加熱するほどには有効ではない。シリコンのエネルギ吸収、例えば、金属被膜シリコンのエネルギ吸収を改善することで、熱処理工程のエネルギ効率が改善する。
銅のリフロー処理に関して、第2温度プロフィールは、約20℃の均一性、例えば、約15℃以内の均一性を有し、275℃から約325℃の範囲といった約250℃から約350℃の範囲の平均温度、例えば、約320℃の平均温度を特徴とする。温度は、約15秒から約25秒の間といった約10秒から約30秒の間、例えば、20秒間昇温される。第2温度プロフィールは、昇温後、リフロー処理を完了させるために約5秒から約25秒の間といった約0秒から約30秒の間、例えば、約20秒間にわたって実質的に維持される。このような方法は、必要に応じて、異なる温度と時間を使用して異なる材料の堆積及びリフローに使用することができる。チャンバ100のようなチャンバは、そのような方法に関して最小限の適応化で使用することができる。
一部の実施形態において、基板温度は、放射源に対して第1電力設定値を適用することにより昇温され、その後にターゲット温度に達すると直ちに放射源に対して第2電力設定値を適用することにより、温度は実質的に一定に保たれる。一実施形態において、第1電力設定値は、放射源の定格電力の約90%であり、第2電力設定値は、放射源の定格電力の約10%である。先に言及された7.5kWのOsramランプの場合には、90%の電力設定値は、各ランプに対して約6.75kWを印加し、10%の電力設定値は、各ランプに対して約750Wを印加する。使用される放射源及び実行される熱処理に応じて、昇温のための負荷サイクルは、約60%から約95%の範囲であり、温度維持のための負荷サイクルは、約5%から約30%の範囲である。
基板は、340で約30秒から約120秒の間、例えば、60秒間にわたって約50℃よりも低い平均温度を有する第3温度プロフィールへ冷却される。冷却中、基板全体での最大温度不均一性は、約55℃未満に保たれ、基板への熱応力を最小にする。これは、図1及び2Aと関連して上述した基板支持体を使用することで達成される。一実施形態において、最大温度不均一性は、約50℃未満である。
金属堆積処理では、金属を堆積してリフローさせる操作は、上述したように、パターン化された基板開口部の金属による充填を達成するために、単一チャンバであらゆる回数繰り返すことができる。このような循環的なリフロー処理は、空隙のない凹部充填を迅速に達成する。殆どの実施形態において、堆積及び熱処理は、5回又はそれ未満繰り返される。一部の実施形態において、従来型の障壁層を上述したような充填処理の開始の前に基板全体に形成することができる。障壁層は、継続的な堆積及び熱処理中に金属が基板内部へ移動する傾向を低減する。
シリサイド処理では、上述の周囲の放射源が、基板を約150℃から約500℃の範囲の温度まで加熱し、シリコンとコバルト及びモリブデンのような堆積された金属との間の反応を促進するのに使用することができる。堆積及び熱処理は、シリサイド化に関しても循環的に繰り返すことができる。
別の実施形態において、方法300は、窒化及び酸化の処理とすることができる。DPN処理のようなプラズマ窒化処理は、基板を窒素プラズマに露出することで行うことができる。その後に、本明細書に説明されるような多機能な材料/熱処理チャンバを用いて、熱処理のために基板を配置して窒素プラズマに露出された面の反対側の基板面を照射するように配置された放射エネルギ源を作動させることにより、再酸化処理を同一チャンバで行うことができる。酸素ラジカルを含む雰囲気のような酸化雰囲気を熱処理中に供給することができる。循環的な窒化/再酸化処理は、あらゆる所望回数だけ繰り返すことができる。
図1−2Bに関連して上述したチャンバ100は、単一チャンバで基板に材料処理と熱処理とを行う二重機能チャンバである。このような二重機能チャンバは、その後に熱処理が続く材料処理を特徴とする処理に対して有用である。そのような処理は、以下に限定されるものではないが、金属堆積とリフロー、シリサイド化、堆積(CVD、ALD、PECVD、エピタキシ)とアニール、注入とアニール、及びプラズマ窒化と再酸化を含む。そのような処理は、実質的に上述したような周囲の放射源を材料処理を行うチャンバに結合させることによって達成される。
図4は、別の実施形態によるクラスターツール400の平面図である。クラスターツール400は、上述したような少なくとも1つの二重機能材料/熱処理チャンバを特徴とする。クラスターツール400の例は、カリフォルニア州サンタクララ所在の「Applied Material Inc.」から入手可能なCENTURA(登録商標)である。他社により製造されたクラスターツールも使用可能である。いずれかの便利なタイプの搬送ロボット404が、クラスターツールの搬送チャンバ402に配置される。2つのロード−ロックチャンバ406A/Bを有するロード−ロック406が、搬送チャンバ402に連結されている。複数の処理チャンバ408、410、412、414、及び416も、搬送チャンバ402に連結されている。従来のクラスターツールでは、1つ又はそれよりも多くの処理チャンバは、アニール、脱ガス、又は酸化チャンバのような熱処理チャンバとすることができる。本明細書に説明するような二重機能チャンバを使用することにより、熱処理チャンバは削除され、生産率を増大させることができる。
一実施形態において、クラスターツール400は、論理ゲート形成ツールとすることができる。チャンバ408は、シリコン堆積チャンバとすることができ、それは、PVD又はCVDとすることができ、プラズマ援用タイプとすることができる。チャンバ410は、それに応じて二重機能のプラズマ窒化及び熱処理チャンバとすることができる。チャンバ412は、生産率を最大にする必要性に応じて、酸化膜形成、窒化/熱処理、又はシリコン形成に充てることができる。どの1つのチャンバも熱処理だけに充てられないので、二重機能の材料/熱処理チャンバを使用することにより、生産率を増大させることができる。他の実施形態において、チャンバ408、410、412、414、及び416のいずれも、プラズマ洗浄と再酸化とを組み合わせたチャンバとすることができる。
図5は、別の実施形態によるクラスターツール500の平面図である。クラスターツール500は、2つの搬送チャンバ502及び504に連結された複数の処理チャンバを特徴とする。クラスターツール500の例は、「Applied Material Inc.」のENDURA(登録商標)システムである。他社により製造されたクラスターツールも使用可能である。搬送チャンバ506及び508の各々は、それぞれ搬送ロボット506及び508を有する。2つのロード−ロックチャンバ510A/Bを特徴とするロード−ロック510が、第1搬送チャンバ502に連結されている。基板は、搬送ロボット506と508の両方にアクセス可能な通過チャンバ520及び522を使用して搬送チャンバ間で渡される。
典型的な構成では、処理チャンバ524、526、528、530、及び532のいずれか又は全ては、蓋部分の構成に応じてプラズマ援用とすることができるCVD、PVD、又はALD処理による堆積を行う二重機能の堆積/熱処理チャンバとすることができる。一実施形態において、処理チャンバ524及び536は、障壁層を形成するPVDチャンバであり、チャンバ528、530、及び532は、二重機能のPVD金属堆積/リフローチャンバであり、各チャンバは、図3の処理に類似した処理を行うことができる。チャンバ516及び518は、前洗浄チャンバとすることができ、チャンバ512及び514は、脱ガス、冷却、又は表面安定化のためのチャンバとすることができる。チャンバ516、518,524、526、528、及び532のいずれも、プラズマ洗浄と再酸化を組み合わせたチャンバとすることができる。
以上は本発明の実施形態へ向けられたものであるが、本発明の他の及び更に別の実施形態は、その基本的な範囲から外れることなく考案することができる。
100 チャンバ。
106 基板支持体
112 放射源アセンブリ
116 基板受け面
172 基板裏側

Claims (18)

  1. 半導体基板を処理するためのチャンバであって、
    チャンバの処理位置と搬送位置の間に放射源を与えるためにチャンバの周囲領域に位置決めされた放射エネルギ源と、
    前記放射エネルギ源の周りに配置された反射器と、
    前記処理位置の上方に配置されたガス源と、
    を含むことを特徴とするチャンバ。
  2. 前記反射器は、前記放射熱源から前記処理位置に向けて放射を反射するように成形されることを特徴とする請求項1に記載のチャンバ。
  3. 垂直アクチュエータに連結された基板支持体と、該基板支持体に連結されてその基板受け面を通して延びるリフトピンアセンブリとを更に含み、
    前記リフトピンアセンブリは、複数のリフトピンを含み、その各々は、前記基板支持体の前記基板受け面が前記放射源と前記搬送位置の間に位置決めされている間、基板を前記処理位置に維持するのに十分な長さを有する、
    ことを特徴とする請求項1に記載のチャンバ。
  4. 前記反射器は、前記放射熱源と前記チャンバの内側の前記処理位置の各周囲部分との間に突き出る半径方向延長部を含むことを特徴とする請求項1に記載のチャンバ。
  5. 反射面を有するエッジリングを備えた基板支持体を更に含むことを特徴とする請求項1に記載のチャンバ。
  6. 前記基板支持体は、溝付きの基板受け面を有し、該溝の深さが、該基板支持体の中心からの距離と共に増加することを特徴とする請求項5に記載のチャンバ。
  7. 前記放射エネルギ源は、前記反射器から離れる方向に向く該放射エネルギ源の面上にコーティングを有することを特徴とする請求項1に記載のチャンバ。
  8. その第1の面に開口が形成された基板を処理する方法であって、
    処理チャンバ内の基板支持体上に基板を位置決めする段階と、
    前記前記処理チャンバ内で前記第1の面にわたってかつ前記トレンチに第1の材料を堆積させる段階と、
    前記処理チャンバ内で前記第1の面の反対側の前記基板の第2の面を照射することによって前記堆積された第1の材料をリフローさせる段階と、
    を含むことを特徴とする方法。
  9. 前記処理チャンバ内で前記第1の面にわたってかつ前記トレンチに第2の材料を堆積させる段階を更に含むことを特徴とする請求項8に記載の方法。
  10. 前記基板の第2の面を照射する段階は、該基板を前記基板支持体の上方に位置決めする段階と、環状ランプ熱源を活性化する段階とを含むことを特徴とする請求項8に記載の方法。
  11. 前記基板を前記基板支持体の上方に位置決めする段階は、リフトピンで該基板を上昇させる段階を含むことを特徴とする請求項8に記載の方法。
  12. 前記堆積された第1の材料をリフローさせる段階は、前記基板面のフィールド領域から該堆積された第1の材料を実質的に除去する段階を含むことを特徴とする請求項8に記載の方法。
  13. 前記基板の前記第2の面を照射することによって前記堆積された第2の材料をリフローさせる段階を更に含むことを特徴とする請求項9に記載の方法。
  14. 単一基板を処理するためのチャンバであって、
    チャンバの内側の処理位置と基板搬送位置の間を移動するように作動される基板支持体であって、該基板支持体が、
    複数の通路がそこに形成され、かつ複数の開口部がそこを通して形成された基板受け面、及び
    前記基板支持体に連結され、前記開口部を通して配置されて前記基板受け面の上方に延びるように作動される複数のリフトピンを含むリフトピンアセンブリ、
    を含む前記基板支持体と、
    チャンバの側壁から半径方向内向きに延びる複数のピン上に配置され、前記処理位置と前記基板搬送位置の間にある放射源平面を定める環状ランプと、
    前記環状ランプの周りに配置された凹面環状反射器と、
    を含むことを特徴とするチャンバ。
  15. 前記基板受け面に形成された通路は、該基板受け面の中心から該基板受け面の周囲部分まで増加する深さを有することを特徴とする請求項14に記載のチャンバ。
  16. 前記基板支持体の周りに配置され、かつ反射性の上面を有するエッジリングを更に含み、
    前記基板支持体も、反射性の上面を有する、
    ことを特徴とする請求項14に記載のチャンバ。
  17. 前記基板支持体は、誘電体ミラーを含む反射性の基板受け面を有することを特徴とする請求項14に記載のチャンバ。
  18. 前記環状ランプは、該環状ランプの面上に反射コーティングを有することを特徴とする請求項14に記載のチャンバ。
JP2013558073A 2011-03-11 2012-03-09 ランプアセンブリを使用した基板下面のオフアングル加熱 Active JP6073256B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/046,261 US8404048B2 (en) 2011-03-11 2011-03-11 Off-angled heating of the underside of a substrate using a lamp assembly
US13/046,261 2011-03-11
PCT/US2012/028521 WO2012125469A2 (en) 2011-03-11 2012-03-09 Off-angled heating of the underside of a substrate using a lamp assembly

Publications (2)

Publication Number Publication Date
JP2014514734A true JP2014514734A (ja) 2014-06-19
JP6073256B2 JP6073256B2 (ja) 2017-02-01

Family

ID=46795968

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013558073A Active JP6073256B2 (ja) 2011-03-11 2012-03-09 ランプアセンブリを使用した基板下面のオフアングル加熱

Country Status (6)

Country Link
US (3) US8404048B2 (ja)
JP (1) JP6073256B2 (ja)
KR (2) KR101921199B1 (ja)
CN (1) CN103415910B (ja)
TW (1) TWI545635B (ja)
WO (1) WO2012125469A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10208397B2 (en) 2015-08-03 2019-02-19 Samsung Electronics Co., Ltd. Apparatus for depositing a thin film
JP2022174007A (ja) * 2021-05-10 2022-11-22 ピコサン オーワイ 基板処理装置及び方法

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9905443B2 (en) * 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US8926806B2 (en) * 2012-01-23 2015-01-06 Taiwan Semiconductor Manufacturing Co., Ltd. Shielding design for metal gap fill
US10504719B2 (en) * 2012-04-25 2019-12-10 Applied Materials, Inc. Cooled reflective adapter plate for a deposition chamber
US8993422B2 (en) * 2012-11-09 2015-03-31 Infineon Technologies Ag Process tools and methods of forming devices using process tools
CN104233191A (zh) * 2013-06-08 2014-12-24 北京北方微电子基地设备工艺研究中心有限责任公司 加热腔室及等离子体加工设备
JP6908999B2 (ja) * 2013-08-12 2021-07-28 アプライド マテリアルズ イスラエル リミテッド 密封されたチャンバを形成するためのシステムおよび方法
US9443728B2 (en) 2013-08-16 2016-09-13 Applied Materials, Inc. Accelerated relaxation of strain-relaxed epitaxial buffers by use of integrated or stand-alone thermal processing
AT515052B1 (de) * 2013-10-17 2015-08-15 Mb Microtec Ag Visiervorrichtung
US9673092B2 (en) * 2014-03-06 2017-06-06 Asm Ip Holding B.V. Film forming apparatus, and method of manufacturing semiconductor device
CN106715753B (zh) * 2014-09-05 2020-03-17 应用材料公司 常压外延沉积腔室
US10760161B2 (en) 2014-09-05 2020-09-01 Applied Materials, Inc. Inject insert for EPI chamber
US11060203B2 (en) 2014-09-05 2021-07-13 Applied Materials, Inc. Liner for epi chamber
WO2016126381A1 (en) * 2015-02-05 2016-08-11 Applied Materials, Inc. Rapid thermal processing chamber with linear control lamps
JP6554328B2 (ja) 2015-05-29 2019-07-31 株式会社Screenホールディングス 熱処理装置
US10727094B2 (en) 2016-01-29 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd Thermal reflector device for semiconductor fabrication tool
US9818717B2 (en) * 2016-02-24 2017-11-14 International Business Machines Corporation Enhanced cleaning for water-soluble flux soldering
CN108886013B (zh) * 2016-03-14 2023-06-16 应用材料公司 在去夹持步骤期间移除静电夹盘上的残余电荷的方法
US10325790B2 (en) * 2016-04-29 2019-06-18 Applied Materials, Inc. Methods and apparatus for correcting substrate deformity
US11572617B2 (en) * 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US20180122670A1 (en) * 2016-11-01 2018-05-03 Varian Semiconductor Equipment Associates, Inc. Removable substrate plane structure ring
US10573498B2 (en) * 2017-01-09 2020-02-25 Applied Materials, Inc. Substrate processing apparatus including annular lamp assembly
TWI776859B (zh) * 2017-03-06 2022-09-11 美商應用材料股份有限公司 旋轉器蓋
US10950449B2 (en) * 2018-01-12 2021-03-16 Asm Ip Holding B.V. Substrate processing apparatus
CN108060406B (zh) * 2018-01-29 2023-09-08 北京北方华创微电子装备有限公司 遮挡压盘组件、半导体加工装置和方法
US11043403B2 (en) 2018-04-06 2021-06-22 Semes Co., Ltd. Substrate support unit and substrate processing apparatus having the same including reflective member configured to reflect light toward substrate
KR102208753B1 (ko) * 2018-04-06 2021-01-28 세메스 주식회사 기판 지지 유닛 및 이를 갖는 기판 처리 장치
WO2020001730A1 (en) * 2018-06-25 2020-01-02 Applied Materials, Inc. Carrier for a substrate and method for carrying a substrate
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
US11289312B2 (en) * 2019-06-12 2022-03-29 Applied Materials, Inc. Physical vapor deposition (PVD) chamber with in situ chamber cleaning capability
KR102201877B1 (ko) * 2019-06-21 2021-01-13 세메스 주식회사 기판 지지 유닛 및 이를 갖는 기판 처리 장치
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
CN110923642B (zh) * 2019-11-11 2022-07-22 北京北方华创微电子装备有限公司 溅射装置
US20220267893A1 (en) * 2019-11-11 2022-08-25 Beijing Naura Microelectronics Equipment Co., Ltd. Sputtering device
US20210375650A1 (en) * 2020-06-01 2021-12-02 Applied Materials, Inc. High temperature and vacuum isolation processing mini-environments
US11222816B2 (en) * 2020-06-16 2022-01-11 Applied Materials, Inc. Methods and apparatus for semi-dynamic bottom up reflow
CN114075650A (zh) * 2020-08-18 2022-02-22 群创光电股份有限公司 曲面基板的镀膜装置及其镀膜方法
KR102584511B1 (ko) * 2020-12-07 2023-10-06 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US11492699B2 (en) 2021-02-17 2022-11-08 Applied Materials, Inc. Substrate temperature non-uniformity reduction over target life using spacing compensation
CN114126101B (zh) * 2021-11-02 2024-01-26 Tcl华星光电技术有限公司 石英红外加热装置及其对基板加热的方法
KR102554574B1 (ko) 2023-02-06 2023-07-12 주식회사 트리버스시스템 물리적 기상 증착의 결합력 강화를 위한 지향성 표면 가열 장치

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63241923A (ja) * 1987-03-30 1988-10-07 Nikon Corp 光照射装置
JPH01319934A (ja) * 1988-05-09 1989-12-26 Siemens Ag 電磁放射照射による半導体円板の急速熱処理方法
JPH0669148A (ja) * 1992-08-21 1994-03-11 Nec Kansai Ltd 加熱装置
JPH0729843A (ja) * 1993-06-25 1995-01-31 Hitachi Ltd 熱処理装置
JPH07201753A (ja) * 1993-12-29 1995-08-04 Nippon Steel Corp 薄膜製造方法およびその装置
JPH10116793A (ja) * 1996-08-28 1998-05-06 Applied Materials Inc 半導体プロセスチャンバのリフレクタ
US5792273A (en) * 1997-05-27 1998-08-11 Memc Electric Materials, Inc. Secondary edge reflector for horizontal reactor
JPH10335435A (ja) * 1997-04-30 1998-12-18 Applied Materials Inc 枚葉式ウエハ用化学気相堆積/エッチングプロセスチャンバのための石英ピンリフト
JP2000323487A (ja) * 1999-05-14 2000-11-24 Tokyo Electron Ltd 枚葉式熱処理装置
JP2001148377A (ja) * 1999-09-16 2001-05-29 Samsung Electronics Co Ltd 薄膜形成装置及びこれを用いた半導体素子のキャパシタ形成方法
JP2002075899A (ja) * 2000-08-31 2002-03-15 Ulvac-Riko Inc 円形状平板試料の均熱装置
JP2002134429A (ja) * 2000-10-12 2002-05-10 Applied Materials Inc 基板処理装置用のベアリングカバー、基板処理装置および熱処理方法
JP2002217183A (ja) * 2001-01-22 2002-08-02 Tokyo Electron Ltd 熱処理装置と熱処理方法
JP2002529911A (ja) * 1998-10-30 2002-09-10 アプライド マテリアルズ インコーポレイテッド 二表面反射体
JP2002329675A (ja) * 2001-04-27 2002-11-15 Hitachi Kokusai Electric Inc 加熱処理装置
JP2005108967A (ja) * 2003-09-29 2005-04-21 Hitachi Kokusai Electric Inc 基板処理装置
JP2007214593A (ja) * 2007-05-07 2007-08-23 Canon Anelva Corp 銅配線膜形成方法及び配線膜
JP2007214387A (ja) * 2006-02-09 2007-08-23 Tokyo Electron Ltd 成膜方法、プラズマ成膜装置及び記憶媒体
US7772527B2 (en) * 2005-05-04 2010-08-10 Samsung Electronics Co., Ltd. Heat reflector and substrate processing apparatus comprising the same
JP2013058627A (ja) * 2011-09-08 2013-03-28 Shin Etsu Handotai Co Ltd エピタキシャル成長装置

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5803977A (en) * 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
KR100297282B1 (ko) 1993-08-11 2001-10-24 마쓰바 구니유키 열처리장치 및 열처리방법
US5518593A (en) * 1994-04-29 1996-05-21 Applied Komatsu Technology, Inc. Shield configuration for vacuum chamber
US5561735A (en) * 1994-08-30 1996-10-01 Vortek Industries Ltd. Rapid thermal processing apparatus and method
DE4432315A1 (de) * 1994-09-12 1996-03-14 Patent Treuhand Ges Fuer Elektrische Gluehlampen Mbh Quecksilberdampf-Kurzbogenlampe
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
WO1998029704A1 (en) * 1997-01-02 1998-07-09 Cvc Products, Inc. Thermally conductive chuck for vacuum processor
US6222990B1 (en) 1997-12-03 2001-04-24 Steag Rtp Systems Heating element for heating the edges of wafers in thermal processing chambers
JP5049443B2 (ja) * 2000-04-20 2012-10-17 東京エレクトロン株式会社 熱処理システム
JP4540796B2 (ja) * 2000-04-21 2010-09-08 東京エレクトロン株式会社 石英ウインドウ、リフレクタ及び熱処理装置
JP2002313781A (ja) * 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板処理装置
US6600138B2 (en) * 2001-04-17 2003-07-29 Mattson Technology, Inc. Rapid thermal processing system for integrated circuits
US6344631B1 (en) * 2001-05-11 2002-02-05 Applied Materials, Inc. Substrate support assembly and processing apparatus
US6727176B2 (en) * 2001-11-08 2004-04-27 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects
US6849831B2 (en) 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
JP4397655B2 (ja) * 2003-08-28 2010-01-13 キヤノンアネルバ株式会社 スパッタリング装置、電子部品製造装置及び電子部品製造方法
US20050223993A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses; methods for assessing alignments of substrates within deposition apparatuses; and methods for assessing thicknesses of deposited layers within deposition apparatuses
US20060096851A1 (en) * 2004-11-08 2006-05-11 Ilya Lavitsky Physical vapor deposition chamber having an adjustable target
JP2006324474A (ja) * 2005-05-19 2006-11-30 Sumitomo Metal Mining Co Ltd 金属被覆ポリイミド基板の製造方法
US20070020872A1 (en) 2005-07-06 2007-01-25 Isamu Shindo Process and apparatus for producing single crystal
US7378002B2 (en) * 2005-08-23 2008-05-27 Applied Materials, Inc. Aluminum sputtering while biasing wafer
US8500963B2 (en) * 2006-10-26 2013-08-06 Applied Materials, Inc. Sputtering of thermally resistive materials including metal chalcogenides
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
US20090169760A1 (en) * 2007-12-31 2009-07-02 Rohan Akolkar Copper metallization utilizing reflow on noble metal liners
US20090194024A1 (en) 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8128262B2 (en) * 2010-03-30 2012-03-06 Abl Ip Holdings Llc Lighting applications with light transmissive optic contoured to produce tailored light output distribution
US8840288B2 (en) * 2010-11-02 2014-09-23 Nissan North America, Inc. Vehicle window assembly
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly

Patent Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63241923A (ja) * 1987-03-30 1988-10-07 Nikon Corp 光照射装置
JPH01319934A (ja) * 1988-05-09 1989-12-26 Siemens Ag 電磁放射照射による半導体円板の急速熱処理方法
JPH0669148A (ja) * 1992-08-21 1994-03-11 Nec Kansai Ltd 加熱装置
JPH0729843A (ja) * 1993-06-25 1995-01-31 Hitachi Ltd 熱処理装置
JPH07201753A (ja) * 1993-12-29 1995-08-04 Nippon Steel Corp 薄膜製造方法およびその装置
JPH10116793A (ja) * 1996-08-28 1998-05-06 Applied Materials Inc 半導体プロセスチャンバのリフレクタ
JPH10335435A (ja) * 1997-04-30 1998-12-18 Applied Materials Inc 枚葉式ウエハ用化学気相堆積/エッチングプロセスチャンバのための石英ピンリフト
US5792273A (en) * 1997-05-27 1998-08-11 Memc Electric Materials, Inc. Secondary edge reflector for horizontal reactor
JP2002529911A (ja) * 1998-10-30 2002-09-10 アプライド マテリアルズ インコーポレイテッド 二表面反射体
JP2000323487A (ja) * 1999-05-14 2000-11-24 Tokyo Electron Ltd 枚葉式熱処理装置
JP2001148377A (ja) * 1999-09-16 2001-05-29 Samsung Electronics Co Ltd 薄膜形成装置及びこれを用いた半導体素子のキャパシタ形成方法
JP2002075899A (ja) * 2000-08-31 2002-03-15 Ulvac-Riko Inc 円形状平板試料の均熱装置
JP2002134429A (ja) * 2000-10-12 2002-05-10 Applied Materials Inc 基板処理装置用のベアリングカバー、基板処理装置および熱処理方法
JP2002217183A (ja) * 2001-01-22 2002-08-02 Tokyo Electron Ltd 熱処理装置と熱処理方法
JP2002329675A (ja) * 2001-04-27 2002-11-15 Hitachi Kokusai Electric Inc 加熱処理装置
JP2005108967A (ja) * 2003-09-29 2005-04-21 Hitachi Kokusai Electric Inc 基板処理装置
US7772527B2 (en) * 2005-05-04 2010-08-10 Samsung Electronics Co., Ltd. Heat reflector and substrate processing apparatus comprising the same
JP2007214387A (ja) * 2006-02-09 2007-08-23 Tokyo Electron Ltd 成膜方法、プラズマ成膜装置及び記憶媒体
JP2007214593A (ja) * 2007-05-07 2007-08-23 Canon Anelva Corp 銅配線膜形成方法及び配線膜
JP2013058627A (ja) * 2011-09-08 2013-03-28 Shin Etsu Handotai Co Ltd エピタキシャル成長装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10208397B2 (en) 2015-08-03 2019-02-19 Samsung Electronics Co., Ltd. Apparatus for depositing a thin film
JP2022174007A (ja) * 2021-05-10 2022-11-22 ピコサン オーワイ 基板処理装置及び方法
JP7197739B2 (ja) 2021-05-10 2022-12-27 ピコサン オーワイ 基板処理装置及び方法

Also Published As

Publication number Publication date
US9818587B2 (en) 2017-11-14
KR20140018915A (ko) 2014-02-13
WO2012125469A2 (en) 2012-09-20
KR101921199B1 (ko) 2018-11-22
KR20180126609A (ko) 2018-11-27
US8404048B2 (en) 2013-03-26
TWI545635B (zh) 2016-08-11
US20120231633A1 (en) 2012-09-13
JP6073256B2 (ja) 2017-02-01
US9863038B2 (en) 2018-01-09
CN103415910B (zh) 2016-12-14
US20130196514A1 (en) 2013-08-01
CN103415910A (zh) 2013-11-27
US20130270107A1 (en) 2013-10-17
WO2012125469A3 (en) 2012-12-27
KR101947102B1 (ko) 2019-02-13
TW201246330A (en) 2012-11-16

Similar Documents

Publication Publication Date Title
JP6073256B2 (ja) ランプアセンブリを使用した基板下面のオフアングル加熱
EP3360155B1 (en) Diode laser for wafer heating for epi processes
EP0811709B1 (en) Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection
US9376752B2 (en) Edge ring for a deposition chamber
US9905443B2 (en) Reflective deposition rings and substrate processing chambers incorporating same
KR100509085B1 (ko) 열 처리 시스템
US10903067B2 (en) Cooled reflective adapter plate for a deposition chamber
US10249522B2 (en) In-situ temperature measurement in a noisy environment
TW201237936A (en) Dual plasma source, lamp heated plasma chamber
KR102117234B1 (ko) 반사성 증착 링들 및 그를 포함하는 기판 프로세싱 챔버들
JP5465828B2 (ja) 基板処理装置及び半導体デバイスの製造方法
JP2010080706A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150309

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160328

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160628

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20161205

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170104

R150 Certificate of patent or registration of utility model

Ref document number: 6073256

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250