TWI545635B - 使用燈具總成之基板下側斜向加熱 - Google Patents

使用燈具總成之基板下側斜向加熱 Download PDF

Info

Publication number
TWI545635B
TWI545635B TW101108110A TW101108110A TWI545635B TW I545635 B TWI545635 B TW I545635B TW 101108110 A TW101108110 A TW 101108110A TW 101108110 A TW101108110 A TW 101108110A TW I545635 B TWI545635 B TW I545635B
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
receiving surface
substrate support
processing
Prior art date
Application number
TW101108110A
Other languages
English (en)
Other versions
TW201246330A (en
Inventor
艾維特莫瑞斯E
沙布藍尼安納薩K
凱爾卡尤梅西M
巴拉蘇柏鎂陽錢德雷斯柯
拉尼許喬瑟夫M
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201246330A publication Critical patent/TW201246330A/zh
Application granted granted Critical
Publication of TWI545635B publication Critical patent/TWI545635B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3488Constructional details of particle beam apparatus not otherwise provided for, e.g. arrangement, mounting, housing, environment; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Thermal Sciences (AREA)
  • Automation & Control Theory (AREA)
  • Physical Vapour Deposition (AREA)
  • Electric Connection Of Electric Components To Printed Circuits (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

使用燈具總成之基板下側斜向加熱
本文所揭示之實施例係關於半導體處理。更特定言之,本文所揭示之實施例係關於用於半導體基板之材料及熱處理之設備及方法。
熱處理在半導體製造中較常見。半導體基板通常繼諸如沉積、植入或蝕刻之材料製程之後經受熱處理。在許多情況下,在與材料製程不同的腔室中執行熱處理。將基板自材料處理腔室移送至熱處理腔室費時且降低生產產量。機器利用率,即操作機器來處理基板之時間,為降低生產每一晶片之成本的關鍵因素。因此,存在對於更有效率的半導體製造製程及設備之不斷需求。
本發明揭示用於使用雙重功能製程腔室處理基板之方法及設備,該雙重功能製程腔室可在基板上執行材料製程與熱製程兩者。腔室具有輻射能源,該輻射能源安置於腔室周邊處的腔室之處理位置與輸送位置之間,在該處理位置處基板經定位用於處理,在該輸送位置處基板可經定位用於自腔室移除。基板支撐件具有基板收納表面,在該基板收納表面上,基板可經定位以經歷材料製程。反射體安置於輻射能源周圍,且氣源安置於腔室之 處理位置上方。輻射能源界定穿過輻射能源之輻射源平面,且輻射源平面大體平行於基板支撐件之基板收納表面。具有足以在基板支撐件定位於輻射源平面與輸送位置之間時將基板維持在處理位置的長度之舉升銷可用以提供基板之輻射加熱。具有反射表面之邊緣環可安置於基板支撐件周圍。
在一個態樣中,一種處理具有孔且包括形成於基板之第一表面中的溝槽之基板的方法包括以下步驟:在溝槽中的第一表面上沉積材料,及藉由將能量引導至與第一表面相對的基板之第二表面來使材料再流。接著可沉積第二材料,從而部分或完全地填充孔。或者,可執行循環沉積作用/再流製程來填充孔。
腔室經設置用於在基板之第一側上沉積材料且用於在基板之第二側上輻照,該基板之第二側與基板之第一側相對。此腔室為能夠在基板上執行材料製程與熱製程兩者而無需自腔室移除基板之雙重功能腔室,從而消除將基板自沉積腔室輸送至退火腔室所需要的時間。腔室具有:輻射能總成,該輻射能總成定位於腔室之周邊區域且在腔室之處理位置與輸送位置之間界定輻射源平面;反射體,該反射體安置於該輻射能總成周圍;以及氣源,該氣源安置於該處理位置上方。第1圖為根據一個實施例之腔室100之示意性橫截面圖。腔室100具有壁104 及蓋部102,該壁104及該蓋部102封閉腔室100之內部容積138。基板支撐件106將內部容積138分隔成上部容積136及下部容積134。允許處理氣體經由形成於蓋部102中的入口108進入腔室之上部容積136,且將安置於基板支撐件106之基板收納表面116上的基板在腔室100之處理位置160處曝露於處理氣體。處理氣體流經基板支撐件106之基板收納表面116、環繞基板支撐件106之周邊部分周圍流動且經由泵入口110離開腔室100。
基板支撐件106之軸132穿透腔室之底壁140,且基板支撐件106之軸132包括導管130,該導管130提供冷卻氣源(未圖示)與基板收納表面116之間的流體連通。將基板支撐件106垂直地致動,以使安置於基板支撐件106之基板收納表面116上的基板在腔室之處理位置160與輸送位置124之間移動。輸送位置124界定基板之位置,基板搬運設備(未圖示)可在基板之位置處經由入口122操縱基板。
輻射源總成112安置於腔室100之周邊142處,且輻射源總成112界定介於處理位置160與輸送位置124之間的輻射源平面126。複數個舉升銷114穿過基板支撐件106之基板收納表面116而安置,且當基板支撐件106回縮至輻射源平面126下方時,該複數個舉升銷114經致動以保持基板接近於處理位置160。基板藉此曝露於來自輻射源總成112之輻射。在一個態樣中,藉由致動 舉升銷,可將基板定位於不同於處理位置160之熱處理位置128處,該處理位置160可為材料處理位置。
輻射源總成112通常圍繞基板支撐件106。輻射源總成112之內部範圍144位於距基板支撐件106之外部範圍146徑向距離「d」處。選擇距離「d」以產生位於處理位置160處或位於處理位置160附近之基板之選定的輻照度。藉由改變輻射源總成112與基板支撐件106之外部範圍146之間的距離「d」,可調整影響基板之輻射量及輻射強度。距離「d」在輻射源總成112之所有點處通常實質上為恆定的,且對於經設置以處理300mm晶圓之腔室而言,距離「d」介於約0.001cm(亦即,10μm)與約5cm之間,例如,介於約1cm與約3cm之間。根據任何所需設計,在腔室100中的不同位置處,距離「d」亦可不同。舉例而言,在輻射能總成112之範圍周圍的不同點處,距離「d」可不同。
遮蔽環118安置於基板收納表面116之邊緣148周圍,該遮蔽環118可為金屬或陶瓷。遮蔽環118大體上覆蓋基板支撐件106之外部範圍146以防止外部範圍146上之沉積。遮蔽環118靜置於在基板收納表面116之外部範圍146中形成的突出部分150上。在大多數情況下,安置於基板收納表面116上的基板與遮蔽環118接觸。在替代性實施例中,基板可具有小於遮蔽環118之內半徑的外半徑,以使得基板不與遮蔽環118接觸。
在操作中,基板支撐件106在腔室100內垂直移動, 從而在處理之不同階段延伸且回縮至各個位置。在完全回縮至輸送位置的情況下,基板收納表面116定位於接近輸送位置124,以允許基板搬運機構(未圖示)放置及擷取基板。藉由致動器162延伸舉升銷114,以將基板舉升於基板收納表面116上方。致動器162藉由耦接至致動器162之馬達(未圖示)獨立於基板支撐件106移動。隨著基板支撐件106自輸送位置升高,舉升銷114回縮,因此基板收納表面116與基板接合。
基板收納表面116可結合靜電夾盤,該靜電夾盤通常為安置於絕緣基板收納表面116中之導體158。導體158可為平板、金屬線篩網或穿過基板收納表面116曲折佈線之單一路徑金屬線。功率通常經由導管156耦合至導體158,該導管156穿過基板支撐件之軸132安置。當基板收納表面116接合基板時,可激勵靜電夾盤以將基板固定於基板支撐件106上。在此時亦可經由導管130建立冷卻氣體。
基板支撐件106上定位有基板,該基板支撐件106將基板移向處理位置128及160。隨著基板支撐件106向處理位置160升高,該基板支撐件106經過輻射源總成112,該基板支撐件106具有靜置於突出部分150上之遮蔽環118。當基板收納表面116到達處理位置160時,基板可經受材料製程,諸如,沉積、植入或蝕刻。遮蔽環118可具有用於接合蓋環166之凹槽164,該蓋環166可為金屬或陶瓷,該蓋環166自遮蔽環118向外朝蓋部 102延伸。蓋環166及凹槽164藉由控制自上部容積136經過蓋環166進入下部容積134中之氣流來改良遮蔽環118之功能。凹槽164及阻障166為可選的。隨著基板支撐件106移向處理位置160及128,遮蔽環118與蓋環166接合。隨著基板支撐件106自處理位置160移向處理位置128,蓋環與遮蔽環118及基板支撐件106一起移動。
在一些實施例中,可在輻射源總成112與輸送位置124之間的點處提供邊緣支撐件,該邊緣支撐件自側壁104向內延伸。邊緣支撐件(未圖示)可經設置以在基板支撐件106移向輸送位置124時與遮蔽環118接合。在此實施例中,突出部分150具有小於遮蔽環118之外半徑的外半徑,以使得遮蔽環118之一部分延伸超出基板支撐件106之外部範圍146。此設置實現自基板支撐件106移除遮蔽環118,以改良對輸送位置124處基板收納表面116之接近。
在完成處理位置160處的處理之後,基板支撐件106可經定位用於基板之背側熱處理。藉由中斷至導體158之功率(或在真空夾盤實施例中中斷至基板收納表面之真空)來使基板之任何夾緊脫離,基板支撐件106回縮,且舉升銷114經致動至延伸位置中。此舉使基板自基板收納表面116脫離,且當基板支撐件106回縮至輻射源平面126下方的熱處理位置時,此舉將基板保持在處理位置160。基板背側藉此曝露於來自輻射源總成112之 輻射。若需要,則可藉由致動舉升銷來將基板移動至不同於處理位置160的熱處理位置128。在此等實施例中,處理位置160可為材料處理位置。應注意,取決於特定實施例之能量曝露需要,熱處理位置可根據需要在材料處理位置上方或下方。基板168在第1圖中圖示於熱處理位置。
在熱處理期間,給輻射源總成112提供功率,且能量自輻射源總成112向基板168輻射。以此方式輻照基板168之「背側」,該「背側」意謂與表面170相對之基板表面172,在表面170上執行材料製程。除提供經整合的材料及熱處理腔室之外,以此方式輻照基板168之背側172可藉由輻照基板168之較少反射表面來改良熱製程之能量效率。在一些實施例中,在基板168上執行的材料製程在表面170上形成反射層或部分層,該反射層或部分層減少能量吸收。輻照背側172避免反射性之增加。此外,表面170之反射性可反射來自輻射源總成112之輻射,該輻射經由基板168穿過基板168之背面以進一步改良效率。
在一些實施例中,在熱處理期間的基板168之位置可經調變以改良基板168上輻射之均勻性。可藉由致動舉升銷114來將基板168自熱處理位置128進一步向上或向下循環地移動,以將輻射模式中的任何非均勻性移動至背側172上之各個位置,從而降低非均勻性及/或基板彎曲對基板處理之影響。可將背側172與熱處理位置128 之最大偏差表達為與基板厚度之比率。上升比率可在約0.1倍與約100倍基板厚度之間變化。
當基板支撐件106在如第1圖中所示的熱處理位置處時,熱感測器120藉由穿過輻射源總成112與遮蔽環118之間的間隙154之視程來感測定位於經延伸之舉升銷114上的基板收納表面116上方的基板168之熱條件。在省略遮蔽環118的實施例中,間隙154將介於輻射源總成112與基板支撐件106之外部範圍146之間。因此,熱處理位置可由輻射源總成112與遮蔽環118之間或基板支撐件106的外部範圍146與輻射源總成112的內部範圍144之間的所需間隙154界定。
在熱處理完成之後,通常藉由回縮舉升銷114來將基板與基板收納表面116再接合。可再應用夾緊,且重建冷卻氣體以冷卻基板。可接著將基板支撐件106移動至用於進一步處理之位置中(若需要)或將基板支撐件106移動返回至用於擷取基板之輸送位置。當基板支撐件106定位於輸送位置處時,藉由延伸舉升銷114來提供對基板的接近,以便機器人刀刃可插入於基板與基板收納表面116之間。
第2A圖為圖示基板支撐件106與輻射源總成112之關係的腔室100之詳細視圖。藉由腔室壁104與蓋部102之間的延伸部230自腔室壁104支撐輻射源總成112。輻射源總成112包括外殼202、輻射能源204、至少一個支撐件206及反射表面208,該至少一個支撐件206自 外殼202伸出且支撐輻射能源204。外殼202通常由導熱材料製成,諸如金屬,例如不銹鋼。外殼202內的通道212實現冷媒、氣體或液體之循環。支撐件206可為諸如金屬(例如不銹鋼)之導熱材料或諸如陶瓷之折射材料。輻射能源204可為燈具,該燈具產生在自紅外線至紫外或微波、毫米波、兆赫波、亞毫米波或遠紅外源的波長處之輻射。輻射能源204可產生具有自約5x10-2m至約1x10-7m的波長之輻射。示例性輻射能源包括熱燈具、鹵素燈具、弧光燈及同軸的微波或毫米波源。
外殼202具有複數個導管242之特徵結構,該複數個導管242延伸穿過該外殼202。導管242在處理期間及在腔室抽氣操作期間使氣體能夠自上部容積136流動至下部容積134。
外殼202之反射表面208經成形以將來自輻射能源204的輻射向定位於處理位置128或160處的基板之背側反射。在一些實施例中,反射表面208經成形以允許基板之大體上均勻的輻照。反射表面208可具有任何所需形狀,諸如,圓柱形、環形、橢圓形、卵形或不規則的彎曲形狀。反射表面208可具有除曲面形狀之外或代替曲面形狀的刻面形狀。在一個實施例中,反射表面208可為具有相同或不同曲率半徑的圓柱面之經接合區段,該等經接合區段中之每一經接合區段亦可部分地經錐形化或刻面化。在一個實施例中,反射表面208為半環形。在另一實施例中,反射表面208包含複數個反射件,該 複數個反射件中之每一反射件可獨立地為大體上平坦、曲形、錐形或刻面,該等反射件經定位以便近似彎曲表面。例如支撐銷、支撐桿或凸出物之支撐件206通常為不連續的,因此來自輻射能源204之輻射實質上到達反射表面208之所有範圍且輻射反射向基板。
外殼202之上部部分232自反射表面208徑向向內延伸距離「x」,該距離「x」基於兩個考慮而選定。首先,對於省略阻障166之實施例而言,選擇距離「x」以在基板支撐件106佈署於基板支撐件106之最上部的處理位置時提供在遮蔽環118與上部部分232之間的所需空間。在此等實施例中,遮蔽環118與上部部分232之間的空間影響沉積材料可進入下部容積134且在下部容積134中的腔室表面上沉積之範圍。其次,選擇距離「x」以在熱處理期間遮蔽最接近輻射能源204之基板之部分,從而避免基板上的過度輻射。上部部分232可具有延伸部210,該延伸部210具有突起部234,該突起部234經提供以截取來自輻射能源204的所需輻射量。在一些實施例中,歸因於延伸部210相對接近於輻射能源204及通道212,該延伸部210可由諸如陶瓷之耐火材料製成。在其他實施例中,延伸部210可由具有關於所發射輻射的所需光學性質之材料形成,以使得輻射可選擇性地阻斷、傳輸或吸收且再發射。在一些實施例中,延伸部210之內半徑可與內半徑144大約相同,該內半徑144自腔室100之中心區域量測。在一些實施例中,距 離「x」及突起部234可經設置以阻斷自輻射能源至定位於處理位置128及160處的基板之任何部分的視程。對於經設置以處理300mm晶圓之腔室而言,取決於輻射能源204之設置,外殼之上部部分及下部部分自腔室壁104延伸介於約1cm至約6cm。在一個實施例中,外殼之上部部分及下部部分自腔室壁延伸約2cm。
可使用其他方法減少基板受輻射能源204之直接照明。在一個實施例中,面向基板之輻射能源204的表面,例如,背向外殼202或反射表面208之表面,可塗佈有塗層,該塗層經設置以減少或消除在基板上入射的直接視程輻射。在一些實施例中,塗層可為反射性的,以使得在塗層上入射的來自輻射能源204之光向外殼202之反射表面反射,諸如反射表面208。在其他實施例中,塗層可為吸收性的、半透明的、不透明的、黑色或白色的。在一些實施例中,塗層可為金屬或陶瓷。塗層通常經選擇以耐受輻射能源204之熱環境。視情況與延伸部210之突起部234及上部部分232結合使用塗層可確保在熱製程期間到達基板的所有光為經反射的光。在一些實施例中,使用塗層可藉由消除基板表面受所發射輻射之直接照明的可能性來消除對外殼202之上部部分232的需要。
遮蔽環118可具有上表面224,該上表面224經設置以將來自輻射能源204的輻射向定位於處理位置160處的基板反射。遮蔽環118之外圍部分240可經升高、成 角度或彎曲以將經反射之輻射投射向基板。阻障166可具有外圍部分236,該外圍部分236經成形以與遮蔽環118之外圍部分240之形狀匹配。外圍部分236.可經彎曲、成角度或成錐形而與遮蔽環118之外圍部分240呈匹配關係,以確保控制穿過凹槽164及在阻障166周圍的任何氣流。
遮蔽環118可為例如不銹鋼之金屬或塗佈有金屬的陶瓷。一般而言,遮蔽環118由耐高溫處理的材料形成,且遮蔽環118之表面224為反射性的。遮蔽環118可為塗佈有諸如銀之反射性金屬之陶瓷,或遮蔽環118可使用介電質反射鏡,諸如,具有不同折射率之層狀陶瓷。可將遮蔽環118之上表面224以與反射表面208之曲率及/或刻面相容的方式彎曲及/或形成刻面,以使得反射表面208及遮蔽環118之上表面224一起形成複合反射體,該複合反射體經設置以將儘可能多的輻射自輻射能源204儘可能均勻地引導至定位於輻射能源204上方的基板背側。
熱感測器120包括光譜感測器214,光譜感測器214穿過腔室壁104中之開口216而安置。平板218將光譜感測器214緊固於所需位置,該所需位置用於經由間隙154查看在處理位置160處的基板之背側。光譜感測器214可為高溫計。在一個實施例中,光譜感測器214將為高溫計,該高溫計經調諧以量測具有約1μm之波長的輻射之強度。在另一實施例中,高溫計可在約7-15μm 之波長區域內操作。
在基板支撐件106之基板收納表面116中形成通道228,以促進使冷媒與安置於該基板收納表面116上之基板接觸。通道228與導管130流體連通。經由導管130向通道228提供例如冷卻氣體之冷媒,該冷媒自基板收納表面116之中心流動至邊緣。通道228可具有自基板收納表面116之中心至邊緣而增加的深度以促進流體流動。流體流動之增加區域抵消冷媒因加熱之膨脹。冷媒之膨脹產生阻礙至基板收納表面116之周邊之流動的壓力。通道之漸增的深度抵消了阻礙。取決於所需之精確的冷卻分佈,該等通道可設計有任何有用的深度分佈。在一個實施例中,通道深度隨著距基板收納表面116之中心的距離線性地增加。在此實施例中,流體流動之通量區域亦線性地增加。在另一實施例中,通道228之深度可隨著距基板收納表面116之中心的距離之平方而增加。第2B圖為諸如第1圖之基板支撐件106的基板支撐件之近視圖,該基板支撐件具有通道228,該等通道228具有隨著距基板收納表面之中心的距離之平方而增加的深度。在另一實施例中,通道228的深度可設置為自基板收納表面116之中心至邊緣之近似恆定的質量通量。
基板收納表面116可為反射性的。在一個實施例中提供介電質反射鏡表面。在其他實施例中,將諸如銀之反射性金屬塗覆在陶瓷材料上方或透明材料下方。可將反 射性材料以保形方式延伸至流體流動之凹部中。舉例而言,若需要,可將反射性襯料塗覆於流體流動之凹部。若需要,任何已知保形製程可用以形成保形反射表面。在另一實施例中,例如,藉由以諸如研磨之物理手段或者以諸如蝕刻之化學手段保形地沉積反射性材料且自凹部之間的平坦表面移除反射性材料,可將反射性材料僅塗覆於流體流動之凹部。
反射性的基板收納表面116可經設置以選擇性地反射可能被基板168吸收的輻射。舉例而言,在一個實施例中,介電質反射鏡可能是有用的,介電質反射鏡經設置以反射具有介於約0.2μm與約1.0μm之間的波長的輻射。可藉由在基板收納表面116上形成具有不同折射率的交替層來使此介電質反射鏡成型。
第2C圖為可用作第2A圖之實施例中的輻射能源204的輻射能源250之透視圖。輻射能源250為供用於處理圓形基板之實質上圓柱形腔室中使用的半圓形。輻射能源250為具有輻射區段252及兩個連接器254之鹵素燈具,在該燈具之每一端處有一連接器254。連接器254經設置以突出穿過外殼202及上文關於第2A圖所述的腔室壁104中的開口,以連接至功率源(未圖示)。通常,導體安置於腔室壁之外部周圍以將功率傳遞至連接器254。兩個此種輻射能源250將通常以彼此相對的方式用於一個腔室中以在各處產生均勻的輻射。在一個實施例中,輻射能源250為7.5kW、480V白熾鎢絲燈或鹵素 白熾鎢絲燈。在另一實施例中,輻射能源250為氙氣放電燈。輻射能源250可具有接觸區域256,該接觸區域256用於接觸諸如第2A圖之支撐件206的支撐件。接觸區域256可為減少支撐件206與輻射能源250接觸的直接加熱之絕熱墊。
建議本文圖示為204及250的輻射能源與基板收納表面116實質上共平面,從而界定輻射源平面126。應注意,在一些實施例中,使用具有橫向或垂直於基板收納表面116的移位元件之輻射源可能有利的。此等輻射源可用來藉由增加輻射發射之直線距離而增加功率至輻射源。在一個實施例中,複數個直的狹長輻射源可沿垂直於基板收納表面116之方向安置。在另一實施例中,輻射源可具有交替的橫向移位(亦即,波型圖案)之特徵結構。在此等實施例中,外殼及外殼之反射表面可經最佳化用於所產生的特定輻射模式。在其他實施例中,燈具可包含形成多邊形燈具之複數個經接合的線性區段。在其他實施例中,輻射源可為複數個線性燈具,該複數個線性燈具以共平面方式以實質上平行於基板支撐件106之基板收納表面116的平面佈置於腔室之周邊周圍,基板收納表面每一燈具之長軸實質上平行於基板收納表面116。在其他實施例中,可使用複數個此等平面之燈具,每一平面在垂直於基板收納表面116的方向上自另一平面移位。在其他實施例中,可視情況結合上文論述的其他燈具形成來使用螺旋狀(spiral)或螺旋形 (helical)燈具。
在其他實施例中,可使用複數個u形燈具,其中u形之直線部分實質上平行於基板收納表面延伸,且一個u形燈具之末端緊鄰於另一u形燈具之末端定位,以使得兩個鄰近燈具之電觸點穿透儘可能小的區域之外殼及腔室壁,以最小化外殼之反射表面之破壞。藉由將一個燈具之電氣出口連接至鄰近燈具之電氣入口,可輕易地串聯連接此等燈具。在具有安置於腔室之周邊周圍的多個源之特徵結構的實施例中,每一源可具有分離的反射體及外殼,或可提供一個反射體及外殼用於源之群組,甚至包括所有源之單一反射體及外殼。在一個實施例中,使用兩個輻射源。
再次參閱第2A圖,外殼202之上部部分232具有下表面238,該下表面238通常圖示為平行於基板收納表面116。下表面238可為反射性的以改良輻射源總成112之效率。在一個實施例中,下表面238相對於基板收納表面116傾斜以使得下表面238與基板收納表面116之間的距離隨著距腔室壁104的徑向距離減小。此傾斜可為線性或非線性的,且此傾斜可自輻射能源204向基板表面提供增加的輻射。在一個實施例中,下表面238之傾斜為線性的,且傾斜與基板收納表面116形成角度,該角度介於約1°與約10°之間,諸如,介於約3°與約7°之間,例如,約5°。在其他實施例中,可根據任何便利的設計彎曲下表面238。下表面238可具有單一曲率半 徑或焦點,或下表面238可具有多個曲率半徑或焦點。在一個實例中,下表面238可為近似圓柱形,該近似圓柱形具有實質上與燈具之放電線圈或燈絲重合的聚焦區域。來自下表面238之經反射之輻射可幫助升高放電線圈之溫度至操作範圍,以使得需要較少電能來達成所需輻射輸出功率。在其他實施例中,下表面238可經設置以引導經反射之輻射遠離燈具或將經反射之輻射向表面208聚焦以反射直至基板。在其他實施例中,下表面238可為尖狀以將輻射反射至外殼202內的多個點或將輻射反射至基板支撐件106或遮蔽環118。
應注意,基板不必定位於材料處理(亦即,沉積或植入)及熱處理之相同位置處。在上述描述中,建議處理位置160在材料處理及熱處理期間為相同的,但並不是必需如此。舉例而言,熱處理位置可不同於材料處理位置。基板可自材料處理位置升高或降低至熱處理位置。熱處理位置相對於材料處理位置的位置通常取決於輻射源之設計及材料製程之需要。
在一個實施例中,腔室100可為物理氣相沉積(physical vapor deposition;PVD)腔室。在此實施例中,腔室100之蓋部102將包括此項技術中已知的濺射靶材、磁控管及氣體饋送系統。在替代性實施例中,腔室100可為化學氣相沉積(chemical vapor deposition;CVD)腔室、電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)腔室或蝕刻腔室,該CVD腔 室、PECVD腔室或蝕刻腔室具有安置於此項技術中已知的蓋部102中的噴淋頭或噴淋頭電極。在另一實施例中,腔室100可為P3i腔室,該P3i腔室具有安置於此項技術中已知的蓋部102中或耦接至蓋部102的感應電漿源。諸如輻射源總成112之輻射源總成可用於希望整合的熱處理之任何處理腔室中。
第3圖為概述根據另一實施例之方法300的流程圖。方法300利用上述實施例中之任何實施例中描述的雙重功能腔室來在單一腔室中完成材料製程(亦即,沉積、蝕刻及/或植入)及熱製程。在步驟310處,在腔室中的基板支撐表面上安置基板。在步驟320處,將基板定位於材料製程位置處,且在該基板之第一表面上執行材料製程。在步驟330處,將基板與基板支撐表面以間隔分離。在步驟340處,藉由將與第一表面相對的基板之第二表面曝露於安置在基板支撐件之周邊周圍的輻射能源來執行熱製程。在步驟350處,將基板與基板支撐件再接合且將基板冷卻。可將整個方法300重複任何所需次數以在單一腔室中執行循環的材料/熱製程。此外,若需要,可將材料及熱操作獨立地重複相同次數或不同次數。
在一個實施例中,步驟320之材料製程為用於在基板上沉積金屬之PVD製程。在腔室之蓋部中向腔室提供濺射氣體。用射頻(radio frequency;RF)或直流(direct current;DC)電功率激勵安置於蓋部中的濺射靶材以形成電漿。電漿將材料自靶材濺射至基板上。在金屬填充 製程情況下,在金屬化之前將諸如TiN或TaN之阻障層沉積於待填充之特徵結構中。在矽化情況下,省略阻障層,且在矽上直接沉積金屬。在金屬沉積製程情況下,在步驟320處執行的熱製程可為減少在基板之凹部中的金屬懸垂物之再流製程或熱製程可為驅動金屬與矽之間的反應的矽化製程。在一個PVD實施例中,取決於所需沉積速率及基板大小,藉由以介於約200sccm與約20,000sccm之間的流率提供的氬濺射氣體來濺射銅靶材。將介於約100W與約10,000W之間的RF功率施加於靶材。
繼金屬沉積製程之後,在步驟330處將基板以斜率自第一溫度分佈加熱至第二溫度分佈,該斜率介於約10℃/sec與約20℃/sec之間,諸如,介於約15℃/sec與約17℃/sec之間,例如,約16.2℃/sec。第一溫度分佈具有平均溫度之特徵,該平均溫度介於約20℃與約200℃之間,例如,約50℃,該平均溫度具有約5℃內的均勻性。
本文所述之加熱方法具有關於金屬沉積製程之優點。當在基板表面上沉積金屬時,表面獲得反射性。通常輻射能之吸收在金屬化表面上減少,因此輻照金屬化表面並不比加熱與金屬化表面相對之表面(例如,基板背側)有效。例如,金屬上方的矽之經改良的能量吸收改良熱處理製程之能量效率。
對於銅再流製程而言,第二溫度分佈具有平均溫度之特徵,該平均溫度介於約250℃與約350℃之間,諸如, 介於約275℃與約325℃之間,例如,約320℃,該平均溫度具有約20℃內之均勻性,例如,約15℃內之均勻性。溫度在週期內斜升,該週期介於約10sec與約30sec之間,諸如,介於約15sec與約25sec之間,例如,約20sec。在加熱之後將第二溫度分佈實質上維持長達一週期以完成再流製程,該週期介於約0sec與約30sec之間,諸如,介於約5sec與約25sec之間,例如,約20sec。必要時,此方法可使用不同溫度及時間用於不同材料之沉積及再流。諸如腔室100之腔室可經由最小之調適用於此方法。
在一些實施例中,藉由將第一功率設定施加於輻射源使基板溫度斜升,且接著在達到目標溫度之後,藉由將第二功率設定施加於輻射源使溫度保持實質上恆定。在一個實施例中,第一功率設定為輻射源之額定功率之約90%,且第二功率設定為輻射源之額定功率之約10%。在上文涉及的7.5kW鎢絲燈的情況下,90%之功率設定將施加約6.75kW之功率至每一燈具,且10%之功率設定將施加約750W之功率至每一燈具。取決於所使用的輻射源之類型及正在執行的熱操作,加熱之工作循環將介於約60%與約95%之間,且維持溫度之工作循環將介於約5%與約30%之間。
在步驟340處將基板冷卻至第三溫度分佈,該第三溫度分佈在持續時間內具有低於約50℃之平均溫度,該持續時間介於約30sec與約120sec之間,諸如,約60sec。 在冷卻期間,將整個基板上最高溫度非均勻性保持在小於約55℃,以最小化基板上之熱應力。此舉可使用上文結合第1圖及第2A圖所述的基板支撐件來完成。在一個實施例中,最高溫度非均勻性小於約50℃。
在金屬沉積製程中,可在單一腔室中將以上所述沉積金屬及再流之操作重複任何次數,以用金屬達成圖案化基板中之開口之填充。此循環再流製程達成凹部之快速無空隙填充。在大多數實施例中,將沉積及熱製程重複五次或少於五次。在一個實例中,將沉積及熱製程執行三次。在一些實施例中,在開始以上所述的填充製程之前,可在基板上方形成習知的阻障層。阻障層降低在連續的沉積及熱製程期間金屬遷移至基板中之趨勢。
在矽化製程中,以上所述的周邊輻射源可用以將基板加熱至介於約150℃與約500℃之間的溫度,以促進矽與諸如鈷及鉬之經沉積金屬之間的反應。亦可在用於矽化之循環中重複地執行沉積及熱處理。
在另一實施例中,方法300可為氮化及再氧化製程。可藉由將基板曝露於氮電漿中來執行諸如去耦合電漿氮化(decoupled plasma nitridation;DPN)製程之電漿氮化製程。藉由定位用於熱處理之基板且活化經定位以輻照與曝露於氮電漿之表面相對之基板表面的輻射能源,可接著在相同腔室中使用本文所述之多功能材料/熱腔室執行再氧化製程。可在熱製程期間提供諸如包含氧自由基之氣氛的氧化氣氛。可將循環氮化/再氧化製程重複任 何所需次數。
上文結合第1圖至第2B圖所述的腔室100為雙重功能腔室,該雙重功能腔室在單一腔室中之基板上執行材料製程及熱製程。此雙重功能腔室可用於特徵為在材料製程後接有熱製程的製程。此等製程包括(但不限於)金屬沉積及再流、矽化、沉積(CVD、原子層沉積(atomic layer deposition;ALD)、PECVD、磊晶法)及退火、植入及退火,及電漿氮化及再氧化。藉由將上文大體上所述的周邊輻射源耦接至執行材料製程之腔室,可在單一腔室中執行此等製程。
第4圖為根據另一實施例之群集工具400之平面圖。群集工具400具有如上所述的至少一個雙重功能材料/熱腔室之特徵。群集工具400之實例為可購自Santa Clara,California之Applied Materials,Inc.的CENTURA®系統。亦可使用由其他製造商製造的群集工具。任何便利類型之移送機器人404安置於群集工具之移送腔室402中。將具有兩個負載鎖定腔室406A/B的負載鎖定物406耦接至移送腔室402。複數個處理腔室408、410、412、414及416亦耦接至移送腔室402。在習知群集工具中,一或更多處理腔室可為熱處理腔室,諸如,退火腔室、除氣腔室或氧化腔室。使用本文所述之雙重功能腔室可消除熱腔室且增加生產率。
在一個實施例中,群集工具400可為邏輯閘形成工具。腔室408可為矽沉積腔室,該矽沉積腔室可為PVD 或CVD的,且該矽沉積腔室可為電漿增強的。腔室410可相應地為雙重功能電漿氮化及熱處理腔室。腔室412可根據需要專用於氧化物形成、氮化/熱處理或矽形成以最大化生產率。因為沒有單一腔室單獨專用於熱處理,所以可藉由使用雙重功能材料/熱腔室來增加生產率。在另一實施例中,腔室408、410、412、414及416中之任何腔室可為經組合的電漿清潔及再氧化腔室。
第5圖為根據另一實施例之群集工具500之平面圖。群集工具500具有耦接至兩個移送腔室502及504的複數個處理腔室之特徵結構。群集工具500之實例為Applied Materials,Inc.之ENDURA®系統。亦可使用由其他製造商製造的群集工具。移送腔室502及504中之每一移送腔室分別具有移送機器人506及508。將具有兩個負載鎖定腔室510A/B之特徵結構的負載鎖定物510耦接至第一移送腔室502。使用穿越腔室520及522將基板在移送腔室之間傳遞,該等穿越腔室520及522可由移送機器人506與508兩者接近。
在典型的設置中,根據處理腔室之蓋部之設置,處理腔室524、526、528、530及532中之任何或全部處理腔室可為雙重功能沉積/熱處理腔室,該等雙重功能沉積/熱處理腔室具有藉由CVD、PVD或ALD製程進行之沉積,該等CVD、PVD或ALD製程可為電漿增強的。在一個實施例中,處理腔室524及526為用於形成阻障層之PVD腔室,且腔室528、530及532為雙重功能PVD 金屬沉積/再流腔室,每一腔室能夠執行類似於第3圖之製程的製程。腔室516及518可為預清潔腔室,且腔室512及514可為除氣腔室、冷卻腔室或表面鈍化腔室。腔室516、518、524、526、528及532中之任何腔室可為經組合的電漿清潔及再氧化腔室。
儘管上文係針對本發明之實施例,但在不脫離本發明之基本範疇的情況下可設計本發明之其他及進一步實施例。
100‧‧‧腔室
102‧‧‧蓋部
104‧‧‧壁
106‧‧‧基板支撐件
108‧‧‧入口
110‧‧‧泵入口
112‧‧‧輻射源總成
114‧‧‧舉升銷
116‧‧‧基板收納表面
118‧‧‧遮蔽環
120‧‧‧熱感測器
122‧‧‧入口
124‧‧‧輸送平面
126‧‧‧輻射源平面
128‧‧‧熱處理平面
130‧‧‧導管
132‧‧‧軸
134‧‧‧下部容積
136‧‧‧上部容積
138‧‧‧內部容積
140‧‧‧底壁
142‧‧‧周邊
144‧‧‧內部範圍
146‧‧‧外部範圍
148‧‧‧邊緣
150‧‧‧突出部分
154‧‧‧間隙
156‧‧‧導管
158‧‧‧導體
160‧‧‧處理平面
162‧‧‧致動器
164‧‧‧凹槽
166‧‧‧阻障
168‧‧‧基板
170‧‧‧表面
172‧‧‧背側
202‧‧‧外殼
204‧‧‧輻射能源
206‧‧‧支撐件
208‧‧‧反射表面
210‧‧‧延伸部
212‧‧‧通道
214‧‧‧光譜感測器
216‧‧‧開口
218‧‧‧平板
224‧‧‧上表面
228‧‧‧通道
230‧‧‧延伸部
232‧‧‧上部部分
234‧‧‧突起部
236‧‧‧外圍部分
238‧‧‧下表面
240‧‧‧外圍部分
242‧‧‧導管
250‧‧‧輻射能源
252‧‧‧輻射區段
254‧‧‧連接器
256‧‧‧接觸區域
300‧‧‧方法
310‧‧‧操作
320‧‧‧操作
330‧‧‧操作
340‧‧‧操作
350‧‧‧操作
400‧‧‧群集工具
402‧‧‧移送腔室
404‧‧‧移送機器人
406‧‧‧負載鎖定物
408‧‧‧腔室
410‧‧‧腔室
412‧‧‧腔室
414‧‧‧腔室
416‧‧‧腔室
500‧‧‧群集工具
502‧‧‧移送腔室
504‧‧‧移送腔室
506‧‧‧移送機器人
508‧‧‧移送機器人
510‧‧‧負載鎖定物
512‧‧‧腔室
514‧‧‧腔室
516‧‧‧腔室
518‧‧‧腔室
520‧‧‧腔室
522‧‧‧腔室
524‧‧‧腔室
526‧‧‧腔室
528‧‧‧腔室
530‧‧‧腔室
532‧‧‧腔室
406A‧‧‧負載鎖定腔室
406B‧‧‧負載鎖定腔室
510A‧‧‧負載鎖定腔室
510B‧‧‧負載鎖定腔室
因此,以可詳細理解本發明之上述特徵結構之方式,上文簡要概述之本發明之更特定描述可參照實施例進行,實施例中之一些實施例圖示於附加圖式中。然而,應注意,附加圖式僅圖示本發明之典型實施例,且因此不欲將附加圖式視為本發明之範疇之限制,因為本發明可允許其他同等有效之實施例。
第1圖為根據一個實施例之腔室之示意性橫截面圖。
第2A圖為第1圖之腔室之一部分的詳細視圖。
第2B圖為根據另一實施例之基板支撐件之近視圖。
第2C圖為可用於第1圖之腔室中的輻射源之透視圖。
第3圖為概述根據另一實施例之方法的流程圖。
第4圖為根據另一實施例之群集工具之平面圖。
第5圖為根據另一實施例之群集工具之平面圖。
為了促進理解,在可能情況下已使用相同元件符號以 指定為諸圖所共用之相同元件。預期在一個實施例中所揭示的元件可有利地用於其他實施例中,而無需特定敘述。
100‧‧‧腔室
102‧‧‧蓋部
104‧‧‧壁
106‧‧‧基板支撐件
108‧‧‧入口
110‧‧‧泵入口
112‧‧‧輻射源總成
114‧‧‧舉升銷
116‧‧‧基板收納表面
118‧‧‧遮蔽環
120‧‧‧熱感測器
122‧‧‧入口
124‧‧‧輸送位置
126‧‧‧輻射源平面
128‧‧‧處理位置
130‧‧‧導管
132‧‧‧軸
134‧‧‧下部容積
136‧‧‧上部容積
138‧‧‧內部容積
140‧‧‧底壁
142‧‧‧周邊
144‧‧‧內部範圍
146‧‧‧外部範圍
148‧‧‧邊緣
150‧‧‧突出部分
154‧‧‧間隙
156‧‧‧導管
158‧‧‧導體
160‧‧‧處理位置
162‧‧‧致動器
164‧‧‧凹槽
166‧‧‧蓋環/阻障
168‧‧‧基板
170‧‧‧表面
172‧‧‧背側
d‧‧‧距離

Claims (25)

  1. 一種用於處理半導體基板之腔室,該腔室包含:一輻射能源,該輻射能源位於該腔室之一處理位置與一輸送位置之間的一周邊區域,其中該輻射能源係用以在該腔室之該處理位置與該輸送位置之間提供輻射能;一反射體,該反射體安置於該輻射能源周圍;以及一氣源,該氣源安置於該處理位置上方。
  2. 如請求項1所述之腔室,其中該輻射熱源包含一環形的弧光燈。
  3. 如請求項1所述之腔室,其中該反射體為凹狀且環形的。
  4. 如請求項3所述之腔室,其中該反射體經成形以將來自該輻射熱源之輻射向該處理位置反射。
  5. 如請求項1所述之腔室,該腔室進一步包含:一基板支撐件及一舉升銷總成,該基板支撐件耦接至一垂直致動器,該舉升銷總成耦接至該基板支撐件且該舉升銷總成延伸穿過該基板支撐件之一基板收納表面,其中該舉升銷總成包含複數個舉升銷,該複數個舉升銷各自具有足以在該基板支撐件之該基板收納表面位於一輻射能源平面與該輸送位置之間時將一基板保持在該處理位置的長 度。
  6. 如請求項1所述之腔室,其中該反射體包含一徑向延伸部,該徑向延伸部在該腔室內部的該輻射熱源與該處理位置之周邊部分之間伸出。
  7. 如請求項1所述之腔室,該腔室進一步包含一基板支撐件,該基板支撐件具有一邊緣環,該邊緣環具有一反射表面。
  8. 如請求項3所述之腔室,該腔室進一步包含一基板支撐件,該基板支撐件具有一邊緣環,該邊緣環具有一反射表面,該反射表面相對於該基板支撐件之一基板收納表面成角度,且該反射表面之該角度類似於該反射體相對於該基板收納表面之一角度。
  9. 如請求項7所述之腔室,其中該基板支撐件具有一溝槽化的基板收納表面,且該等溝槽之深度隨著距該基板支撐件之中心之距離增加。
  10. 如請求項1所述之腔室,其中該輻射能源在該輻射能源背向該反射體之一表面上具有一塗層。
  11. 如請求項10所述之腔室,其中該塗層為反射性的。
  12. 一種處理具有孔之一基板之方法,該等孔形成於該基板之一第一表面中,該方法包含以下步驟:將一基板定位於一處理腔室中的一基板支撐件上;在該處理腔室中,將一第一材料沉積於該第一表面上及該等溝槽中;以及在該處理腔室中,藉由輻照該基板之一第二表面使經沉積的該第一材料再流,該第二表面與該第一表面相對。
  13. 如請求項12所述之方法,其中沉積該第一材料之步驟包含以下步驟:執行一濺射製程。
  14. 如請求項12所述之方法,該方法進一步包含以下步驟:在該處理腔室中,將一第二材料沉積於該第一表面上及該等溝槽中。
  15. 如請求項12所述之方法,其中輻照該基板之一第二表面之步驟包含以下步驟:將該基板定位於該基板支撐件上方及激勵一環形的燈具熱源。
  16. 如請求項15所述之方法,其中將該基板定位於該基板支撐件上方之步驟包含以下步驟:用舉升銷將該基板升高。
  17. 如請求項12所述之方法,其中使經沉積的該第一材料再流之步驟包含以下步驟:自該基板表面之一場區實質上移除經沉積的該第一材料。
  18. 如請求項14所述之方法,該方法進一步包含以下步驟:藉由輻照該基板之該第二表面使經沉積的該第二材料再流。
  19. 如請求項12所述之方法,該方法進一步包含以下步驟:重複該沉積該第一材料之步驟及使該第一材料再流之步驟。
  20. 如請求項18所述之方法,該方法進一步包含以下步驟:重複該沉積該第一材料之步驟、使該第一材料再流之步驟、沉積該第二材料之步驟及使該第二材料再流之步驟。
  21. 一種用於處理一單一基板之腔室,該腔室包含:一基板支撐件,該基板支撐件經致動以在該腔室內部的一處理位置與一基板輸送位置之間移動,該基板支撐件包含:一基板收納表面,該基板收納表面具有複數個通道及複數個開口,該複數個通道形成於該基板收納表面中,該複數個開口穿過該基板收納表面形成;以及一舉升銷總成,該舉升銷總成耦接至該基板支撐件,該 舉升銷總成包含複數個舉升銷,該複數個舉升銷穿過該等開口安置且經致動以在該基板收納表面上方延伸;一環形燈具,該環形燈具安置於複數個銷上,該複數個銷自該腔室之一側壁徑向向內延伸,該環形燈具界定位於該處理位置與該基板輸送位置之間的一輻射能源平面;以及一凹狀的環形反射體,該凹狀的環形反射體安置於該環形燈具周圍。
  22. 如請求項21所述之腔室,其中在該基板收納表面中形成的通道具有一深度,該深度自該基板收納表面之中心至該基板收納表面之一周邊部分而增加。
  23. 如請求項21所述之腔室,該腔室進一步包含一邊緣環,該邊緣環安置於該基板支撐件周圍且該邊緣環具有一反射性的上表面,其中該基板支撐件亦具有一反射性的上表面。
  24. 如請求項21所述之腔室,其中該基板支撐件具有一反射性的基板收納表面,該反射性的基板收納表面包含一介電質反射鏡。
  25. 如請求項21所述之腔室,其中該環形燈具在該環形燈具背向該凹狀的環形反射體之一表面上具有一反射塗 層。
TW101108110A 2011-03-11 2012-03-09 使用燈具總成之基板下側斜向加熱 TWI545635B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/046,261 US8404048B2 (en) 2011-03-11 2011-03-11 Off-angled heating of the underside of a substrate using a lamp assembly

Publications (2)

Publication Number Publication Date
TW201246330A TW201246330A (en) 2012-11-16
TWI545635B true TWI545635B (zh) 2016-08-11

Family

ID=46795968

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101108110A TWI545635B (zh) 2011-03-11 2012-03-09 使用燈具總成之基板下側斜向加熱

Country Status (6)

Country Link
US (3) US8404048B2 (zh)
JP (1) JP6073256B2 (zh)
KR (2) KR101921199B1 (zh)
CN (1) CN103415910B (zh)
TW (1) TWI545635B (zh)
WO (1) WO2012125469A2 (zh)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9905443B2 (en) * 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US8926806B2 (en) * 2012-01-23 2015-01-06 Taiwan Semiconductor Manufacturing Co., Ltd. Shielding design for metal gap fill
US10504719B2 (en) * 2012-04-25 2019-12-10 Applied Materials, Inc. Cooled reflective adapter plate for a deposition chamber
US8993422B2 (en) * 2012-11-09 2015-03-31 Infineon Technologies Ag Process tools and methods of forming devices using process tools
CN104233191A (zh) * 2013-06-08 2014-12-24 北京北方微电子基地设备工艺研究中心有限责任公司 加热腔室及等离子体加工设备
JP6908999B2 (ja) * 2013-08-12 2021-07-28 アプライド マテリアルズ イスラエル リミテッド 密封されたチャンバを形成するためのシステムおよび方法
US9443728B2 (en) 2013-08-16 2016-09-13 Applied Materials, Inc. Accelerated relaxation of strain-relaxed epitaxial buffers by use of integrated or stand-alone thermal processing
AT515052B1 (de) * 2013-10-17 2015-08-15 Mb Microtec Ag Visiervorrichtung
US9673092B2 (en) * 2014-03-06 2017-06-06 Asm Ip Holding B.V. Film forming apparatus, and method of manufacturing semiconductor device
CN106715753B (zh) * 2014-09-05 2020-03-17 应用材料公司 常压外延沉积腔室
US10760161B2 (en) 2014-09-05 2020-09-01 Applied Materials, Inc. Inject insert for EPI chamber
US11060203B2 (en) 2014-09-05 2021-07-13 Applied Materials, Inc. Liner for epi chamber
WO2016126381A1 (en) * 2015-02-05 2016-08-11 Applied Materials, Inc. Rapid thermal processing chamber with linear control lamps
JP6554328B2 (ja) 2015-05-29 2019-07-31 株式会社Screenホールディングス 熱処理装置
KR20170016562A (ko) 2015-08-03 2017-02-14 삼성전자주식회사 박막 증착 장치
US10727094B2 (en) 2016-01-29 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd Thermal reflector device for semiconductor fabrication tool
US9818717B2 (en) * 2016-02-24 2017-11-14 International Business Machines Corporation Enhanced cleaning for water-soluble flux soldering
CN108886013B (zh) * 2016-03-14 2023-06-16 应用材料公司 在去夹持步骤期间移除静电夹盘上的残余电荷的方法
US10325790B2 (en) * 2016-04-29 2019-06-18 Applied Materials, Inc. Methods and apparatus for correcting substrate deformity
US11572617B2 (en) * 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US20180122670A1 (en) * 2016-11-01 2018-05-03 Varian Semiconductor Equipment Associates, Inc. Removable substrate plane structure ring
US10573498B2 (en) * 2017-01-09 2020-02-25 Applied Materials, Inc. Substrate processing apparatus including annular lamp assembly
TWI776859B (zh) * 2017-03-06 2022-09-11 美商應用材料股份有限公司 旋轉器蓋
US10950449B2 (en) * 2018-01-12 2021-03-16 Asm Ip Holding B.V. Substrate processing apparatus
CN108060406B (zh) * 2018-01-29 2023-09-08 北京北方华创微电子装备有限公司 遮挡压盘组件、半导体加工装置和方法
US11043403B2 (en) 2018-04-06 2021-06-22 Semes Co., Ltd. Substrate support unit and substrate processing apparatus having the same including reflective member configured to reflect light toward substrate
KR102208753B1 (ko) * 2018-04-06 2021-01-28 세메스 주식회사 기판 지지 유닛 및 이를 갖는 기판 처리 장치
WO2020001730A1 (en) * 2018-06-25 2020-01-02 Applied Materials, Inc. Carrier for a substrate and method for carrying a substrate
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
US11289312B2 (en) * 2019-06-12 2022-03-29 Applied Materials, Inc. Physical vapor deposition (PVD) chamber with in situ chamber cleaning capability
KR102201877B1 (ko) * 2019-06-21 2021-01-13 세메스 주식회사 기판 지지 유닛 및 이를 갖는 기판 처리 장치
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
CN110923642B (zh) * 2019-11-11 2022-07-22 北京北方华创微电子装备有限公司 溅射装置
US20220267893A1 (en) * 2019-11-11 2022-08-25 Beijing Naura Microelectronics Equipment Co., Ltd. Sputtering device
US20210375650A1 (en) * 2020-06-01 2021-12-02 Applied Materials, Inc. High temperature and vacuum isolation processing mini-environments
US11222816B2 (en) * 2020-06-16 2022-01-11 Applied Materials, Inc. Methods and apparatus for semi-dynamic bottom up reflow
CN114075650A (zh) * 2020-08-18 2022-02-22 群创光电股份有限公司 曲面基板的镀膜装置及其镀膜方法
KR102584511B1 (ko) * 2020-12-07 2023-10-06 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US11492699B2 (en) 2021-02-17 2022-11-08 Applied Materials, Inc. Substrate temperature non-uniformity reduction over target life using spacing compensation
JP7197739B2 (ja) * 2021-05-10 2022-12-27 ピコサン オーワイ 基板処理装置及び方法
CN114126101B (zh) * 2021-11-02 2024-01-26 Tcl华星光电技术有限公司 石英红外加热装置及其对基板加热的方法
KR102554574B1 (ko) 2023-02-06 2023-07-12 주식회사 트리버스시스템 물리적 기상 증착의 결합력 강화를 위한 지향성 표면 가열 장치

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63241923A (ja) * 1987-03-30 1988-10-07 Nikon Corp 光照射装置
US4981815A (en) * 1988-05-09 1991-01-01 Siemens Aktiengesellschaft Method for rapidly thermally processing a semiconductor wafer by irradiation using semicircular or parabolic reflectors
JPH0669148A (ja) * 1992-08-21 1994-03-11 Nec Kansai Ltd 加熱装置
US5803977A (en) * 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
JPH0729843A (ja) * 1993-06-25 1995-01-31 Hitachi Ltd 熱処理装置
KR100297282B1 (ko) 1993-08-11 2001-10-24 마쓰바 구니유키 열처리장치 및 열처리방법
JPH07201753A (ja) * 1993-12-29 1995-08-04 Nippon Steel Corp 薄膜製造方法およびその装置
US5518593A (en) * 1994-04-29 1996-05-21 Applied Komatsu Technology, Inc. Shield configuration for vacuum chamber
US5561735A (en) * 1994-08-30 1996-10-01 Vortek Industries Ltd. Rapid thermal processing apparatus and method
DE4432315A1 (de) * 1994-09-12 1996-03-14 Patent Treuhand Ges Fuer Elektrische Gluehlampen Mbh Quecksilberdampf-Kurzbogenlampe
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
EP0826985A1 (en) * 1996-08-28 1998-03-04 Applied Materials, Inc. Reflector for a semiconductor processing chamber
WO1998029704A1 (en) * 1997-01-02 1998-07-09 Cvc Products, Inc. Thermally conductive chuck for vacuum processor
US6190113B1 (en) * 1997-04-30 2001-02-20 Applied Materials, Inc. Quartz pin lift for single wafer chemical vapor deposition/etch process chamber
US5792273A (en) * 1997-05-27 1998-08-11 Memc Electric Materials, Inc. Secondary edge reflector for horizontal reactor
US6222990B1 (en) 1997-12-03 2001-04-24 Steag Rtp Systems Heating element for heating the edges of wafers in thermal processing chambers
US6108491A (en) * 1998-10-30 2000-08-22 Applied Materials, Inc. Dual surface reflector
JP2000323487A (ja) * 1999-05-14 2000-11-24 Tokyo Electron Ltd 枚葉式熱処理装置
KR100363081B1 (ko) * 1999-09-16 2002-11-30 삼성전자 주식회사 박막 형성장치
JP5049443B2 (ja) * 2000-04-20 2012-10-17 東京エレクトロン株式会社 熱処理システム
JP4540796B2 (ja) * 2000-04-21 2010-09-08 東京エレクトロン株式会社 石英ウインドウ、リフレクタ及び熱処理装置
JP2002075899A (ja) * 2000-08-31 2002-03-15 Ulvac-Riko Inc 円形状平板試料の均熱装置
JP2002134429A (ja) * 2000-10-12 2002-05-10 Applied Materials Inc 基板処理装置用のベアリングカバー、基板処理装置および熱処理方法
JP4765169B2 (ja) * 2001-01-22 2011-09-07 東京エレクトロン株式会社 熱処理装置と熱処理方法
JP2002313781A (ja) * 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板処理装置
US6600138B2 (en) * 2001-04-17 2003-07-29 Mattson Technology, Inc. Rapid thermal processing system for integrated circuits
JP4350322B2 (ja) * 2001-04-27 2009-10-21 株式会社日立国際電気 加熱処理装置
US6344631B1 (en) * 2001-05-11 2002-02-05 Applied Materials, Inc. Substrate support assembly and processing apparatus
US6727176B2 (en) * 2001-11-08 2004-04-27 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects
US6849831B2 (en) 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
JP4397655B2 (ja) * 2003-08-28 2010-01-13 キヤノンアネルバ株式会社 スパッタリング装置、電子部品製造装置及び電子部品製造方法
JP2005108967A (ja) * 2003-09-29 2005-04-21 Hitachi Kokusai Electric Inc 基板処理装置
US20050223993A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses; methods for assessing alignments of substrates within deposition apparatuses; and methods for assessing thicknesses of deposited layers within deposition apparatuses
US20060096851A1 (en) * 2004-11-08 2006-05-11 Ilya Lavitsky Physical vapor deposition chamber having an adjustable target
KR100621777B1 (ko) * 2005-05-04 2006-09-15 삼성전자주식회사 기판 열처리 장치
JP2006324474A (ja) * 2005-05-19 2006-11-30 Sumitomo Metal Mining Co Ltd 金属被覆ポリイミド基板の製造方法
US20070020872A1 (en) 2005-07-06 2007-01-25 Isamu Shindo Process and apparatus for producing single crystal
US7378002B2 (en) * 2005-08-23 2008-05-27 Applied Materials, Inc. Aluminum sputtering while biasing wafer
JP5023505B2 (ja) * 2006-02-09 2012-09-12 東京エレクトロン株式会社 成膜方法、プラズマ成膜装置及び記憶媒体
US8500963B2 (en) * 2006-10-26 2013-08-06 Applied Materials, Inc. Sputtering of thermally resistive materials including metal chalcogenides
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
JP2007214593A (ja) * 2007-05-07 2007-08-23 Canon Anelva Corp 銅配線膜形成方法及び配線膜
US20090169760A1 (en) * 2007-12-31 2009-07-02 Rohan Akolkar Copper metallization utilizing reflow on noble metal liners
US20090194024A1 (en) 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8128262B2 (en) * 2010-03-30 2012-03-06 Abl Ip Holdings Llc Lighting applications with light transmissive optic contoured to produce tailored light output distribution
US8840288B2 (en) * 2010-11-02 2014-09-23 Nissan North America, Inc. Vehicle window assembly
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
JP5626163B2 (ja) * 2011-09-08 2014-11-19 信越半導体株式会社 エピタキシャル成長装置

Also Published As

Publication number Publication date
US9818587B2 (en) 2017-11-14
KR20140018915A (ko) 2014-02-13
WO2012125469A2 (en) 2012-09-20
KR101921199B1 (ko) 2018-11-22
JP2014514734A (ja) 2014-06-19
KR20180126609A (ko) 2018-11-27
US8404048B2 (en) 2013-03-26
US20120231633A1 (en) 2012-09-13
JP6073256B2 (ja) 2017-02-01
US9863038B2 (en) 2018-01-09
CN103415910B (zh) 2016-12-14
US20130196514A1 (en) 2013-08-01
CN103415910A (zh) 2013-11-27
US20130270107A1 (en) 2013-10-17
WO2012125469A3 (en) 2012-12-27
KR101947102B1 (ko) 2019-02-13
TW201246330A (en) 2012-11-16

Similar Documents

Publication Publication Date Title
TWI545635B (zh) 使用燈具總成之基板下側斜向加熱
US9376752B2 (en) Edge ring for a deposition chamber
EP0811709B1 (en) Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection
US9905443B2 (en) Reflective deposition rings and substrate processing chambers incorporating same
US10903067B2 (en) Cooled reflective adapter plate for a deposition chamber
KR20130014552A (ko) 주기적인 산화 및 에칭을 위한 장치 및 방법
KR20130016269A (ko) 주기적인 산화 및 에칭을 위한 장치 및 방법
TW201237936A (en) Dual plasma source, lamp heated plasma chamber
US20170271182A1 (en) In-situ temperature measurement in a noisy environment
WO2014204731A1 (en) Light pipe window structure for thermal chamber applications and processes
KR102117234B1 (ko) 반사성 증착 링들 및 그를 포함하는 기판 프로세싱 챔버들
JP7452992B2 (ja) プラズマ処理装置およびプラズマ処理装置の運転方法
KR101796214B1 (ko) 기판 처리 장치