KR101921199B1 - 램프 조립체를 이용한 기판 하부의 오프­각도형 가열 - Google Patents

램프 조립체를 이용한 기판 하부의 오프­각도형 가열 Download PDF

Info

Publication number
KR101921199B1
KR101921199B1 KR1020137026576A KR20137026576A KR101921199B1 KR 101921199 B1 KR101921199 B1 KR 101921199B1 KR 1020137026576 A KR1020137026576 A KR 1020137026576A KR 20137026576 A KR20137026576 A KR 20137026576A KR 101921199 B1 KR101921199 B1 KR 101921199B1
Authority
KR
South Korea
Prior art keywords
substrate
chamber
processing
receiving surface
substrate support
Prior art date
Application number
KR1020137026576A
Other languages
English (en)
Other versions
KR20140018915A (ko
Inventor
마우리스 이. 에월트
아난타 케이. 수브라마니
우메쉬 엠. 켈카르
찬드라섹하르 발라수브라만얌
조셉 엠. 라니쉬
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140018915A publication Critical patent/KR20140018915A/ko
Application granted granted Critical
Publication of KR101921199B1 publication Critical patent/KR101921199B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3488Constructional details of particle beam apparatus not otherwise provided for, e.g. arrangement, mounting, housing, environment; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole

Abstract

기판을 처리하기 위한 방법 및 장치가 개시된다. 그러한 장치는 재료 프로세스 및 열적 프로세스 모두를 기판 상에서 실시할 수 있는 이중-기능 프로세스 챔버이다. 챔버는, 그러한 챔버의, 프로세싱 위치와 운송 위치 사이에 배치된 환형 복사 공급원을 가진다. 리프트 핀들은, 기판의 복사 가열을 제공하기 위해서 기판 지지부가 복사 공급원 평면 아래로 하강되어 있는 동안 프로세싱 위치에 기판을 유지하기에 충분한 길이를 가진다. 기판의 제 1 표면 내에 형성된 개구들을 가지는 기판을 프로세싱하는 방법은 개구들 내의 제 1 표면 상에 재료를 증착하는 단계 및 상기 제 1 표면에 대향된 상기 기판의 제 2 표면을 가열함으로써 재료를 리플로우시키는 단계를 포함한다. 이어서, 제 2 재료가 증착될 수 있고, 개구들을 부분적으로 또는 완전히 충진할 수 있다. 대안적으로, 주기적인 증착/리플로우 프로세스가 실시될 수 있다.

Description

램프 조립체를 이용한 기판 하부의 오프­각도형 가열{OFF­ANGLED HEATING OF THE UNDERSIDE OF A SUBSTRATE USING A LAMP ASSEMBLY}
여기에서 개시되는 실시예들은 반도체 프로세싱에 관한 것이다. 보다 구체적으로, 여기에서 개시된 실시예들은 반도체 기판들의 재료 및 열적 프로세싱을 위한 장치 및 방법들에 관한 것이다.
열적 프로세싱은 반도체 제조에서 일반적이다. 반도체 기판들은 빈번하게 열처리를 거치고 이어서 증착, 주입, 또는 에칭과 같은 재료 프로세스가 후속된다. 많은 경우들에서, 열처리는 재료 프로세스로부터 분리된 챔버 내에서 실시된다. 기판을 재료 프로세스 챔버로부터 열적 프로세스 챔버로 이송하는 것은 시간이 소요되며 그리고 생산 처리량(throughput)을 감소시킨다. 기계 이용은 기계가 기판을 프로세스하기 위해서 동작될 때, 생산된 각각의 칩의 비용을 감소시키는데 있어서 중요한 인자가 된다. 그에 따라, 보다 효율적인 반도체 제조 프로세스들 및 장치에 대한 계속적인 요구가 있다.
재료 프로세스 및 열적 프로세스 모두를 기판 상에서 실시할 수 있는 이중(dual)-기능 프로세스 챔버를 이용하여 기판을 처리하기 위한 방법 및 장치가 개시된다. 챔버는, 그러한 챔버의, 기판이 프로세싱을 위해서 배치되는 프로세싱 위치와 기판이 챔버로부터의 제거를 위해서 배치될 수 있는 챔버의 둘레의 챔버의 운송 위치 사이에 배치된 복사 에너지 공급원을 가진다. 기판 지지부는 기판 수용 표면을 가지고, 그러한 기판 수용 표면 상에는 재료 프로세스를 받도록 기판이 배치될 수 있다. 반사부(reflector)가 복사 에너지 공급원 주위로 배치되고, 그리고 가스 공급원이 챔버의 프로세싱 위치 위쪽에 배치된다. 복사 에너지 공급원은 그러한 복사 에너지 공급원을 통과하는 복사 공급원 평면을 형성하고 그리고 기판 지지부의 기판 수용 표면에 대해서 일반적으로 평행하다. 기판 지지부가 복사 공급원 평면과 운송 위치 사이에 배치되어 있는 동안 기판을 프로세싱 위치에서 유지하기에 충분한 길이를 가지는 리프트 핀들을 이용하여 기판의 복사 가열을 제공할 수 있다. 반사 표면을 가지는 엣지부의 차폐 링이 기판 지지부 주위로 배치될 수 있다.
하나의 양상에서, 기판의 제 1 표면 내에 형성된, 트렌치들을 포함하는, 개구들을 가지는 기판의 프로세싱 방법은 트렌치들 내에서 제 1 표면 상에 재료를 증착하는 단계 및 상기 제 1 표면에 대향하는 기판의 제 2 표면으로 에너지를 지향시킴으로써 재료를 리플로우(reflow)시키는 단계를 포함한다. 이어서, 제 2 재료가 증착되어, 개구들을 부분적으로 또는 완전히 충진할 수 있다. 대안적으로, 주기적인 증착/리플로우 프로세스를 실시하여 개구들을 충진할 수 있다.
본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 일 실시예에 따른 챔버의 개략적인 횡단면도이다.
도 2a는 도 1의 챔버의 일부의 상세도이다.
도 2b는 다른 실시예에 따른 기판 지지부의 근접도이다.
도 2c는 도 1의 챔버에서 이용될 수 있는 복사 공급원의 사시도이다.
도 3은 다른 실시예에 따른 방법을 요약한 흐름도이다.
도 4는 다른 실시예에 따른 클러스터 툴의 평면도이다.
도 5는 다른 실시예에 따른 클러스터 툴의 평면도이다.
이해를 돕기 위해서, 가능한 경우에, 도면들에서 공통되는 동일한 요소들을 나타내기 위해서 동일한 참조 번호들을 사용하였다. 특별한 언급이 없이도, 일 실시예에 개시된 요소들이 다른 실시예들에서 유리하게 이용될 수 있다는 것이 이해된다.
챔버는 기판의 제 1 측부에 대한 재료의 증착 그리고 상기 기판의 제 1 측부에 대향하는 기판의 제 2 측부에 대한 조사(irradiate; 照射)를 위해 구성된다. 그러한 챔버는, 기판을 챔버로부터 제거할 필요가 없이, 기판 상에 재료 프로세스 및 열적 프로세스 모두를 실시할 수 있으며, 그에 따라 증착 챔버로부터 어닐링 챔버로 기판을 이송하는데 필요한 시간을 배제할 수 있는 이중-기능 챔버이다. 챔버는 챔버의 둘레 영역에 배치되고 챔버의 프로세싱 위치와 운송 위치 사이에 복사 공급원 평면을 규정하는 복사 에너지 조립체, 상기 복사 에너지 조립체 주위에 배치된 반사부, 및 상기 프로세싱 위치 위에 배치된 가스 공급원을 가진다. 도 1은 일 실시예에 따른 챔버(100)의 개략적인 횡단면도이다. 챔버(100)는 챔버의 내부 부피(138)를 둘러싸는 벽(104) 및 덮개 부분(102)을 가진다. 기판 지지부(106)는 상기 내부 부피(138)를 상부 부피(136)와 하부 부피(134)로 분리한다. 프로세스 가스들이 상기 덮개 부분(102) 내에 형성된 유입구(108)를 통해서 챔버의 상부 부피(136)로 유입되고, 그리고 상기 기판 지지부(106)의 기판 수용 표면(116) 상에 배치된 기판이 챔버(100)의 프로세싱 위치(160)에서 프로세스 가스들에 노출된다. 상기 프로세싱 가스들이 상기 기판 지지부(106)의 기판 수용 표면(116)을 가로질러, 그 둘레 부분 주위로 유동하고, 그리고 펌핑 포털(110)을 통해서 챔버(100)를 빠져나간다.
기판 지지부(106)의 샤프트(132)가 챔버의 하부 벽(140)을 통과하고, 그리고 냉각 가스의 공급원(미도시)과 기판 수용 표면(116) 사이의 유체 소통을 제공하는 도관(130)을 포함한다. 기판 지지부(106)가 수직으로 작동되어 기판 지지부(106)의 기판 수용 표면(116) 상에 배치된 기판을 챔버의 프로세싱 위치(160)와 운송 위치(124) 사이에서 이동시킨다. 운송 위치(124)는, 기판 핸들링 장치(미도시)가 포털(122)을 통해서 기판을 조작할 수 있는 기판의 위치를 규정한다.
복사 공급원 조립체(112)가 챔버(100)의 둘레(142)에 배치되고 그리고 프로세싱 위치(160)와 운송 위치(124) 사이에 있는 복사 공급원 평면(126)을 규정한다. 복수의 리프트 핀들(114)이 기판 지지부(106)의 기판 수용 표면(116)을 통해서 배치되고 그리고 작동되어, 기판 지지부(106)가 복사 공급원 평면(126) 아래로 후퇴하는 동안, 기판을 프로세싱 위치(160) 근처에서 유지한다. 그에 의해서, 기판이 복사 공급원 조립체(112)로부터의 복사선에 노출된다. 하나의 양상에서, 리프트 핀들을 작동시킴으로써, 재료 프로세싱 위치가 될 수 있는 프로세싱 위치(160)와 상이한 열적 프로세싱 위치(128)에 기판이 배치될 수 있다.
전형적으로, 복사 공급원 조립체(112)가 기판 지지부(106)를 둘러싼다. 복사 공급원 조립체(112)의 내부 범위(144)가 기판 지지부(106)의 외부 범위(146)로부터 방사상 거리("d")에 위치된다. 상기 거리("d")는, 프로세싱 위치(160)에 또는 그 근처에 위치된 기판의 선택적인 조사를 생성하도록, 선택된다. 복사 공급원 조립체(112)와 기판 지지부(106)의 외측 범위(146) 사이의 거리("d")를 변화시킴으로써, 기판에 영향을 미치는 복사선의 양 및 세기가 조정될 수 있다. 전형적으로, 거리("d")는 복사 공급원 조립체(112)의 모든 지점들에서 실질적으로 일정하고, 그리고 300 mm 웨이퍼들을 프로세스하도록 구성된 챔버의 경우에, 약 0.001 cm (즉, 10 ㎛) 내지 약 5 cm, 예를 들어, 약 1 cm 내지 약 3 cm가 된다. 또한, 거리("d")는, 임의의 희망하는 디자인에 따라서, 챔버(100) 내의 상이한 위치들에서 다를 수 있다. 예를 들어, 거리("d")는 복사 공급원 조립체(112)의 범위 주위의 상이한 지점들에서 다를 수 있다.
금속 또는 세라믹일 수 있는 차폐 링(118)이 기판 수용 표면(116)의 엣지(148) 주위에 배치된다. 차폐 링(118)은 기판 지지부(106)의 외측 범위(146)를 실질적으로 커버하여 그 위에서의 증착을 방지한다. 차폐 링(118)은 기판 수용 표면(116)의 외측 범위(146) 내에 형성된 렛지(ledge)(150) 상에 놓인다. 대부분의 경우들에, 기판 수용 표면(116) 상에 배치된 기판이 차폐 링(118)과 접촉한다. 대안적인 실시예들에서, 기판은 차폐 링(118)의 내측 반경보다 작은 외측 반경을 가질 수 있고, 그에 따라 기판은 차폐 링(118)과 접촉하지 않는다.
동작시에, 기판 지지부(106)가 챔버(100) 내에서 수직으로 이동하여, 프로세싱의 상이한 스테이지들에서 다양한 위치들로 연장 및 후퇴된다. 운송 위치까지 완전히 후퇴되면, 기판 수용 표면(116)이 운송 위치(124) 근처에 배치되어, 기판 핸들링 메커니즘(미도시)이 기판들을 놓거나 회수할 수 있게 한다. 리프트 핀들(114)이 액추에이터(162)에 의해서 연장되어, 기판을 기판 수용 표면(116) 위로 상승시킨다. 액추에이터(162)는 그러한 액추에이터(162)에 커플링된 모터(미도시)로 인해서 기판 지지부(106)와 독립적으로 이동한다. 기판 지지부(106)가 운송 위치로부터 상승될 때, 리프트 핀들(114)이 후퇴되고, 그에 따라 기판 수용 표면(116)이 기판과 결합된다.
기판 수용 표면(116)은, 전형적으로 절연 기판 수용 표면(116)에 배치되는 전도체(158)인 정전기 척을 포함할 수 있다. 전도체(158)는 플레이트, 와이어 메시, 또는 기판 수용 표면(116)을 통해서 에둘러서 루팅되는(circuitously routed) 단일-경로 와이어일 수 있다. 전형적으로, 파워가 기판 지지부의 샤프트(132)를 통해서 배치된 도관(156)을 통해서 전도체(158)로 커플링된다. 기판 수용 표면(116)이 기판과 결합할 때, 정전기 척이 에너지화되어 기판을 기판 지지부(106) 상에서 부동화시킬 수 있다. 또한, 그 시간에 냉각 가스가 도관(130)을 통해서 구축될 수 있다.
기판이 상부에 배치된 기판 지지부(106)가 프로세싱 위치들(128 및 160)을 향해서 기판을 이동시킨다. 기판 지지부(106)가 프로세싱 위치(160)를 향해서 상승됨에 따라, 차폐 링(118)이 렛지(150) 상에 놓인 기판 지지부(106)가 복사 공급원 조립체(112)를 지난다. 기판 수용 표면(116)이 프로세싱 위치(160)에 도달할 때, 기판은 증착, 주입, 또는 에칭과 같은 재료 프로세스를 받을 수 있다. 차폐 링(118)은, 차폐 링(118)으로부터 덮개 부분(102)을 향해서 외부로 연장하는, 금속 또는 세라믹일 수 있는, 커버 링(166)과 결합하기 위한 노치(164)를 가질 수 있다. 커버 링(166) 및 노치(164)는, 상부 부피(136)로부터 커버 링(166)을 지나서 하부 부피(134) 내로의 가스 유동을 제어함으로써, 차폐 링(118)의 기능을 개선한다. 노치(164) 및 배리어(166)는 선택적이다. 기판 지지부(106)가 프로세싱 위치들(160 및 128)을 향해서 이동할 때, 차폐 링(118)이 커버 링(166)과 결합된다. 기판 지지부(106)가 프로세싱 위치(160)로부터 프로세싱 위치(128)를 향해서 이동할 때, 커버 링이 차폐 링(118) 및 기판 지지부(106)와 함께 이동한다.
일부 실시예들에서, 복사 공급원 조립체(112)와 운송 위치(124) 사이의 지점에서 측벽(104)으로부터 내측으로 연장하는 엣지 지지부가 제공될 수 있다. 엣지 지지부(미도시)는, 기판 지지부(106)가 운송 위치(124)를 향해서 이동할 때, 차폐 링(118)과 결합되도록 구성될 수 있다. 그러한 실시예에서, 렛지(150)가 차폐 링(118)의 외측 반경보다 작은 외측 반경을 가지며, 그에 따라 차폐 링(118)의 일부가 기판 지지부(106)의 외측 범위(146)를 지나서 연장한다. 그러한 구성은 기판 지지부(106)로부터의 차폐 링(118)의 제거를 가능하게 하여, 운송 위치(124)에서 기판 수용 표면(116)에 대한 접근을 개선할 수 있다.
프로세싱 위치(160)에서의 프로세싱이 완료된 후에, 기판 지지부(106)가 기판의 후방-측부 열적 프로세싱을 위해서 배치될 수 있다. 전도체(158)에 대한 파워(또는 진공 척 실시예에서 기판 수용 표면에 대한 진공)를 중단함으로써 기판의 임의의 척킹이 분리되고, 기판 지지부(106)가 후퇴되고, 그리고 리프트 핀들(114)이 연장된 위치로 작동된다. 이는, 기판 지지부(106)가 복사 공급원 평면(126) 아래의 열적 프로세싱 위치로 후퇴함에 따라, 기판을 기판 수용 표면(116)으로부터 분리시키고, 그리고 기판을 프로세싱 위치(160)에서 유지한다. 그에 의해서, 기판 후방 측부가 복사 공급원 조립체(112)로부터의 복사선에 노출된다. 원하는 경우에, 리프트 핀들을 작동시킴으로써, 기판이 프로세싱 위치(160)와 상이한 열적 프로세싱 위치(128)로 이동될 수 있다. 그러한 실시예들에서, 프로세싱 위치(160)가 재료 프로세싱 위치가 될 수 있다. 필요에 따라, 특정 실시예들의 에너지 노출 요구들에 의존하여, 열적 프로세싱 위치가 재료 프로세싱 위치의 위쪽 또는 아래쪽이 될 수 있다는 것을 주목하여야 한다. 기판(168)이 도 1에서 열적 프로세싱 위치에 도시되어 있다.
열적 프로세싱 중에, 복사 공급원 조립체(112)로 파워가 공급되고, 그리고 에너지가 복사 공급원 조립체(112)로부터 기판(168)을 향해서 복사된다. 재료 프로세스가 실시된 표면(170)에 대향하는 기판 표면(172)을 의미하는, 기판(168)의 "후방 측부"가 이러한 방식으로 조사된다. 통합된 재료 및 열적 프로세싱 챔버를 제공하는 것 이외에, 이러한 방식으로 기판(168)의 후방 측부(172)를 조사하는 것은, 기판(168)의 보다 낮은(less) 반사 표면을 조사함으로써, 열적 프로세스의 에너지 효율을 개선할 수 있다. 일부 실시예들에서, 기판(168) 상에서 실시되는 재료 프로세스가 표면(170) 상에 반사 층 또는 부분적인 층을 형성하고, 이는 에너지 흡수를 감소시킨다. 후방 측부(172)를 조사하는 것은 증가되는 반사율을 방지한다. 또한, 표면(170)의 반사율은, 기판(168)을 통해서 이동한 복사 공급원 조립체(112)로부터의 복사선을 기판(168)을 통해 다시(back) 반사시켜서 효율을 추가적으로 개선할 수 있다.
일부 실시예들에서, 열적 프로세싱 중의 기판(168)의 위치를 조절하여 기판(168) 상에서의 복사선의 균일성을 개선할 수 있다. 복사 패턴의 임의의 불균일성들을 후방 측부(172) 상의 여러 위치들로 이동시키기 위해서 리프트 핀들(114)을 작동시킴으로써 기판(168)이 열적 프로세싱 위치(128)로부터 위쪽 또는 아래쪽으로 추가적으로 주기적으로 이동될 수 있고, 그에 따라 불균일성 및/또는 기판 벤딩이 기판 프로세싱에 미치는 영향을 감소시킬 수 있다. 열적 프로세싱 위치(128)로부터 후방 측부(172)의 최대 편차(deviation)가 기판 두께에 대한 비율로서 표현될 수 있다. 높이(elevation) 비율은 약 0.1 내지 약 100 기판 두께들로 변화될 수 있다.
기판 지지부(106)가 열적 프로세싱 위치에 있을 때, 도 1에 도시된 바와 같이, 복사 공급원 조립체(112)와 차폐 링(118) 사이의 갭(154)을 통한 시선(line-of-sight)에 의해서, 연장된 리프트 핀들(114) 상에서 기판 수용 표면(116) 위에 배치된 기판(168)의 열적 조건을 열 센서(120)가 감지한다. 차폐 링(118)을 생략한 실시예들에서, 갭(154)이 복사 공급원 조립체(112)와 기판 지지부(106)의 외측 범위(146) 사이에 위치될 것이다. 그에 따라, 열적 프로세싱 위치는 복사 공급원 조립체(112)와 차폐 링(118) 또는 기판 지지부(106)의 외측 범위(146)와 복사 공급원 조립체(112)의 내측 범위(144) 사이의 희망 갭(154)에 의해서 규정될 수 있다.
열적 프로세싱이 완료된 후에, 전형적으로, 리프트 핀들(114)을 후퇴시킴으로써, 기판이 기판 수용 표면(116)과 재결합된다. 척킹이 재-인가될 수 있고, 그리고 냉각 가스가 재-구축되어 기판을 냉각시킨다. 이어서, 희망하는 경우에, 기판 지지부(106)는 추가적인 프로세싱을 위한 위치로 이동될 수 있고, 또는 기판의 회수를 위한 운송 위치로 다시 이동될 수 있다. 기판 지지부(106)가 운송 위치에 배치될 때, 기판에 대한 접근이 리프트 핀들(114)을 연장시킴으로써 제공되고, 그에 따라 로봇 블레이드가 기판과 기판 수용 표면(116) 사이로 삽입될 수 있다.
도 2a는 기판 지지부(106)와 복사 공급원 조립체(112)의 관계를 보여주는 챔버(100)의 상세도이다. 복사 공급원 조립체(112)가 챔버 벽(104)과 덮개 부분(102) 사이의 연장부(230)에 의해서 챔버 벽(104)으로부터 지지된다. 복사 공급원 조립체(112)가 하우징(202), 복사 에너지 공급원(204), 상기 하우징(202)으로부터 돌출하고 상기 복사 에너지 공급원(204)을 지지하는 적어도 하나의 지지부(206), 그리고 반사 표면(208)을 포함한다. 일반적으로, 하우징(202)은 금속, 예를 들어, 스테인리스 스틸과 같은 열 전도성 재료로 제조된다. 하우징(202) 내의 채널(212)은 냉각 매체, 가스 또는 액체의 순환을 가능하게 한다. 지지부(206)는 금속, 예를 들어, 스테인리스 스틸과 같은 열 전도성 재료, 또는 세라믹과 같은 굴절(refractive) 재료일 수 있다. 복사 에너지 공급원(204)이 적외선으로부터 자외선까지의 파장들의 복사선을 생성하는 램프, 또는 마이크로파, 밀리미터파, 테라헤르쯔파, 서브밀리미터파(submillimeter wave), 또는 원적외선 공급원일 수 있다. 복사 에너지 공급원(204)이 약 5 x 10-2 m 내지 약 1 x 10-7 m의 파장들을 가지는 복사선을 생성할 수 있다. 예시적인 복사 에너지 공급원들은 열 램프들, 할로겐 램프들, 아아크 램프들, 및 동축적 마이크로파 또는 밀리미터파 공급원들을 포함한다.
하우징(202)은 그러한 하우징(202)을 통해서 연장하는 복수의 도관들(242)을 특징으로 한다. 도관들(242)은, 프로세싱 중에 그리고 챔버 펌프-다운(펌프-감압) 동작들 중에, 상부 부피(136)로부터 하부 부피(134)로의 가스 유동을 가능하게 한다.
하우징(202)의 반사 표면(208)은 복사 에너지 공급원(204)으로부터의 복사선을 프로세싱 위치들(128 또는 160)에 배치된 기판의 후방 측부를 향해서 반사시키도록 성형된다. 일부 실시예들에서, 반사 표면(208)이 기판의 실질적으로 균일한 조사를 허용하도록 성형된다. 반사 표면(208)은 원통형, 도넛형(torroidal), 타원형, 계란형, 또는 불규칙적인 곡선 형상과 같은 임의의 희망 형상을 가질 수 있다. 반사 표면(208)은, 곡선형에 더하여 또는 그 대신에, 면을 가질(faceted) 수 있다. 일 실시예에서, 반사 표면(208)이 동일한 또는 상이한 곡률 반경들을 가지는 실린더들의 결합된 세그먼트들일 수 있고, 그 각각이 또한 부분적으로 테이퍼링되거나 면을 가질 수 있다. 일 실시예에서, 반사 표면(208)은 반-도넛형이다. 다른 실시예에서, 반사 표면(208)이 복수의 반사 피스들을 포함하고, 그러한 반사 피스들 각각이, 독립적으로, 실질적으로 편평하고, 곡선형이고, 테이퍼링되고, 또는 면을 가질 수 있고, 상기 반사 피스들이 곡선형 표면에 근접하도록 배치된다. 전형적으로, 지지부들(206)은 불연속적인, 예를 들어, 지지 핀들, 막대들, 또는 범프들이고, 그에 따라 복사 에너지 공급원(204)으로부터의 복사선이 반사 표면(208)의 실질적으로 전체 범위들에 도달하고 기판을 향해서 반사된다.
하우징(202)의 상부 부분(232)은 반사 표면(208)으로부터 방사상 내측으로, 2가지 고려사항들을 기초로 선택된 거리("x")만큼, 연장한다. 첫 번째로, 배리어(166)를 생략한 실시예들의 경우에, 기판 지지부(106)가 그 최상부 프로세싱 위치에서 전개되는 동안, 차폐 링(118)과 상부 부분(232) 사이에 희망 공간을 제공하도록 거리("x")가 선택된다. 그러한 실시예들에서, 차폐 링(118)과 상부 부분(232) 사이의 공간은, 증착 재료들이 하부 부피(134) 내로 통과되고 그 내부에서 챔버 표면들에 증착하는 범위에 영향을 미친다. 두 번째로, 열적 프로세싱 중에 복사 에너지 공급원(204)에 가장 근접한 기판의 부분을 은폐(shadow)하도록 거리("x")가 선택되어 기판에 대한 과다한 복사선을 방지한다. 상부 부분(232)이, 복사 에너지 공급원(204)으로부터 유래되는 복사선의 희망하는 양을 차단하도록 제공된 돌출부(234)를 가지는 연장부(210)를 구비할 수 있다. 일부 실시예들에서, 연장부(210)가 복사 에너지 공급원(204) 및 채널(212)에 비교적 근접하기 때문에, 연장부(210)는 세라믹과 같은 내화 재료로 제조될 수 있다. 다른 실시예들에서, 연장부(210)는 방출된 복사선에 대한 희망 광학적 성질들을 가지는 재료로 형성될 수 있고, 그에 따라 복사선이 선택적으로 차단되고, 투과되고, 또는 흡수 및 재-방출될 수 있다. 일부 실시예들에서, 챔버(100)의 중심 영역으로부터 측정할 때, 연장부(210)의 내측 반경은 내측 반경(144)과 거의 동일할 수 있다. 일부 실시예들에서, 거리("x") 및 돌출부(234)가 복사 에너지 공급원으로부터 프로세싱 위치들(128 및 160)에 배치된 기판의 임의 부분까지의 시선을 차단하도록 구성될 수 있다. 300 mm 웨이퍼들을 프로세스하도록 구성된 챔버의 경우에, 복사 에너지 공급원(204)의 구성에 따라서, 하우징의 상부 및 하부 부분들이 챔버 벽(104)으로부터 약 1 cm 내지 약 6 cm로 연장한다. 일 실시예에서, 하우징의 상부 및 하부 부분들이 챔버 벽으로부터 약 2 cm 연장한다.
복사 에너지 공급원(204)에 의한 기판의 직접적인 조명을 감소시키기 위한 다른 방법들이 이용될 수 있다. 일 실시예에서, 기판을 향해서 대면하는 복사 에너지 공급원(204)의 표면, 예를 들어 하우징(202) 또는 반사 표면(208)으로부터 멀어지는 쪽으로 대면하는 표면이, 기판 상으로 입사하는 직접적인 시선 복사선을 감소 또는 배제하도록 구성된 코팅으로 코팅될 수 있다. 일부 실시예들에서 코팅은이 반사적일 수 있으며, 그에 따라 코팅으로 입사되는 복사 에너지 공급원(204)으로부터의 광이 반사 표면(208)과 같은 하우징(202)의 반사 표면들을 향해서 반사된다. 다른 실시예들에서, 코팅은 흡수적, 반투명적, 불투명적, 검은색, 또는 백색일 수 있다. 일부 실시예들에서, 코팅이 금속 또는 세라믹일 수 있다. 일반적으로, 복사 에너지 공급원(204)의 열적 분위기를 견디도록 코팅이 선택된다. 선택적으로 연장부(210)의 돌출부(234) 및 상부 부분(232)과 조합한, 코팅의 이용은, 열적 프로세스 중에 기판에 도달하는 모든 광이 반사된 광이 되도록 보장할 수 있다. 일부 실시예들에서, 코팅의 이용은, 방출된 복사선에 의한 기판 표면의 직접적인 조명의 가능성을 배제함으로써, 하우징(202)의 상부 부분(232)에 대한 필요성을 배제할 수 있다.
복사 에너지 공급원(204)으로부터 프로세싱 위치(160)에 배치된 기판을 향해서 복사선을 반사시키도록 구성된 상부 표면(224)을 차폐 링(118)이 가질 수 있다. 반사된 복사선을 기판을 향해서 보내기(cast) 위해서, 차폐 링(118)의 윤곽화된(contoured) 부분(240)이 상승되거나, 각도를 이루거나, 또는 곡선형이 될 수 있다. 배리어(166)는 차폐 링(118)의 윤곽화된 부분(240)의 형상에 일치되도록 성형된 윤곽화된 부분(236)을 가질 수 있다. 노치(164)를 통한 그리고 배리어(166) 주위의 임의의 가스 유동의 제어를 보장하기 위해서, 윤곽화된 부분(236)이 차폐 링(118)의 윤곽화된 부분(240)에 대해서 일치되는 관계로 곡선화되거나, 각도를 이루거나, 또는 테이퍼링될 수 있다.
차폐 링(118)이 금속, 예를 들어, 스테인리스 스틸, 또는 금속-코팅된 세라믹일 수 있다. 일반적으로, 차폐 링(118)은 고온 프로세싱에 대한 내성을 가지는 재료들로 형성되고, 그리고 차폐 링(118)의 표면(224)은 반사적이다. 차폐 링(118)은 은과 같은 반사 금속으로 코팅된 세라믹일 수 있고, 또는 차폐 링(118)은 상이한 굴절률들을 가지는 층상형 세라믹들과 같은 유전체(dielectric) 거울을 이용할 수 있다. 차폐 링(118)의 상부 표면(224)은, 반사 표면(208)의 곡률 및/또는 면(faceting)과 양립가능한(compatible) 방식으로, 곡선화 및/또는 면 형성될(faceted) 수 있으며, 그에 따라 반사 표면(208) 및 차폐 링(118)의 상부 표면(224)이, 복사 에너지 공급원(204)으로부터 상기 복사 에너지 공급원(204) 위에 배치된 기판 후방 측부로, 가능한 한 균일하게, 가능한 한 많은 복사선을 지향시키도록 구성된 복합 반사부를 함께 형성한다.
열 센서(120)는 챔버 벽(104) 내의 개구부(216)를 통해서 배치된 스펙트럼 센서(214)를 포함한다. 플레이트(218)는, 갭(154)을 통해서 프로세싱 위치(160)에서 기판의 후방 측부를 관찰(viewing)하기 위한 희망 위치에서 스펙트럼 센서(214)를 고정한다. 스펙트럼 센서(214)가 고온계일 수 있다. 일 실시예에서, 스펙트럼 센서(214)가 약 1 ㎛의 파장을 가지는 복사선의 세기를 측정하도록 조정된 고온계일 수 있다. 다른 실시예에서, 고온계가 약 7-15 ㎛의 파장 범위에서 동작할 수 있다.
채널들(228)이 기판 지지부(106)의 기판 수용 표면(116) 내에 형성되어, 냉각 매체가 기판 수용 표면(116) 상에 배치된 기판과 접촉하게 하는 것을 돕는다. 채널들(228)은 도관(130)과 유체 소통한다. 냉각 매체, 예를 들어 냉각 가스가 도관(130)을 통해서 채널들(228)로 제공되어, 기판 수용 표면(116)의 중심으로부터 엣지로 유동한다. 유체 유동을 돕기 위해서, 채널들(228)이 기판 수용 표면(116)의 중심으로부터 엣지(둘레 부분)까지 증가되는 깊이를 가질 수 있다. 유체 유동을 위한 증가되는 면적(area)은 가열에 의한 냉각 매체의 팽창에 대해서 반작용한다. 냉각 매체의 팽창은 압력을 생성하고, 그러한 압력은 기판 수용 표면(116)의 둘레로의 유동을 방해한다. 채널들의 점진적인 깊이는 그러한 방해에 대해서 반작용한다. 희망하는 정확한 냉각 프로파일에 따라서, 채널들이 임의의 유용한 깊이 프로파일로 디자인될 수 있다. 일 실시예에서, 채널 깊이는 기판 수용 표면(116)의 중심으로부터의 거리에 따라 선형적으로 증가된다. 그러한 실시예에서, 유체 유동의 플럭스 면적(flux area)은 또한 선형적으로 증가된다. 다른 실시예에서, 채널들(228)의 깊이가 기판 수용 표면(116)의 중심으로부터의 거리의 제곱으로 증가될 수 있다. 도 2b는, 기판 수용 표면의 중심으로부터의 거리의 제곱으로 증가하는 깊이를 가지는 채널들(228)과 함께, 도 1의 기판 지지부(106)와 같은 기판 지지부를 도시한 근접도이다. 다른 실시예에서, 채널들(228)의 깊이는 기판 수용 표면(116)의 중심으로부터 엣지까지 대략적으로 일정한 질량 플럭스를 위해서 구성될 수 있다.
기판 수용 표면(116)은 반사적일 수 있다. 일 실시예에서, 유전체 거울 표면이 제공된다. 다른 실시예들에서, 은과 같은 반사 금속이 세라믹 재료 위에, 또는 투명한 재료 아래에 도포된다. 반사 재료가 등각적인(conformal) 방식으로 유체 유동 리세스들 내로 연장될 수 있다. 예를 들어, 희망하는 경우에, 반사 라이너가 유체 유동 리세스들로 도포될 수 있다. 희망하는 경우에, 임의의 공지된 등각적인 프로세스를 이용하여 등각적인 반사 표면을 형성할 수 있다. 다른 실시예에서, 예를 들어, 반사 재료를 등각적으로 증착하고 그리고, 폴리싱과 같은 물리적인 수단에 의해서 또는 에칭과 같은 화학적 수단에 의해서, 리세스들 사이의 편평한 표면들로부터 반사 재료를 제거함으로써, 반사 재료가 유체 유동 리세스들로만 도포될 수 있다.
반사적인 기판 수용 표면(116)이 기판(168)에 의해서 흡수될 가능성이 있는 복사선을 선택적으로 반사시키도록 구성될 수 있다. 예를 들어, 하나의 실시예에서, 약 0.2 ㎛ 내지 약 1.0 ㎛의 파장을 가지는 복사선을 반사시키도록 구성된 유전체 거울이 유용할 수 있다. 상이한 굴절률들을 가지는 교번적인 층들을 기판 수용 표면(116) 상에 형성함으로써, 그러한 유전체 거울이 형성될(fashioned) 수 있다.
도 2c는 도 2a의 실시예들에서 복사 에너지 공급원(204)으로서 이용될 수 있는 복사 에너지 공급원(250)의 사시도이다. 복사 에너지 공급원(250)은 원형 기판들을 프로세싱하기 위한 실질적으로 원통형인 챔버 내에서 이용하기 위한 반-원형이다. 복사 에너지 공급원(250)은 복사 섹션(252) 및 램프의 각 단부에 하나씩 위치되는 2개의 커넥터들(254)을 가지는 할로겐 램프이다. 상기 커넥터들(254)은, 파워 공급원(미도시)에 대한 연결을 위해서, 하우징(202) 내의 개구부 및 도 2a에 대해서 전술한 챔버 벽(104)을 통해서 돌출하도록 구성된다. 전형적으로, 전도체가 챔버 벽의 외부 주위에 배치되어 파워를 커넥터들(254)로 전달한다. 2개의 그러한 복사 에너지 공급원들(250)이 일반적으로 하나의 챔버 내에서, 서로 대향되어, 사용되어, 항상(throughout) 균일한 복사선을 생성한다. 일 실시예에서, 복사 에너지 공급원(250)은 7.5 kW, 480 V 백열(incandescent) 램프 또는 할로겐 백열 Osram 램프이다. 다른 실시예에서, 복사 에너지 공급원(250)은 크세논 방전 램프이다. 복사 에너지 공급원(250)이 도 2a의 지지부(206)와 같은 지지부와 접촉하기 위한 접촉 구역(256)을 가질 수 있다. 접촉 구역(256)은, 복사 에너지 공급원(250)과의 접촉으로부터의 지지부(206)의 직접적인 가열을 감소시키기 위해서, 절연 패드일 수 있다.
여기에서 '204' 및 '250'으로서 도시된 복사 에너지 공급원들이, 복사 공급원 평면(126)을 형성하는, 기판 수용 표면(116)과 실질적으로 공통 평면적(coplanar)인 것으로 제시된다. 일부 실시예들에서, 기판 수용 표면(116)에 대해서 횡방향인 또는 수직인 변위 요소들을 가지는 복사 공급원들을 이용하는 것이 유리할 수 있다는 것을 주지하여야 한다. 그러한 복사 공급원들은, 복사선 방출이 이루어지는 선형 거리를 부가함으로써, 복사 공급원으로 파워를 부가하는 역할을 할 수 있다. 일 실시예에서, 복수의 직선형의 세장형 복사 공급원들이 기판 수용 표면(116)에 대해 수직인 배향으로 배치될 수 있다. 다른 실시예에서, 복사 공급원이 교번적인 횡방향 변위(즉, 파동 패턴)를 특징으로 할 수 있다. 그러한 실시예들에서, 하우징, 및 그 반사 표면들은 생성되는 특정 복사선 패턴들을 위해서 최적화될 수 있다. 다른 실시예들에서, 램프가 다각화된(polygonized) 램프를 형성하는 복수의 결합된 선형 세그먼트들을 포함할 수 있다. 다른 실시예들에서, 복사 공급원은 기판 지지부(106)의 기판 수용 표면(116)에 실질적으로 평행한 평면 내에서 챔버의 둘레 주위로 공통 평면적인 방식으로 배열된 복수의 선형 램프들일 수 있고, 각각의 램프의 주요 축은 기판 수용 표면(116)에 대해서 실질적으로 평행하다. 다른 실시예들에서, 복수의 램프들의 그러한 평면들이 이용될 수 있고, 각각의 평면은 기판 수용 표면(116)에 대해서 수직인 방향으로 다른 평면으로부터 변위된다. 다른 실시예들에서, 선택적으로 전술한 다른 램프 포메이션들(formations)과 함께, 소용돌이형 또는 나선형 램프가 이용될 수 있다.
다른 실시예들에서, 복수의 u-형상의 램프들이 이용될 수 있고, u-형상의 직선형 부분들은 기판 수용 표면에 대해서 실질적으로 평행하게 연장하고, 그리고 하나의 u-램프의 단부들이 다른 u-램프의 단부들에 근접하여 배치되고, 그에 따라 2개의 인접한 램프들의 전기 콘택들이, 하우징의 반사 표면의 파괴를 최소화하기 위해서 가능한 한 작은 구역 내에서, 하우징 및 챔버 벽을 침투한다. 하나의 램프의 전기 배출구를 인접한 램프의 전기 유입구로 연결함으로써, 그러한 램프들이 직렬로 용이하게 연결될 수 있다. 챔버 둘레 주위로 배치된 복수의 공급원들을 특징으로 하는 실시예들에서, 각각의 공급원이 분리된 반사부 및 하우징을 가질 수 있고, 또는 하나의 반사부 및 하우징이, 모든 공급원들에 대한 하나의 반사부 및 하우징을 포함하는 것까지, 공급원들의 그룹을 위해서 제공될 수 있다. 하나의 실시예에서, 2개의 복사 공급원들이 이용된다.
도 2a를 다시 참조하면, 하우징(202)의 상부 부분(232)은 기판 수용 표면(116)에 대해서 일반적으로 평행하게 도시된 하부 표면(238)을 가진다. 하부 표면(238)은 복사 공급원 조립체(112)의 효율을 개선하기 위해서 반사적이 될 수 있다. 일 실시예에서, 하부 표면(238)이 기판 수용 표면(116)에 대해서 기울어지고, 그에 따라 하부 표면(238)과 기판 수용 표면(116) 사이의 거리는, 챔버 벽(104)으로부터의 방사상 거리에 따라 감소된다. 이러한 기울기는 선형적 또는 비-선형적일 수 있고, 그리고 복사 에너지 공급원(204)으로부터 기판 표면까지 증가된 복사선을 제공할 수 있다. 하나의 실시예에서, 하부 표면(238)의 기울기는 선형적이고, 그리고 기판 수용 표면(116)과 함께 약 1°내지 약 10°, 예를 들어 약 3°내지 약 7°, 예를 들어 약 5°의 각도를 형성한다. 다른 실시예들에서, 하부 표면(238)이 임의의 편리한 디자인에 따라서 곡선화될 수 있다. 하부 표면(238)은 하나의 곡률반경 또는 초점을 가질 수 있고, 또는 복수의 곡률반경들 또는 초점들을 가질 수 있다. 하나의 예에서, 하부 표면(238)은 램프의 방전 코일 또는 필라멘트와 실질적으로 일치하는 초점 영역을 갖고, 대략적으로 원통형일 수 있다. 하부 표면(238)으로부터의 반사된 복사선이 방전 코일의 온도를 동작 범위까지 상승시키는데 도움이 될 수 있고, 그에 따라 희망하는 복사선 출력 전력을 달성하는데 있어서 더 적은 전기 에너지가 필요하게 된다. 다른 실시예들에서, 기판까지의 반사를 위해서, 하부 표면(238)이 반사된 복사선을 램프로부터 멀리 그리고 표면(208)을 향해서 지향시키거나 또는 포커스하도록 구성될 수 있다. 다른 실시예들에서, 하우징(202) 내의 복수의 지점들로 또는 기판 지지부(106) 또는 차폐 링(118)으로 복사선을 반사하기 위해서 하부 표면(238)이 뾰족해질(cusped) 수 있다.
기판이 재료 (즉, 증착 또는 주입) 및 열적 프로세싱을 위해서 동일한 위치에 배치될 필요가 없다는 것을 주지하여야 할 것이다. 전술한 설명에서, 프로세싱 위치(160)가 재료 및 열적 프로세싱 중에 동일한 것이 제시되어 있지만, 반드시 그래야 할 필요는 없다. 예를 들어, 열적 프로세싱 위치가 재료 프로세싱 위치와 상이할 수 있다. 기판이 재료 프로세싱 위치로부터 열적 프로세싱 위치로 상승 또는 하강될 수 있다. 재료 프로세싱 위치에 대한 열적 프로세싱 위치의 위치는 일반적으로 복사 공급원의 디자인 및 재료 프로세스의 요구들에 좌우된다.
일 실시예에서, 챔버(100)는 PVD 챔버일 수 있다. 그러한 실시예에서, 챔버(100)의 덮개 부분(102)은, 당업계에 공지된 바와 같이, 스퍼터링 타겟, 마그네트론, 및 가스 공급 시스템을 포함할 것이다. 대안적인 실시예에서, 챔버(100)는, 당업계에 공지된 바와 같이 덮개 부분(102) 내에 배치된 샤워헤드 또는 샤워헤드 전극을 가지는, CVD 챔버, PECVD 챔버, 또는 에칭 챔버일 수 있다. 다른 실시예에서, 챔버(100)는, 당업계에 공지된 바와 같이, 덮개 부분(102) 내에 배치된 또는 덮개 부분(102)에 커플링된 유도 플라즈마 공급원을 가지는 P3i 챔버일 수 있다. 복사 공급원 조립체(112)와 같은 복사 공급원 조립체가 통합형 열적 프로세싱을 희망하는 임의의 프로세싱 챔버에서 이용될 수 있다.
도 3은 다른 실시예에 따른 방법(300)을 요약하는 흐름도이다. 방법(300)은 단일한 챔버 내에서 재료 프로세스(즉, 증착, 에칭, 및/또는 주입) 및 열적 프로세스를 달성하기 위해서 전술한 실시예들 중 임의의 실시예에서 기술된 바와 같은 이중-기능 챔버를 이용한다. 310에서, 기판이 챔버 내의 기판 지지 표면 상에 배치된다. 320에서, 기판이 재료 프로세스 위치에 배치되고, 그리고 재료 프로세스가 기판의 제 1 표면 상에서 실시된다. 330에서, 기판이 공간에 의해서 기판 지지 표면으로부터 분리된다. 340에서, 기판 지지부의 둘레 주위로 배치된 복사 에너지 공급원으로, 기판의 제 1 표면에 대향하는, 기판의 제 2 표면을 노출시킴으로써, 열적 프로세스가 실시된다. 350에서, 기판이 기판 지지부로 재-결합되고 그리고 냉각된다. 전체적인 방법(300)은 단일한 챔버에서 주기적인 재료/열적 프로세스를 실시하기 위해서 임의의 희망하는 횟수들로 반복될 수 있다. 추가적으로, 희망하는 경우에, 재료 및 열적 동작들이 동일한 횟수들 또는 상이한 횟수들로 독립적으로 반복될 수 있다.
하나의 실시예에서, 320의 재료 프로세스가 기판 상에 금속을 증착하기 위한 PVD 프로세스이다. 스퍼터링 가스가 챔버로 그 덮개 부분 내에서 제공된다. 덮개 부분 내에 배치된 스퍼터링 타겟이 RF 또는 DC 전기 파워로 에너지화되어 플라즈마를 형성한다. 플라즈마는 재료를 타겟으로부터 기판으로 스퍼터링한다. 금속 충진 프로세스의 경우에, TiN 또는 TaN과 같은 배리어 층이 메탈라이제이션에 앞서서 충진하고자 하는 피쳐들 내로 증착된다. 실리시데이션(silicidation)의 경우에, 배리어 층이 생략되고, 그리고 금속이 실리콘 상으로 직접적으로 증착된다. 금속 증착 프로세스의 경우에, 320에서 실시되는 열적 프로세스가, 기판의 리세스들 내의 금속의 오버행(overhang)을 감소시키기 위한 리플로우 프로세스, 또는 금속과 실리콘 사이의 반응을 구동하기 위한 실리시데이션 프로세스일 수 있다. 하나의 PVD 실시예에서, 구리 타겟이, 요구되는 증착 레이트(rate) 및 기판 크기에 따라서, 약 200 sccm 내지 약 20,000 sccm의 유량으로 제공되는 아르곤 스퍼터링 가스에 의해서 스퍼터링된다. 약 100 W 내지 약 10,000 W의 RF 파워가 타겟으로 인가된다.
금속 증착 프로세스에 이어서, 330에서, 기판이 제 1 온도 프로파일로부터 제 2 온도 프로파일까지 약 10 ℃/초 내지 약 20 ℃/초, 가령 약 15 ℃/초 내지 약 17 ℃/초, 예를 들어, 약 16.2 ℃/초의 상승 레이트(ramp rate)로 가열된다. 제 1 온도 프로파일은, 약 5 ℃ 이내의 균일성과 함께, 약 20 ℃ 내지 약 200 ℃, 예를 들어 약 50 ℃의 평균 온도를 특징으로 한다.
여기에서 기술된 가열 방법은 금속 증착 프로세스와 관련하여 장점들을 가진다. 금속이 기판 표면 상에 증착될 때, 표면이 반사성을 획득한다. 복사 에너지의 흡수는 일반적으로 금속화된(메탈라이즈드) 표면에서 감소되고, 그에 따라 금속화된 표면을 조사하는 것은 금속화된 표면에 대향하는 표면, 예를 들어 기판 후방 측부를 가열하는 것보다 덜 효과적이다. 예를 들어, 금속에 비해 개선된 실리콘의 에너지 흡수는 열처리 프로세스의 에너지 효율을 개선한다.
구리 리플로우 프로세스에 대해서, 제 2 온도 프로파일은, 약 20 ℃ 범위 내의, 예를 들어 약 15 ℃ 범위 내의 균일성을 갖는, 약 250 ℃ 내지 약 350 ℃, 가령 약 275 ℃ 내지 약 325 ℃, 예를 들어 약 320 ℃의 평균 온도를 특징으로 한다. 온도는 약 10 초 내지 약 30초, 가령 약 15초 내지 약 25초, 예를 들어 약 20초의 기간에 걸쳐 상승된다. 제 2 온도 프로파일은 가열 후에 약 0초 내지 약 30초, 가령 약 5초 내지 약 25초, 예를 들어 약 20초의 기간 동안 실질적으로 유지되어, 리플로우 프로세스를 달성한다. 그러한 방법은, 필요에 따라서, 상이한 온도들 및 시간들을 이용하여 상이한 재료들을 증착 및 리플로우시키기 위해서 이용될 수 있다. 그러한 방법을 위해서, 챔버(100)와 같은 챔버가, 최소한으로 변경(adaptation)하여, 이용될 수 있다.
일부 실시예들에서, 제 1 파워 셋팅을 복사 공급원으로 인가함으로써 기판 온도가 상승되고, 이어서 목표 온도에 도달하면, 제 2 파워 셋팅을 복사 공급원으로 인가함으로써 온도가 실질적으로 일정하게 유지된다. 하나의 실시예에서, 제 1 파워 셋팅은 복사 공급원의 정격(rated) 파워의 약 90%이고, 제 2 파워 셋팅은 복사 공급원의 정격 파워의 약 10%이다. 전술한 7.5 kW Osram 램프들의 경우에, 90% 파워 셋팅이 약 6.75 kW의 파워를 각각의 램프로 인가할 것이고, 그리고 10% 파워 셋팅은 750 W를 각각의 램프로 인가할 것이다. 이용되는 복사 공급원의 타입 및 실시되는 열적 동작에 따라서, 가열에 대한 듀티 사이클이 약 60% 내지 약 95%가 될 것이고, 그리고 온도를 유지하기 위한 듀티 사이클이 약 5% 내지 약 30%가 될 것이다.
340에서, 기판은 약 30초 내지 약 120초, 가령 약 60초의 지속시간에 걸쳐서 약 50 ℃ 미만의 평균 온도를 가지는 제 3 온도 프로파일로 냉각된다. 냉각 중에, 기판 상에서의 열적 응력을 최소화하기 위해서, 기판에 걸친 최대 온도 불균일성이 약 55 ℃ 미만으로 유지된다. 이는 도 1 및 2a와 관련하여 전술한 기판 지지부를 이용하여 달성될 수 있다. 일 실시예에서, 최대 온도 불균일성이 약 50 ℃ 미만이다.
금속 증착 프로세스에서, 전술한 바와 같은, 금속의 증착 및 리플로잉의 동작들은 단일 챔버 내에서 임의 횟수들로 반복되어 패터닝된 기판 내의 개구부들을 금속으로 충진하는 것을 달성할 수 있다. 그러한 주기적인 리플로우 프로세스는 리세스들의 신속한 무-공극(void-free) 충진을 달성한다. 대부분의 실시예들에서, 증착 및 열적 프로세스가 5차례 또는 그 미만으로 반복된다. 하나의 예에서, 증착 및 열적 프로세스가 3차례 실행된다. 일부 실시예들에서, 전술한 바와 같은 충진 프로세스를 시작하기에 앞서서, 통상적인 배리어가 기판 위에 형성될 수 있다. 배리어 층은 후속 증착 및 열적 프로세스들 중에 금속이 기판 내로 이동하려는 경향을 감소시킨다.
실리사이드 프로세스에서, 전술한 둘레 복사 공급원을 이용하여 기판을 약 150 ℃ 내지 약 500 ℃의 온도로 가열하여 코발트 및 몰리브덴과 같은 증착된 금속들과 실리콘 사이의 반응을 촉진할 수 있다. 증착 및 열처리가 또한 실리시데이션을 위해서 주기적으로 반복 실시될 수 있다.
다른 실시예에서, 방법(300)은 질화 및 재산화 프로세스일 수 있다. 기판을 질화 플라즈마에 노출시킴으로써, DPN 프로세스와 같은 플라즈마 질화 프로세스가 실시될 수 있다. 이어서, 전술한 바와 같은 다중-기능 재료/열적 챔버를 이용하여, 기판을 열적 프로세싱을 위해서 배치하고 그리고 질소 플라즈마에 노출된 표면에 대향하는 기판 표면을 조사하도록 배치된 복사 에너지 공급원을 활성화시킴으로써, 재산화 프로세스가 동일한 챔버 내에서 실시될 수 있다. 산소 라디칼들을 포함하는 대기와 같은 산화 대기가 열적 프로세스 중에 제공될 수 있다. 주기적인 질화/재산화 프로세스가 임의의 희망하는 횟수로 반복될 수 있다.
도 1-2b와 관련하여 전술한 챔버(100)는 단일 챔버 내의 기판 상에서 재료 프로세스 및 열적 프로세스를 실시하는 이중-기능 챔버이다. 그러한 이중-기능 챔버는 열적 프로세스가 후속하는 재료 프로세스를 특징으로 하는 프로세스들에 대해 유용하다. 그러한 프로세스들은 금속 증착 및 리플로우, 실리시데이션, 증착(CVD, ALD, PECVD, 에피텍시) 및 어닐링, 주입 및 어닐링, 그리고 플라즈마 질화 및 재산화를 포함하나, 이러한 것으로 제한되는 것은 아니다. 그러한 프로세스들은, 실질적으로 전술한 바와 같이, 재료 프로세스를 실시하는 챔버로 둘레 복사 공급원을 커플링시킴으로써 단일 챔버 내에서 실시될 수 있다.
도 4는 다른 실시예에 따른 클러스터 툴(400)의 평면도이다. 클러스터 툴(400)은 전술한 바와 같이 적어도 하나의 이중-기능 재료/열적 챔버를 특징으로 한다. 클러스터 툴(400)의 예는 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터 입수가능한 CENTURA® 시스템이다. 다른 제조자들에 의해서 제조된 클러스터 툴들이 또한 이용될 수 있다. 임의의 편리한 타입의 이송 로봇(404)이 클러스터 툴의 이송 챔버(402) 내에 배치된다. 2개의 로드-록 챔버들(406A/B)을 가지는 로드-록(406)이 이송 챔버(402)에 커플링된다. 복수의 프로세스 챔버들(408, 410, 412, 414, 및 416)이 또한 이송 챔버(402)에 커플링된다. 통상적인 클러스터 툴에서, 하나 또는 둘 이상의 프로세스 챔버들이 어닐링, 탈가스, 또는 산화 챔버와 같은 열적 프로세스 챔버일 수 있다. 여기에서 설명된 바와 같은, 이중-기능 챔버를 이용하여, 열적 챔버가 배제될 수 있고 그리고 생산 레이트가 증가될 수 있다.
하나의 실시예에서, 클러스터 툴(400)이 논리 게이트 형성 툴(logic gate formation tool)일 수 있다. 챔버(408)가, PVD 또는 CVD일 수 있는 실리콘 증착 챔버일 수 있고, 그리고 플라즈마 강화형일 수 있다. 그에 따라, 챔버(410)가 이중-기능 플라즈마 질화 및 열처리 챔버일 수 있다. 생산 레이트를 최대화하기 위해서 필요한 바에 따라, 챔버(412)가 산화물 형성, 질화/열처리, 또는 실리콘 형성을 위해서 지정될 수 있다. 열적 프로세싱 단독을 위해서 지정된 단일 챔버가 없기 때문에, 이중-기능 재료/열적 챔버들의 이용에 의해서, 생산 레이트가 증가될 수 있다. 다른 실시예에서, 챔버들(408, 410, 412, 414, 및 416) 중 임의의 챔버가 조합된 플라즈마 세정 및 재산화 챔버일 수 있다.
도 5는 다른 실시예에 따른 클러스터 툴(500)의 평면도이다. 클러스터 툴(500)은 2개의 이송 챔버들(502 및 504)에 커플링된 복수의 프로세싱 챔버들을 특징으로 한다. 클러스터 툴(500)의 예는 Applied Materials, Inc.의 ENDURA® 시스템이다. 다른 제조자들로부터 제조된 클러스터 툴들이 또한 이용될 수 있다. 이송 챔버들(502 및 504)의 각각이 이송 로봇(506 및 508) 각각을 가진다. 2개의 로드-록 챔버들(510A/B)을 특징으로 하는 로드-록(510)이 제 1 이송 챔버(502)로 커플링된다. 이송 로봇들(506 및 508) 모두가 접근할 수 있는 챔버들(520 및 522)을 통한 통과를 이용하여 이송 챔버들 사이에서 기판들이 통과된다.
전형적인 구성에서, 프로세싱 챔버들(524, 526, 528, 530, 및 532) 중의 임의의 또는 모든 챔버들이 이중 기능 증착/열적 프로세스 챔버들일 수 있고, 이들의 덮개 부분들의 구성에 따라서, 플라즈마 강화될 수 있는, CVD, PVD, 또는 ALD 프로세스들에 의해서 증착이 이루어질 수 있다. 하나의 실시예에서, 프로세스 챔버들(524 및 526)이 배리어 층을 형성하기 위한 PVD 챔버들이고, 그리고 챔버들(528, 530, 및 532)은, 도 3의 프로세스와 유사한 프로세스를 각각 실시할 수 있는, 이중-기능 PVD 금속 증착/리플로우 챔버들이다. 챔버들(516 및 518)이 예비-세정(pre-clean) 챔버들일 수 있고, 그리고 챔버들(512 및 514)이 탈가스, 냉각, 또는 표면 부동태화(passivation) 챔버들일 수 있다. 챔버들(516, 518, 524, 526, 528, 및 532) 중 임의의 챔버는 조합된 플라즈마 세정 및 재산화 챔버들일 수 있다.
전술한 내용들이 본원 발명의 실시예들에 관한 것이지만, 본원 발명의 다른 그리고 추가적인 실시예들이 본원 발명의 기본적인 범위로부터 벗어나지 않고도 안출될 수 있다.

Claims (18)

  1. 반도체 기판들을 프로세싱하기 위한 챔버로서:
    챔버의 프로세싱 위치와 운송 위치 사이에 복사 공급원을 제공하기 위해서 챔버의 둘레 영역에 배치되는 복사 에너지 공급원 - 상기 복사 에너지 공급원은, 상기 챔버의 측벽으로부터 방사상 내측으로 연장하는 복수의 불연속적인 지지부들 상에 배치됨 -;
    상기 프로세싱 위치 아래에 배치되어 상기 프로세싱 위치를 향해 위를 바라보도록, 상기 복사 에너지 공급원 주위에 배치되는, 반사부; 및
    상기 프로세싱 위치 위에 배치되는 가스 공급원;을 포함하는,
    반도체 기판들을 프로세싱하기 위한 챔버.
  2. 제 1 항에 있어서,
    상기 반사부는 상기 복사 에너지 공급원으로부터의 복사선을 상기 프로세싱 위치를 향해서 반사시키도록 성형되는,
    반도체 기판들을 프로세싱하기 위한 챔버.
  3. 제 1 항에 있어서,
    수직 액추에이터에 커플링된 기판 지지부 및 상기 기판 지지부에 커플링되고 상기 기판 지지부의 기판 수용 표면을 통해서 연장하는 리프트 핀 조립체를 더 포함하고, 상기 리프트 핀 조립체는, 상기 기판 지지부의 기판 수용 표면이 상기 복사 공급원과 상기 운송 위치 사이에 배치되는 동안, 상기 기판을 상기 프로세싱 위치에서 유지하기에 충분한 길이를 각각 가지는 복수의 리프트 핀들을 포함하는,
    반도체 기판들을 프로세싱하기 위한 챔버.
  4. 제 1 항에 있어서,
    상기 반사부는 상기 복사 에너지 공급원과 챔버 내부의 상기 프로세싱 위치의 둘레 부분들 사이에서 돌출하는 방사상 연장부를 포함하는,
    반도체 기판들을 프로세싱하기 위한 챔버.
  5. 제 1 항에 있어서,
    반사 표면을 가지는 차폐 링을 구비하는 기판 지지부를 더 포함하는,
    반도체 기판들을 프로세싱하기 위한 챔버.
  6. 제 1 항에 있어서,
    상기 복사 에너지 공급원이 상기 반사부로부터 멀어지는 쪽을 대면하는(facing away from) 복사 에너지 공급원의 표면 상에 코팅을 가지는,
    반도체 기판들을 프로세싱하기 위한 챔버.
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 단일 기판을 프로세싱하기 위한 챔버로서:
    상기 챔버 내부의 프로세싱 위치와 기판 운송 위치 사이에서 이동하도록 작동되는 기판 지지부로서, 상기 기판 지지부가:
    내부에 형성된 복수의 채널들을 가지는 기판 수용 표면; 및
    상기 기판 지지부에 커플링된 리프트 핀 조립체 ― 상기 리프트 핀 조립체는 상기 채널들을 통해서 배치되고 상기 기판 수용 표면 위로 연장하도록 작동되는 복수의 리프트 핀들을 포함함 ― 를 포함하는, 기판 지지부;
    상기 챔버의 측벽으로부터 방사상 내측으로 연장하는 복수의 불연속적인 지지부들 상에 배치된 환형 램프 ― 상기 환형 램프는 상기 프로세싱 위치와 상기 기판 운송 위치 사이에 있는 복사 공급원 평면을 규정함 ― ; 및
    상기 프로세싱 위치 아래에 배치되어 상기 프로세싱 위치를 향해 위를 바라보도록, 상기 환형 램프 주위에 배치되는, 오목한 환형 반사부;를 포함하는,
    단일 기판을 프로세싱하기 위한 챔버.
  12. 제 11 항에 있어서,
    상기 기판 수용 표면 내에 형성된 채널들은, 상기 기판 수용 표면의 중심으로부터 상기 기판 수용 표면의 둘레 부분까지 증가되는 깊이를 가지는,
    단일 기판을 프로세싱하기 위한 챔버.
  13. 제 11 항에 있어서,
    상기 기판 지지부 주위에 배치되고 반사적인 상부 표면을 가지는 차폐 링을 더 포함하고, 상기 기판 지지부는 또한 반사적인 상부 표면을 가지는,
    단일 기판을 프로세싱하기 위한 챔버.
  14. 제 11 항에 있어서,
    상기 기판 지지부는 유전체 거울을 포함하는 반사적인 기판 수용 표면을 가지는,
    단일 기판을 프로세싱하기 위한 챔버.
  15. 제 11 항에 있어서,
    상기 환형 램프는 상기 환형 램프의 표면 상의 반사적인 코팅을 가지는,
    단일 기판을 프로세싱하기 위한 챔버.
  16. 삭제
  17. 삭제
  18. 삭제
KR1020137026576A 2011-03-11 2012-03-09 램프 조립체를 이용한 기판 하부의 오프­각도형 가열 KR101921199B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/046,261 2011-03-11
US13/046,261 US8404048B2 (en) 2011-03-11 2011-03-11 Off-angled heating of the underside of a substrate using a lamp assembly
PCT/US2012/028521 WO2012125469A2 (en) 2011-03-11 2012-03-09 Off-angled heating of the underside of a substrate using a lamp assembly

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020187033026A Division KR101947102B1 (ko) 2011-03-11 2012-03-09 램프 조립체를 이용한 기판 하부의 오프­각도형 가열

Publications (2)

Publication Number Publication Date
KR20140018915A KR20140018915A (ko) 2014-02-13
KR101921199B1 true KR101921199B1 (ko) 2018-11-22

Family

ID=46795968

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020187033026A KR101947102B1 (ko) 2011-03-11 2012-03-09 램프 조립체를 이용한 기판 하부의 오프­각도형 가열
KR1020137026576A KR101921199B1 (ko) 2011-03-11 2012-03-09 램프 조립체를 이용한 기판 하부의 오프­각도형 가열

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020187033026A KR101947102B1 (ko) 2011-03-11 2012-03-09 램프 조립체를 이용한 기판 하부의 오프­각도형 가열

Country Status (6)

Country Link
US (3) US8404048B2 (ko)
JP (1) JP6073256B2 (ko)
KR (2) KR101947102B1 (ko)
CN (1) CN103415910B (ko)
TW (1) TWI545635B (ko)
WO (1) WO2012125469A2 (ko)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9905443B2 (en) * 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US8926806B2 (en) * 2012-01-23 2015-01-06 Taiwan Semiconductor Manufacturing Co., Ltd. Shielding design for metal gap fill
US10504719B2 (en) 2012-04-25 2019-12-10 Applied Materials, Inc. Cooled reflective adapter plate for a deposition chamber
US8993422B2 (en) * 2012-11-09 2015-03-31 Infineon Technologies Ag Process tools and methods of forming devices using process tools
CN104233191A (zh) * 2013-06-08 2014-12-24 北京北方微电子基地设备工艺研究中心有限责任公司 加热腔室及等离子体加工设备
WO2015023603A1 (en) * 2013-08-12 2015-02-19 Applied Materials Israel, Ltd. System and method for forming a sealed chamber
US9443728B2 (en) 2013-08-16 2016-09-13 Applied Materials, Inc. Accelerated relaxation of strain-relaxed epitaxial buffers by use of integrated or stand-alone thermal processing
AT515052B1 (de) * 2013-10-17 2015-08-15 Mb Microtec Ag Visiervorrichtung
US9673092B2 (en) * 2014-03-06 2017-06-06 Asm Ip Holding B.V. Film forming apparatus, and method of manufacturing semiconductor device
US11060203B2 (en) 2014-09-05 2021-07-13 Applied Materials, Inc. Liner for epi chamber
US10760161B2 (en) 2014-09-05 2020-09-01 Applied Materials, Inc. Inject insert for EPI chamber
SG10201901906YA (en) * 2014-09-05 2019-04-29 Applied Materials Inc Atmospheric epitaxial deposition chamber
WO2016126381A1 (en) 2015-02-05 2016-08-11 Applied Materials, Inc. Rapid thermal processing chamber with linear control lamps
JP6554328B2 (ja) * 2015-05-29 2019-07-31 株式会社Screenホールディングス 熱処理装置
KR20170016562A (ko) 2015-08-03 2017-02-14 삼성전자주식회사 박막 증착 장치
US10727094B2 (en) 2016-01-29 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd Thermal reflector device for semiconductor fabrication tool
US9818717B2 (en) * 2016-02-24 2017-11-14 International Business Machines Corporation Enhanced cleaning for water-soluble flux soldering
KR102627303B1 (ko) * 2016-03-14 2024-01-18 어플라이드 머티어리얼스, 인코포레이티드 디-척킹 단계 동안에 정전 척 상의 잔류 전하를 제거하기 위한 방법
US10325790B2 (en) * 2016-04-29 2019-06-18 Applied Materials, Inc. Methods and apparatus for correcting substrate deformity
US11572617B2 (en) * 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US20180122670A1 (en) * 2016-11-01 2018-05-03 Varian Semiconductor Equipment Associates, Inc. Removable substrate plane structure ring
US10573498B2 (en) * 2017-01-09 2020-02-25 Applied Materials, Inc. Substrate processing apparatus including annular lamp assembly
TWI776859B (zh) * 2017-03-06 2022-09-11 美商應用材料股份有限公司 旋轉器蓋
US10950449B2 (en) * 2018-01-12 2021-03-16 Asm Ip Holding B.V. Substrate processing apparatus
CN108060406B (zh) * 2018-01-29 2023-09-08 北京北方华创微电子装备有限公司 遮挡压盘组件、半导体加工装置和方法
US11043403B2 (en) * 2018-04-06 2021-06-22 Semes Co., Ltd. Substrate support unit and substrate processing apparatus having the same including reflective member configured to reflect light toward substrate
KR102208753B1 (ko) * 2018-04-06 2021-01-28 세메스 주식회사 기판 지지 유닛 및 이를 갖는 기판 처리 장치
EP3810825A1 (en) * 2018-06-25 2021-04-28 Applied Materials, Inc. Carrier for a substrate and method for carrying a substrate
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
US11289312B2 (en) * 2019-06-12 2022-03-29 Applied Materials, Inc. Physical vapor deposition (PVD) chamber with in situ chamber cleaning capability
KR102201877B1 (ko) * 2019-06-21 2021-01-13 세메스 주식회사 기판 지지 유닛 및 이를 갖는 기판 처리 장치
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
CN110923642B (zh) * 2019-11-11 2022-07-22 北京北方华创微电子装备有限公司 溅射装置
US20220267893A1 (en) * 2019-11-11 2022-08-25 Beijing Naura Microelectronics Equipment Co., Ltd. Sputtering device
US20210375650A1 (en) * 2020-06-01 2021-12-02 Applied Materials, Inc. High temperature and vacuum isolation processing mini-environments
US11222816B2 (en) * 2020-06-16 2022-01-11 Applied Materials, Inc. Methods and apparatus for semi-dynamic bottom up reflow
CN114075650A (zh) * 2020-08-18 2022-02-22 群创光电股份有限公司 曲面基板的镀膜装置及其镀膜方法
KR102584511B1 (ko) * 2020-12-07 2023-10-06 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US11492699B2 (en) 2021-02-17 2022-11-08 Applied Materials, Inc. Substrate temperature non-uniformity reduction over target life using spacing compensation
JP7197739B2 (ja) * 2021-05-10 2022-12-27 ピコサン オーワイ 基板処理装置及び方法
CN114126101B (zh) * 2021-11-02 2024-01-26 Tcl华星光电技术有限公司 石英红外加热装置及其对基板加热的方法
KR102554574B1 (ko) 2023-02-06 2023-07-12 주식회사 트리버스시스템 물리적 기상 증착의 결합력 강화를 위한 지향성 표면 가열 장치

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000323487A (ja) * 1999-05-14 2000-11-24 Tokyo Electron Ltd 枚葉式熱処理装置
JP2002134429A (ja) * 2000-10-12 2002-05-10 Applied Materials Inc 基板処理装置用のベアリングカバー、基板処理装置および熱処理方法
JP2005108967A (ja) * 2003-09-29 2005-04-21 Hitachi Kokusai Electric Inc 基板処理装置

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63241923A (ja) * 1987-03-30 1988-10-07 Nikon Corp 光照射装置
US4981815A (en) * 1988-05-09 1991-01-01 Siemens Aktiengesellschaft Method for rapidly thermally processing a semiconductor wafer by irradiation using semicircular or parabolic reflectors
JPH0669148A (ja) * 1992-08-21 1994-03-11 Nec Kansai Ltd 加熱装置
US5803977A (en) * 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
JPH0729843A (ja) * 1993-06-25 1995-01-31 Hitachi Ltd 熱処理装置
KR100297282B1 (ko) 1993-08-11 2001-10-24 마쓰바 구니유키 열처리장치 및 열처리방법
JPH07201753A (ja) * 1993-12-29 1995-08-04 Nippon Steel Corp 薄膜製造方法およびその装置
US5518593A (en) * 1994-04-29 1996-05-21 Applied Komatsu Technology, Inc. Shield configuration for vacuum chamber
US5561735A (en) * 1994-08-30 1996-10-01 Vortek Industries Ltd. Rapid thermal processing apparatus and method
DE4432315A1 (de) * 1994-09-12 1996-03-14 Patent Treuhand Ges Fuer Elektrische Gluehlampen Mbh Quecksilberdampf-Kurzbogenlampe
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
EP0826985A1 (en) * 1996-08-28 1998-03-04 Applied Materials, Inc. Reflector for a semiconductor processing chamber
DE19781631T1 (de) * 1997-01-02 1999-04-01 Cvc Products Inc Wärmeleitendes Spannfutter für Vakuumbearbeitungsvorrichtung
US6190113B1 (en) * 1997-04-30 2001-02-20 Applied Materials, Inc. Quartz pin lift for single wafer chemical vapor deposition/etch process chamber
US5792273A (en) * 1997-05-27 1998-08-11 Memc Electric Materials, Inc. Secondary edge reflector for horizontal reactor
US6222990B1 (en) 1997-12-03 2001-04-24 Steag Rtp Systems Heating element for heating the edges of wafers in thermal processing chambers
US6108491A (en) * 1998-10-30 2000-08-22 Applied Materials, Inc. Dual surface reflector
KR100363081B1 (ko) * 1999-09-16 2002-11-30 삼성전자 주식회사 박막 형성장치
US6891131B2 (en) * 2000-04-20 2005-05-10 Tokyo Electron Limited Thermal processing system
JP4540796B2 (ja) * 2000-04-21 2010-09-08 東京エレクトロン株式会社 石英ウインドウ、リフレクタ及び熱処理装置
JP2002075899A (ja) * 2000-08-31 2002-03-15 Ulvac-Riko Inc 円形状平板試料の均熱装置
JP4765169B2 (ja) * 2001-01-22 2011-09-07 東京エレクトロン株式会社 熱処理装置と熱処理方法
JP2002313781A (ja) * 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板処理装置
US6600138B2 (en) * 2001-04-17 2003-07-29 Mattson Technology, Inc. Rapid thermal processing system for integrated circuits
JP4350322B2 (ja) * 2001-04-27 2009-10-21 株式会社日立国際電気 加熱処理装置
US6344631B1 (en) * 2001-05-11 2002-02-05 Applied Materials, Inc. Substrate support assembly and processing apparatus
US6727176B2 (en) * 2001-11-08 2004-04-27 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects
US6849831B2 (en) * 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
JP4397655B2 (ja) * 2003-08-28 2010-01-13 キヤノンアネルバ株式会社 スパッタリング装置、電子部品製造装置及び電子部品製造方法
US20050223993A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses; methods for assessing alignments of substrates within deposition apparatuses; and methods for assessing thicknesses of deposited layers within deposition apparatuses
US20060096851A1 (en) * 2004-11-08 2006-05-11 Ilya Lavitsky Physical vapor deposition chamber having an adjustable target
KR100621777B1 (ko) * 2005-05-04 2006-09-15 삼성전자주식회사 기판 열처리 장치
JP2006324474A (ja) * 2005-05-19 2006-11-30 Sumitomo Metal Mining Co Ltd 金属被覆ポリイミド基板の製造方法
US20070020872A1 (en) 2005-07-06 2007-01-25 Isamu Shindo Process and apparatus for producing single crystal
US7378002B2 (en) * 2005-08-23 2008-05-27 Applied Materials, Inc. Aluminum sputtering while biasing wafer
JP5023505B2 (ja) * 2006-02-09 2012-09-12 東京エレクトロン株式会社 成膜方法、プラズマ成膜装置及び記憶媒体
US8500963B2 (en) * 2006-10-26 2013-08-06 Applied Materials, Inc. Sputtering of thermally resistive materials including metal chalcogenides
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
JP2007214593A (ja) * 2007-05-07 2007-08-23 Canon Anelva Corp 銅配線膜形成方法及び配線膜
US20090169760A1 (en) * 2007-12-31 2009-07-02 Rohan Akolkar Copper metallization utilizing reflow on noble metal liners
US20090194024A1 (en) 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8128262B2 (en) * 2010-03-30 2012-03-06 Abl Ip Holdings Llc Lighting applications with light transmissive optic contoured to produce tailored light output distribution
US8840288B2 (en) * 2010-11-02 2014-09-23 Nissan North America, Inc. Vehicle window assembly
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
JP5626163B2 (ja) * 2011-09-08 2014-11-19 信越半導体株式会社 エピタキシャル成長装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000323487A (ja) * 1999-05-14 2000-11-24 Tokyo Electron Ltd 枚葉式熱処理装置
JP2002134429A (ja) * 2000-10-12 2002-05-10 Applied Materials Inc 基板処理装置用のベアリングカバー、基板処理装置および熱処理方法
JP2005108967A (ja) * 2003-09-29 2005-04-21 Hitachi Kokusai Electric Inc 基板処理装置

Also Published As

Publication number Publication date
US9818587B2 (en) 2017-11-14
WO2012125469A2 (en) 2012-09-20
US20130270107A1 (en) 2013-10-17
CN103415910B (zh) 2016-12-14
KR101947102B1 (ko) 2019-02-13
CN103415910A (zh) 2013-11-27
US9863038B2 (en) 2018-01-09
US20130196514A1 (en) 2013-08-01
KR20140018915A (ko) 2014-02-13
TW201246330A (en) 2012-11-16
KR20180126609A (ko) 2018-11-27
US20120231633A1 (en) 2012-09-13
US8404048B2 (en) 2013-03-26
JP2014514734A (ja) 2014-06-19
TWI545635B (zh) 2016-08-11
JP6073256B2 (ja) 2017-02-01
WO2012125469A3 (en) 2012-12-27

Similar Documents

Publication Publication Date Title
KR101921199B1 (ko) 램프 조립체를 이용한 기판 하부의 오프­각도형 가열
EP0811709B1 (en) Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection
TWI692047B (zh) 用於epi製程之晶圓加熱的二極體雷射
US9905443B2 (en) Reflective deposition rings and substrate processing chambers incorporating same
US9376752B2 (en) Edge ring for a deposition chamber
US10903067B2 (en) Cooled reflective adapter plate for a deposition chamber
US10249522B2 (en) In-situ temperature measurement in a noisy environment
US20140319120A1 (en) Absorbing lamphead face
KR102117234B1 (ko) 반사성 증착 링들 및 그를 포함하는 기판 프로세싱 챔버들
JP5465828B2 (ja) 基板処理装置及び半導体デバイスの製造方法
KR20240045360A (ko) 에피 프로세스들을 위한 웨이퍼 가열을 위한 다이오드 레이저

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right