KR20240045360A - 에피 프로세스들을 위한 웨이퍼 가열을 위한 다이오드 레이저 - Google Patents

에피 프로세스들을 위한 웨이퍼 가열을 위한 다이오드 레이저 Download PDF

Info

Publication number
KR20240045360A
KR20240045360A KR1020247009937A KR20247009937A KR20240045360A KR 20240045360 A KR20240045360 A KR 20240045360A KR 1020247009937 A KR1020247009937 A KR 1020247009937A KR 20247009937 A KR20247009937 A KR 20247009937A KR 20240045360 A KR20240045360 A KR 20240045360A
Authority
KR
South Korea
Prior art keywords
process chamber
substrate
substrate support
disposed
heating source
Prior art date
Application number
KR1020247009937A
Other languages
English (en)
Inventor
슈베르트 에스. 추
더글라스 이. 홈그렌
카르티크 샤
팔라무랄리 가젠드라
니 오. 묘
프리트햄 라오
캐빈 조세프 바우티스타
즈위안 예
마틴 에이. 힐켄
에롤 안토니오 씨. 산체스
리차드 오. 콜린스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20240045360A publication Critical patent/KR20240045360A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)
  • Ceramic Engineering (AREA)

Abstract

본 개시내용의 실시예들은 일반적으로 반도체 처리를 위한 장치 및 방법에 관한 것이고, 더 구체적으로는 열 프로세스 챔버에 관한 것이다. 열 프로세스 챔버는 기판 지지체, 기판 지지체 위에 배치되는 제1의 복수의 가열 부재, 및 제1의 복수의 가열 부재 위에 배치되는 하나 이상의 고에너지 복사 소스 어셈블리를 포함할 수 있다. 하나 이상의 고에너지 복사 소스 어셈블리는 처리 동안 기판 지지체 상에 배치되는 기판 상의 차가운 영역들의 국소적 가열을 제공하기 위해 이용된다. 기판의 국소화된 가열은 온도 프로파일을 개선하고, 이는 결국 퇴적 균일성을 개선한다.

Description

에피 프로세스들을 위한 웨이퍼 가열을 위한 다이오드 레이저{DIODE LASER FOR WAFER HEATING FOR EPI PROCESSES}
본 개시내용의 실시예들은 일반적으로 반도체 처리를 위한 장치 및 방법에 관한 것이고, 더 구체적으로는 열 프로세스 챔버에 관한 것이다.
반도체 기판들은 집적 디바이스들 및 마이크로디바이스들의 제조를 포함한 매우 다양한 응용들을 위해 처리된다. 처리 동안, 기판은 프로세스 챔버 내에서 서셉터 상에 위치된다. 서셉터는 중심 축에 대하여 회전가능한 지지 샤프트에 의해 지지된다. 기판의 위와 아래에 배치되는 복수의 가열 램프와 같은 가열 소스에 대한 정밀한 제어는 기판이 매우 엄격한 허용오차 내에서 가열되는 것을 허용한다. 기판의 온도는 기판 상에 퇴적되는 재료의 균일성에 영향을 줄 수 있다.
기판을 가열하는 것의 정밀한 제어에도 불구하고, 기판의 특정 위치들에서 계곡들(valleys)(더 낮은 퇴적물)이 형성되는 것이 관찰되었다. 그러므로, 반도체 처리에서 개선된 열 프로세스 챔버가 필요하다.
본 개시내용의 실시예들은 일반적으로 반도체 처리를 위한 장치 및 방법에 관한 것이고, 더 구체적으로는 열 프로세스 챔버에 관한 것이다. 일 실시예에서, 프로세스 챔버는 제1 돔; 제2 돔; 제1 돔과 제2 돔 사이에 배치되는 기판 지지체; 제1 돔 위에 배치되는 제1의 복수의 가열 부재 - 제1 돔은 제1의 복수의 가열 부재와 기판 지지체 사이에 배치됨 - ; 및 제1의 복수의 가열 부재 위에 배치되는 고에너지 복사 소스 어셈블리를 포함하고, 고에너지 복사 소스 어셈블리는 적어도 100W의 총 출력 전력을 갖는 고에너지 복사 소스를 포함한다.
다른 실시예에서, 프로세스 챔버는 제1 돔; 제2 돔; 제1 돔과 제2 돔 사이에 배치되는 기판 지지체; 제1 돔 위에 배치되는 제1의 복수의 가열 부재 - 제1 돔은 제1의 복수의 가열 부재와 기판 지지체 사이에 배치됨 - ; 제1의 복수의 가열 부재 위에 배치되는 지지 부재 - 제1의 복수의 가열 부재는 제1 돔과 지지 부재 사이에 배치됨 - ; 및 지지 부재 상에 배치되는 제1의 고에너지 복사 소스 어셈블리를 포함하고, 고에너지 복사 소스 어셈블리는 고에너지 복사 소스; 및 고에너지 복사 소스 어셈블리를 지지 부재에 결합하기 위한 브래킷을 포함한다.
다른 실시예에서, 프로세스 챔버는 제1 돔; 제2 돔; 제1 돔과 제2 돔 사이에 배치되는 기판 지지체; 제1 돔 위에 배치되는 복수의 가열 부재 - 제1 돔은 복수의 가열 부재와 기판 지지체 사이에 배치됨 - ; 제1의 복수의 가열 부재 위에 배치되는 지지 부재 - 제1의 복수의 가열 부재는 제1 돔과 지지 부재 사이에 배치됨 - ; 및 지지 부재 상에 형성된 트랙 상에 이동가능하게 배치되는 고에너지 복사 소스 어셈블리 - 고에너지 복사 소스 어셈블리는 고에너지 복사 소스를 포함함 - 를 포함한다.
위에서 언급된 본 개시내용의 특징들이 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 개시내용의 더 구체적인 설명은 실시예들을 참조할 수 있으며, 그들 중 일부는 첨부 도면들에 도시되어 있다. 그러나, 본 개시내용은 동등한 효과의 다른 실시예들을 허용할 수 있으므로, 첨부 도면들은 본 개시내용의 전형적인 실시예들만을 도시하며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 일 실시예에 따른 프로세스 챔버의 개략적인 측단면도이다.
도 2는 다른 실시예에 따른 프로세스 챔버의 개략적인 측단면도이다.
도 3은 다른 실시예에 따른 프로세스 챔버의 개략적인 측단면도이다.
도 4는 본 명세서에 설명된 실시예들에 따른 고에너지 복사 소스 어셈블리의 개략적인 사시도이다.
도 5는 일 실시예에 따른 도 4의 고에너지 복사 소스 어셈블리의 개략적인 측단면도이다.
도 6은 일 실시예에 따른 고에너지 복사 소스 어셈블리의 개략적인 사시도이다.
도 7은 일 실시예에 따른 도 6의 고에너지 복사 소스 어셈블리의 확대된 개략적인 측단면도이다.
도 8은 일 실시예에 따른 도 1의 프로세스 챔버의 개략적인 상부도이다.
도 9는 다른 실시예에 따른 도 1의 프로세스 챔버의 개략적인 상부도이다.
도 10은 본 명세서에 설명된 실시예들에 따라 기판을 처리하기 위한 방법의 동작들을 도시한다.
도 11은 기판의 원점으로부터의 거리 대 기판의 비저항의 테스트 결과들을 제공하는 데이터 플롯을 도시한다.
이해를 용이하게 하기 위해서, 가능한 경우에, 도면들에 공통인 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 이용되었다. 일 실시예에 개시된 구성요소들은 구체적인 언급 없이도 다른 실시예들에서 유익하게 이용될 수 있다고 고려된다.
본 개시내용의 실시예들은 일반적으로 반도체 처리를 위한 장치 및 방법에 관한 것이고, 더 구체적으로는 열 프로세스 챔버에 관한 것이다. 열 프로세스 챔버는 기판 지지체, 기판 지지체 위에 배치된 제1의 복수의 가열 부재, 및 제1의 복수의 가열 부재 위에 배치된 하나 이상의 고에너지 복사 소스 어셈블리를 포함할 수 있다. 하나 이상의 고에너지 복사 소스 어셈블리는 처리 동안 기판 지지체 상에 배치된 기판 상의 차가운 영역들의 국소적 가열을 제공하기 위해 이용된다. 기판의 국소화된 가열은 온도 프로파일을 개선하고, 이는 결국 퇴적 균일성을 개선한다.
본 명세서에 설명되는 것과 같은 "기판" 또는 "기판 표면"은 일반적으로 그 위에서 처리가 수행되는 임의의 기판 표면을 지칭한다. 예를 들어, 기판 표면은 응용에 따라, 실리콘, 실리콘 산화물, 도핑된 실리콘, 실리콘 게르마늄, 게르마늄, 갈륨 비소, 유리, 사파이어, 및 금속, 금속 질화물, 금속 합금 및 다른 전도체 또는 반도체 재료와 같은 임의의 다른 재료들을 포함할 수 있다. 기판 또는 기판 표면은 또한 실리콘 이산화물, 실리콘 질화물, 유기 실리케이트들, 및 탄소 도핑된 실리콘 산화물 또는 질화물 재료들과 같은 유전체 재료들을 포함할 수 있다. 기판 자체는 임의의 특정한 크기 또는 형상에 한정되지 않는다. 본 명세서에 설명된 실시예들은 일반적으로 둥근 200mm 또는 300mm 기판을 참조하여 이루어지지만, 다각형, 정사각형, 직사각형, 만곡형, 또는 기타 비원형 워크피스들과 같은 다른 형상들이 본 명세서에 설명된 실시예들에 따라 이용될 수 있다.
도 1은 일 실시예에 따른 프로세스 챔버(100)의 개략적인 측단면도이다. 프로세스 챔버(100)는 에피택셜 프로세스와 같은 임의의 열 프로세스를 수행하기 위한 프로세스 챔버일 수 있다. 에피택셜 프로세스를 위한 프로세스 챔버가 도시되고 설명되지만, 본 개시내용의 개념은 가열 부재들이 프로세스 챔버의 최상부에 제공되는지, 저부에 제공되는지, 또는 둘 다에 제공되는지에 상관없이, 예를 들어 열 어닐링, 열 세정, 열 화학 기상 퇴적, 열 산화, 및 열 질화와 같은 프로세스들을 위해 기판을 가열하는 제어된 열 사이클(controlled thermal cycle)을 제공할 수 있는 다른 프로세스 챔버에도 적용가능함이 예상된다.
프로세스 챔버(100)는 기판(110)의 퇴적 표면(122) 상의 재료의 퇴적을 포함하여, 하나 이상의 기판을 처리하는 데 사용될 수 있다. 프로세스 챔버(100)는 제1 돔(112), 제2 돔(114), 및 제1 돔(112)과 제2 돔(114) 사이에 배치된 기판 지지체(102)를 포함할 수 있다. 기판 지지체(102)는 기판(110)을 지지하기 위한 서셉터(124), 및 서셉터(124)를 지지하기 위한 서셉터 지지체(126)를 포함할 수 있다. 제1 돔(112) 및 제2 돔(114)은 석영과 같은 광학적으로 투명한 재료(optically transparent material)로 구성될 수 있다. 기판(110)은 로딩 포트(128)를 통해 프로세스 챔버(100) 내로 이동되어 서셉터(124) 상에 위치될 수 있다. 서셉터(124)는 SiC 코팅된 흑연으로 이루어질 수 있다. 서셉터 지지체(126)는 모터(도시되지 않음)에 의해 회전될 수 있으며, 이는 결국 서셉터(124) 및 기판(110)을 회전시킨다.
프로세스 챔버(100)는 기판(110)의 아래로부터 기판(110)을 가열하기 위해 제2 돔(114) 아래에 배치된 복사 가열 램프들과 같은 제1의 복수의 가열 부재(106)를 더 포함할 수 있다. 프로세스 챔버(100)는 기판(110)의 위로부터 기판(110)을 가열하기 위해 제1 돔(112) 위에 배치된 복사 가열 램프들과 같은 제2의 복수의 가열 부재(104)를 또한 포함할 수 있다. 일 실시예에서, 제1 및 제2의 복수의 가열 부재(104, 106)는 각각 제1 돔(112) 및 제2 돔(114)을 관통하여 기판에 적외선 복사 열(infrared radiant heat)을 제공한다. 제1 및 제2 돔(112, 114)은 적외선 복사에 투명할 수 있는데, 이는 적외선 복사의 적어도 95%를 투과시키는 것으로서 정의된다.
일 실시예에서, 프로세스 챔버(100)는 또한 프로세스 챔버(100) 내에서 그리고 기판(110)의 표면(122) 상에서 온도를 측정하는 하나 이상의 온도 센서(130), 예컨대 광학 고온계들을 포함할 수 있다. 하나 이상의 온도 센서(130)는 리드(116) 상에 배치된 지지 부재(132) 상에 배치될 수 있다. 기판(110) 및 제1 돔(112)으로부터 복사되는 적외선 광을 다시 기판(110) 쪽으로 반사시키기 위해서 제1 돔(112) 외부에 반사기(118)가 배치될 수 있다. 하나 이상의 고에너지 복사 소스 어셈블리(108), 예컨대 포커싱된 고에너지 복사 소스 어셈블리들, 예를 들어 레이저 소스 어셈블리들이 지지 부재(132) 상에 배치될 수 있다. 하나 이상의 고에너지 복사 소스 어셈블리(108)는 하나 이상의 고에너지 복사 빔(134), 예컨대 포커싱된 고에너지 복사 빔들, 예를 들어 레이저 빔들을 생성할 수 있고, 이는 기판(110)의 국소화된 가열을 수행하기 위해 기판(110)의 표면(122) 상에 빔 스폿을 형성한다. 하나 이상의 고에너지 복사 빔(134)은 반사기(118)의 환형 부분(136) 내에 형성된 개구(120)를 통과할 수 있고, 제1 돔(112)은 고에너지 복사 빔들에 투명할 수 있다[고에너지 복사 빔(134)의 복사의 적어도 95%를 투과]. 일 실시예에서, 하나 이상의 빔(134) 중의 하나의 고에너지 복사 빔(134)이 렌즈(도 3)로부터 기판(110)의 표면(122)까지 이동하는 거리 D1은 약 400mm이고, 하나 이상의 빔(134) 중의 하나의 고에너지 복사 빔(134)이 제1 돔(112)으로부터 기판(110)의 표면(122)까지 이동하는 거리 D2는 약 76mm이다.
에피택셜 퇴적과 같은 동작 동안, 기판(110)은 약 섭씨 750도 미만과 같은 미리 결정된 온도로 가열될 수 있다. 기판(100)을 가열하는 것의 정밀한 제어에도 불구하고, 기판(110) 상의 하나 이상의 영역은 기판(110)의 나머지에 비해 약 섭씨 2-5도 더 낮은 온도 불균일을 경험할 수 있다. 온도 불균일은 하나 이상의 영역 내에서 기판 상에 퇴적된 필름에 대해 필름 두께 불균일, 예컨대 1 퍼센트 이상의 두께 불균일성을 야기한다. 결국에는 필름 두께 불균일을 개선하게 되는 온도 균일성을 개선하기 위해, 하나 이상의 고에너지 복사 소스 어셈블리(108)는 기판(110) 상의 하나 이상의 영역을 국소적으로 가열하기 위해 이용된다. 기판(110)이 동작 동안 회전하고 있으므로, 하나 이상의 고에너지 복사 소스 어셈블리(108)에 의한 국소화된 가열은 기판(110)의 특정 반경에 있는 환형 영역에 걸쳐 있을 수 있다. 일 실시예에서, 환형 영역은 약 50mm 반경에 있다. 일부 실시예들에서, 하나 이상의 고에너지 복사 소스 어셈블리(108)는 지지 부재(132) 상에서 반경 방향을 따라 배치된 트랙(도 8) 상에 이동가능하게 배치되는 것과 같이, 지지 부재(132) 상에 이동가능하게 배치될 수 있고, 하나 이상의 고에너지 복사 소스 어셈블리(108)는 동작 동안 기판(110) 상의 임의의 차가운 영역들을 국소적으로 가열하기 위해 트랙을 따라 이동가능할 수 있다. 일부 실시예들에서, 기판(110) 상의 복수의 차가운 영역을 동시에 가열하기 위해, 복수의 고에너지 복사 소스 어셈블리(108)(도 8 및 도 9)가 이용된다.
도 2 및 도 3은 다른 실시예에 따른 프로세스 챔버(200)의 개략적인 단면도를 도시한다. 프로세스 챔버(200)는 에피택셜 퇴적 챔버, 급속 열 프로세스 챔버, 또는 다른 열 트리트먼트 챔버로서 이용될 수 있다. 프로세스 챔버(200)는 기판(202)의 상부 표면 상의 재료의 퇴적, 기판(202)의 가열, 기판(202)의 에칭, 또는 그들의 조합을 포함하여, 하나 이상의 기판을 처리하기 위해 이용될 수 있다. 프로세스 챔버(200)는 챔버 벽(103), 및 다른 컴포넌트들 중에서도 특히, 프로세스 챔버(200) 내에 배치된 서셉터(206)를 가열하기 위한 복사 가열 램프들(204)의 어레이를 일반적으로 포함한다. 도 2 및 도 3에 도시된 바와 같이, 복사 가열 램프들(204)의 어레이는 서셉터(206) 아래에 배치될 수 있다. 도 3에 도시된 바와 같이, 복사 가열 램프들(204)의 어레이는 서셉터(206)의 아래 및/또는 위에 배치될 수 있다. 복사 가열 램프들(204)은 약 10KW 내지 약 60KW의 총 램프 전력을 제공할 수 있다. 복사 가열 램프들(204)은 기판(202)을 약 섭씨 500도 내지 약 섭씨 900도의 온도로 가열할 수 있다. 서셉터(206)는 도시된 것과 같은 디스크형 기판 지지체일 수 있거나, 기판의 에지로부터 기판을 지지하는 링형 기판 지지체(도시되지 않음)를 포함할 수 있고, 이러한 링형 기판 지지체는 기판(202)의 후면을 복사 가열 램프들(204)로부터의 열에 노출시킨다. 서셉터(206)는 램프들(204)로부터의 복사 에너지를 흡수하고 그 복사 에너지를 기판(202)에 전도함으로써 기판(202)을 가열하기 위해, 실리콘 카바이드, 또는 실리콘 카바이드로 코팅된 흑연으로 형성될 수 있다.
서셉터(206)는 프로세스 챔버(200) 내에서, 돔일 수 있는 제1 투과 부재(208)와 돔일 수 있는 제2 투과 부재(210) 사이에 위치된다. 제1 투과 부재(208) 및 제2 투과 부재(210)는, 제1 투과 부재(208)와 제2 투과 부재(210) 사이에 배치된 베이스 링(212)과 함께, 프로세스 챔버(200)의 내부 영역(211)을 대체로 정의한다. 제1 투과 부재(208) 및/또는 제2 투과 부재(210) 각각은 볼록 및/또는 오목할 수 있다. 일부 실시예들에서, 제1 투과 부재(208) 및/또는 제2 투과 부재(210) 각각은 투명할 수 있다. 제1 투과 부재(208)는 챔버 벽(103)과 서셉터(206) 사이에 배치될 수 있다. 일부 실시예들에서, 복사 가열 램프들(204)의 어레이는 프로세스 챔버(200)의 내부 영역(211) 외부에, 및/또는 제1 투과 부재(208)의 위에, 예를 들어 제1 투과 부재(208)와 (나중에 논의되는) 반사기(254) 사이에 정의된 영역(149)에 배치될 수 있다. 기판(202)은 베이스 링(212) 내에 형성된 로딩 포트(도시되지 않음)를 통해 프로세스 챔버(200) 내로 이송되어 서셉터(206) 상에 위치될 수 있다. 프로세스 가스 유입구(214) 및 가스 유출구(216)가 베이스 링(212) 내에 제공될 수 있다.
서셉터(206)는 모션 어셈블리(220)에 결합되는 샤프트 또는 스템(218)을 포함한다. 모션 어셈블리(220)는 내부 영역(211) 내에서 스템(218) 및/또는 서셉터(206)의 이동 및/또는 조절을 제공하는 하나 이상의 액추에이터 및/또는 조절 디바이스를 포함한다. 예를 들어, 모션 어셈블리(220)는 서셉터(206)를 프로세스 챔버(200)의 길이 축 A에 대해 회전시키는 로터리 액추에이터(222)를 포함할 수 있다. 길이 축 A는 프로세스 챔버(200)의 X-Y 평면의 중심을 포함할 수 있다. 모션 어셈블리(220)는 서셉터(206)를 Z 방향으로 상승 및 하강시키기 위해 수직 액추에이터(224)를 포함할 수 있다. 모션 어셈블리(220)는 내부 영역(211) 내에서 서셉터(206)의 평면 배향(planar orientation)을 조절하기 위해 이용되는 기울기 조절 디바이스(226)를 포함할 수 있다. 모션 어셈블리(220)는 또한 내부 영역(211) 내에서 스템(218) 및/또는 서셉터(206)의 좌우로의(side to side) 위치지정(positioning)을 조절하기 위해 이용되는 측방향 조절 디바이스(lateral adjustment device)(228)를 포함할 수 있다. 측방향 조절 디바이스(228) 및 기울기 조절 디바이스(226)를 포함하는 실시예들에서, 측방향 조절 디바이스(228)는 X 및/또는 Y 방향에서의 스템(218) 및/또는 서셉터(206)의 위치지정을 조절하기 위해 이용되는 한편, 기울기 조절 디바이스(226)는 스템(218) 및/또는 서셉터(206)의 각도 배향(α)을 조절한다. 일 실시예에서, 모션 어셈블리(220)는 피벗 메커니즘(230)을 포함한다. 제2 투과 부재(210)가 베이스 링(212)에 의해 프로세스 챔버(200)에 부착될 때, 제2 투과 부재(210)에 대한 응력을 감소시키기 위해, 모션 어셈블리(220)가 스템(218) 및/또는 서셉터(206)를 적어도 각도 배향(α)에서 이동시키는 것을 허용하기 위해, 피벗 메커니즘(230)이 이용된다.
서셉터(206)는 상승된 처리 위치에 도시되어 있지만, 위에서 설명된 것과 같은 모션 어셈블리(220)에 의해 수직으로 상승 또는 하강될 수 있다. 서셉터(206)는 리프트 핀들(232)이 제2 투과 부재(210)에 접촉하는 것을 허용하기 위해 이송 위치(처리 위치 아래에 있음)로 하강될 수 있다. 서셉터(206)가 하강될 때, 리프트 핀들(232)은 서셉터(206) 내의 홀들(207)을 통해 연장될 수 있고, 리프트 핀들(232)은 기판(202)을 서셉터(206)로부터 상승시킨다. 다음에, 로봇(도시되지 않음)이 로딩 포트를 통하여 프로세스 챔버(200)에 들어가서 기판에 맞물리고, 프로세스 챔버로부터 기판을 제거할 수 있다. 새로운 기판(202)이 로봇에 의해 리프트 핀들(232) 상에 로딩될 수 있고, 다음으로 서셉터(206)는 기판의 디바이스 측(250)을 위로 향하게 한 채로 기판(202)을 배치하기 위해 처리 위치까지 상향으로 작동될 수 있다. 리프트 핀들(232)은 리프트 핀들(232)이 처리 위치에 있는 서셉터(206)에 의해 개구들 내에 매달리는 것을 허용하는 확장된 헤드를 포함한다. 일 실시예에서, 리프트 핀들(232)이 접촉하기 위한 평평한 표면을 제공하기 위해, 제2 투과 부재(210)에 결합된 스탠드오프들(234)이 이용된다. 스탠드오프들은 프로세스 챔버(200)의 X-Y 평면에 평행한 하나 이상의 표면을 제공하고, 리프트 핀들의 단부가 제2 투과 부재(210)의 만곡된 표면에 접촉하도록 허용되는 경우 발생할 수 있는 리프트 핀들(232)의 굴곡을 방지하기 위해 이용될 수 있다. 스탠드오프들(234)은 램프들(204)로부터의 에너지가 통과하는 것을 허용하기 위해 광학적으로 투명한 재료, 예컨대 석영으로 이루어질 수 있다.
서셉터(206)는 처리 위치에 위치되어 있는 동안, 프로세스 챔버(200)의 내부 용적을 서셉터(206) 위의 프로세스 가스 영역(236) 및 서셉터(206) 아래의 퍼지 가스 영역(238)으로 분할한다. 프로세스 챔버(200) 내에서의 열 및 프로세스 가스 유동의 공간적 비정상(thermal and process gas flow spatial anomalies)의 효과를 최소화하고, 그에 의해, 기판(202)의 균일한 처리를 용이하게 하기 위해, 서셉터(206)는 처리 동안 로터리 액추에이터(222)에 의해 회전된다. 서셉터(206)는 약 5 RPM 내지 약 100 RPM, 예를 들어 약 10 RPM 내지 약 50 RPM으로 회전할 수 있다. 서셉터(206)는 스템(218)에 의해 지지되고, 스템은 서셉터(206)의 대략 중심에 위치되며, 기판 이송 동안, 그리고 일부 경우들에서는 기판(202)의 처리 동안, 수직 방향(Z 방향)으로의 기판(202) 및 서셉터(206)의 이동을 용이하게 한다.
일반적으로, 제1 투과 부재(208)의 중심 부분 및 제2 투과 부재(210)의 저부는 석영과 같이 광학적으로 투명한 재료로 형성된다. 제1 투과 부재(208)의 두께 및 곡도(degree of curvature)는 프로세스 챔버 내에서의 균일한 유동을 위해 더 평평한 기하형상을 제공하도록 선택될 수 있다.
복사 가열 램프들(204)의 어레이와 같은 하나 이상의 램프는 스템(218) 주위에서 특정된 방식으로 제2 투과 부재(210) 아래에, 그리고 제2 투과 부재에 인접하여 배치될 수 있다. 복사 가열 램프들(204)은 프로세스 가스가 지나갈 때 기판(202)의 다양한 영역들의 온도를 제어하고, 그에 의해 기판(202)의 상부 표면에의 재료의 퇴적을 용이하게 하기 위해, 구역들 내에서 독립적으로 제어될 수 있다. 여기에서 상세하게 논의되지는 않지만, 퇴적되는 재료는 실리콘, 도핑된 실리콘, 게르마늄, 도핑된 게르마늄, 실리콘 게르마늄, 도핑된 실리콘 게르마늄, 갈륨 비화물, 갈륨 질화물 또는 알루미늄 갈륨 질화물을 포함할 수 있다.
복사 가열 램프들(204)은 본 명세서에서 램프 전구(241)로서 도시된 복사 열원을 포함할 수 있고, 약 섭씨 200도 내지 약 섭씨 1600도의 범위 내의 온도로 기판(202)을 가열하도록 구성될 수 있다. 각각의 램프 전구(241)는 인쇄 회로 보드(PCB)(252)와 같은 전력 분배 보드(power distribution board)에 결합될 수 있고, 전력 분배 보드를 통해 각각의 램프 전구(241)에 전력이 공급된다. 램프들의 배열을 변경하기 위해, 요구된다면, 램프 전구(241)를 전력 분배 보드에 결합하기 위해 스탠드오프가 이용될 수 있다. 일 실시예에서, 복사 가열 램프들(204)은, 예를 들어 복사 가열 램프들(204) 사이에 위치된 채널들(249)로 도입되는 냉각 유체에 의해 처리 동안 또는 처리 후에 냉각될 수 있는 램프헤드(245) 내에 위치된다.
원형 쉴드(246)는 서셉터(206) 주위에 임의적으로(optionally) 배치될 수 있고 챔버 바디(248)의 측벽에 결합될 수 있다. 쉴드(246)는 프로세스 가스들을 위한 예비 가열 구역을 제공하는 것에 더하여, 램프들(204)로부터의 열/광 잡음이 기판(202)의 디바이스 측(250)에 누설되는 것을 방지하거나 최소화한다. 쉴드(246)는 CVD SiC, SiC로 코팅된 소결 흑연(sintered graphite coated with SiC), 성장된 SiC, 불투명한 석영, 코팅된 석영, 또는 프로세스 및 퍼지 가스들에 의한 화학적 파손에 저항성이 있는 임의의 유사한 적합한 재료로 이루어질 수 있다. 일부 실시예들에서, 쉴드(246)는 베이스 링(212) 상에 배치된 라이너(263)에 결합된다.
서셉터(206)의 저부의 온도들을 측정하도록 구성된 센서들에 의해 기판 온도가 측정된다. 센서들은 램프헤드(245) 내에 형성된 포트들 내에 배치된 고온계들(도시되지 않음)일 수 있다. 추가로 또는 대안적으로, 고온계와 같은 하나 이상의 센서(253)는 기판(202)의 디바이스 측(250)의 온도를 측정하도록 지향될 수 있다. 기판(202)으로부터 복사되는 적외선 광을 반사시키고 그 에너지를 기판(202) 상에 재지향시키기 위해, 제1 투과 부재(208)의 외부에 반사기(254)가 임의적으로 배치될 수 있다. 반사기(254)는 클램프 링(256)을 이용하여 제1 투과 부재(208)에 고정될 수 있다. 반사기(254)는 챔버 벽(103)에 인접하여 배치될 수 있다. 일부 실시예들에서, 반사기는 챔버 벽(103)에 결합될 수 있다. 반사기(254)는 알루미늄 또는 스테인레스 스틸과 같은 금속으로 이루어질 수 있다. 센서들(253)은 기판(202)의 디바이스 측(250)으로부터의 복사를 수용하기 위해 반사기(254)를 통해 배치될 수 있다.
프로세스 가스 공급 소스(251)로부터 공급된 프로세스 가스는 베이스 링(212)의 측벽에 형성된 프로세스 가스 유입구(214)를 통하여 프로세스 가스 영역(236)에 도입된다. 프로세스 가스 유입구(214)는 프로세스 가스를 대체로 방사상 내측 방향으로 지향시키도록 구성된다. 이와 같이, 일부 실시예들에서, 프로세스 가스 유입구(214)는 교차 유동 가스 주입기(cross-flow gas injector)일 수 있다. 교차 유동 가스 주입기는 프로세스 가스를 서셉터(206)의 표면 및/또는 기판(202)을 가로질러 지향시키도록 위치된다. 필름 형성 프로세스 동안, 서셉터(206)는, 프로세스 가스 유입구(214)에 인접하며 프로세스 가스 유입구와 대략 동일한 높이에 있는 처리 위치에 위치되어, 프로세스 가스가 대체로 서셉터(206) 및/또는 기판(202)의 상부 표면을 가로질러 유동 경로(273)를 따라 유동하도록 허용한다. 프로세스 가스는 프로세스 챔버(200)에서 프로세스 가스 유입구(214)의 반대측에 위치된 가스 유출구(216)를 통하여 [유동 경로(275)를 따라] 프로세스 가스 영역(236)에서 빠져나간다. 가스 유출구(216)를 통한 프로세스 가스의 제거는 가스 유출구에 결합된 진공 펌프(257)에 의해 용이하게 될 수 있다.
퍼지 가스 소스(262)로부터 공급된 퍼지 가스는 베이스 링(212)의 측벽에 형성된 퍼지 가스 유입구(264)를 통하여 퍼지 가스 영역(238)에 도입된다. 퍼지 가스 유입구(264)는 프로세스 가스 유입구(214)보다 낮은 높이에 배치된다. 원형 쉴드(246)가 사용되는 경우, 원형 쉴드(246)는 프로세스 가스 유입구(214)와 퍼지 가스 유입구(264) 사이에 배치될 수 있다. 어느 경우에도, 퍼지 가스 유입구(264)는 퍼지 가스를 대체로 방사상 내측 방향으로 지향시키도록 구성된다. 퍼지 가스 유입구(264)는 퍼지 가스를 상측 방향으로 지향시키도록 구성될 수 있다. 필름 형성 프로세스 동안, 서셉터(206)는, 퍼지 가스가 서셉터(206)의 후면을 가로질러 대체로 유동 경로(265)를 따라 유동하도록 하는 위치에 위치된다. 퍼지 가스는 [유동 경로(266)를 따라] 퍼지 가스 영역(238)에서 빠져나가고, 프로세스 챔버(200)에서 퍼지 가스 유입구(264)의 반대측에 위치된 가스 유출구(216)를 통하여 프로세스 챔버의 밖으로 배기된다.
프로세스 챔버(200)는 고에너지 복사 소스 어셈블리(270), 예컨대 포커싱된 고에너지 복사 소스 어셈블리, 예를 들어 레이저 시스템 어셈블리를 더 포함한다. 고에너지 복사 소스 어셈블리(270)는 프로세스 챔버(200)에 결합될 수 있다. 일부 실시예들에서, 프로세스 챔버(200)는 복수의 고에너지 복사 소스 어셈블리(270), 예를 들어 프로세스 챔버(200)에 결합된 2 이상의 어셈블리(270)를 포함할 수 있다.
도 4는 도 2 및 도 3의 고에너지 복사 소스 어셈블리(270)의 사시도를 개략적으로 도시한다. 고에너지 복사 소스 어셈블리(270)는 소스 헤드(272), 소스(274), 및 파이버 케이블(276)을 포함한다. 소스(274)는 파이버 케이블(276)을 통해 소스 헤드(272)에 동작가능하게 연결될 수 있다. 일부 실시예들에서, 고에너지 복사 소스 어셈블리(270)는 다이오드 레이저 시스템 어셈블리와 같은 레이저 시스템 어셈블리일 수 있다. 파이버 케이블(276)은 적어도 하나의 다이오드를 포함할 수 있다. 일부 실시예들에서, 파이버 케이블(276)은 복수의 다이오드를 포함할 수 있다. 더욱이, 일부 실시예들에서, 고에너지 복사 소스 어셈블리(270)는 소스(274)에 동작가능하게 연결된 복수의 소스 헤드(272)를 포함할 수 있다. 복수의 소스 헤드(272)는 하나 이상의 파이버 케이블(276)을 통해 소스(274)에 동작가능하게 연결될 수 있다. 일부 실시예들에서, 소스 헤드(272)는 도 2에 도시된 바와 같이 상부 램프 모듈에 인접하여, 및/또는 리프트 핀들(232)에 인접하여 처리 챔버(200)와 결합될 수 있다. 소스 헤드(272)는 고에너지 복사 빔, 예컨대 레이저 빔의 위치가 제어되도록, 파이버 케이블(276)의 단부를 고정된 위치에 고정할 수 있다.
고에너지 복사 소스 어셈블리(270)는 마운팅 브래킷(278)을 더 포함할 수 있다. 마운팅 브래킷(278)은 처리 챔버(200)에 결합될 수 있다. 소스 헤드(272)는 마운팅 브래킷(278)에 결합될 수 있다. 고에너지 복사 소스 어셈블리(270)는 커버 플레이트(280), 반사기(254), 및 반사기 부재(284)를 더 포함할 수 있다.
반사기(254)는 챔버 벽(103) 및/또는 마운팅 브래킷(278)에 결합될 수 있다. 일부 실시예들에서, 반사기(254)는 원형 디스크일 수 있지만; 반사기(254)는 임의의 적절한 형상일 수 있음이 예상된다. 반사기 부재(284)는 원형일 수 있다. 반사기 부재(284)는 외측 벽(294), 제1 에지(295), 및 제2 에지(296)를 가질 수 있다. 외측 벽(294), 제1 에지(295), 및/또는 제2 에지(296)는 원 형상이거나, 링과 유사하거나, 다른 적절한 형상일 수 있다. 제1 에지(295)는 반사기 부재(284)의 제1 단부(297)에 배치될 수 있고, 제2 에지(296)는 반사기 부재(284)의 제2 단부(298)에 배치될 수 있고, 여기서 제1 에지는 제2 에지(296)에 반대된다. 제1 에지(295) 및 제2 에지(296)는 외측 벽(294)에 실질적으로 수직할 수 있다. 제2 에지(296)는 광이 반사기 부재(284)를 관통하는 것을 허용하기 위한 제2 에지를 관통하는 적어도 하나의 홀(199)을 포함할 수 있다. 소스 헤드(272)는 소스 헤드(272)로부터의 광이 홀(199)을 관통하여 기판(202) 및/또는 서셉터(206)를 향해 진입하도록 위치될 수 있다. 반사기 부재(284)는 반사기(254)에 결합될 수 있다. 일부 실시예들에서, 반사기 부재(284)는 반사기 부재의 제1 에지(295)에 결합될 수 있다. 일부 실시예들에서, 반사기 부재(284)는 스크류 연결, 볼트 연결, 및/또는 임의의 다른 적절한 연결 수단을 통해 반사기 부재(284)에 결합될 수 있다.
커버 플레이트(280)는 원형 커버 플레이트(280) 또는 디스크 형상 커버 플레이트(280)일 수 있지만; 커버 플레이트(280)는 임의의 적절한 형상일 수 있음이 예상된다. 커버 플레이트(280)는 반사기(254)의 직경보다 작은 직경을 가질 수 있다. 커버 플레이트(280)는 마운팅 브래킷(278)과 반사기(254) 사이에 배치될 수 있다. 커버 플레이트(280)는 반사기(254)에 결합될 수 있다. 일부 실시예들에서, 커버 플레이트(280)는 볼트 연결, 스크류 연결, 및/또는 임의의 다른 적절한 연결 수단으로 반사기(254)에 결합될 수 있다.
고에너지 복사 소스 어셈블리(270)는 서셉터(206)의 중심 영역 C로부터 약 90mm 내지 약 130mm, 예를 들어 약 100mm 내지 약 120mm에 있는 서셉터(206)의 영역에서 고에너지 복사 빔을 지향시키도록 위치된다.
도 5는 도 4의 고에너지 복사 소스 어셈블리(270)의 확대도를 개략적으로 도시한다. 도시된 바와 같이, 마운팅 브래킷(278)은 커버 플레이트(280)에 결합될 수 있다. 일부 실시예들에서, 마운팅 브래킷(278)은 볼트 메커니즘을 통해 커버 플레이트(280)에 결합될 수 있다. 도 5에 도시된 바와 같이, 마운팅 브래킷(278)은 볼트를 포함할 수 있는 파스닝 메커니즘(504)을 통해 마운팅 브래킷(278)의 저부측으로부터 커버 플레이트(280)에 결합된다. 일부 실시예들에서, 마운팅 브래킷(278)은 "C" 형상 마운팅 브래킷(278) 또는 "L" 형상 마운팅 브래킷(278)일 수 있지만, 마운팅 브래킷(278)은 임의의 적절한 형상일 수 있음이 예상된다. 마운팅 브래킷(278)은 커버 플레이트(280)의 표면에 평행하게 연장되는 제1 암(286), 및/또는 커버 플레이트(280)의 표면에 수직하게 연장되는 제2 암(288)을 포함할 수 있다. 소스 헤드(272)는 마운팅 브래킷(278)에 결합될 수 있다. 일부 실시예들에서, 소스 헤드(272)는 소스 헤드(272)가 커버 플레이트(280)에 대해 상승되도록, 마운팅 브래킷(278)의 제1 암(286)에 결합될 수 있다.
마운팅 브래킷(278)의 제1 암(286) 및 마운팅 브래킷의 제2 암(288)이 수직하지 않도록, 특정 실시예들에서 소스 헤드(272)에 결합되는 마운팅 브래킷(278)의 제1 암(286)은 기울어질 수 있다. 마운팅 브래킷(278)의 제1 암(286)은 커버 플레이트(280)의 표면(282)에 대해 약 -4도 내지 약 4도의 각도로 기울어질 수 있다. 예를 들어, 일부 실시예들에서, 소스 헤드(272)는 커버 플레이트(280)의 표면(282) 및/또는 서셉터(206)의 표면에 대해 2도 기울어질 수 있다. 커버 플레이트(280)의 표면(282)은 서셉터(206)의 수평 축 D에 평행할 수 있다. 일부 실시예들에서, 마운팅 브래킷(278)의 제1 암(286)은 제1 중심 축 A를 갖고, 마운팅 브래킷(278)의 제2 암(288)은 제2 중심 축 B를 갖는다. 제1 중심 축 A는 제2 중심 축 B에 직교하지 않는다. 더욱이, 일부 실시예들에서, 서셉터(206)는 수평 축 D를 갖는다. 마운팅 브래킷(278)의 제1 암(286)의 제1 중심 축 A는 서셉터(206)의 수평 축 D에 평행하지 않다.
일부 실시예들에서, 마운팅 브래킷(278)은 제3 암(189)을 포함할 수 있다. 마운팅 브래킷(278)은 제3 암(189)은 중심 축 E를 가질 수 있다. 제3 암(189)의 중심 축 E는 커버 플레이트(280)의 표면(282)에 평행할 수 있다. 제3 암(189)은 커버 플레이트(280)에 결합될 수 있다. 일부 실시예들에서, 제3 암(189)은 볼트 메커니즘 및/또는 임의의 다른 적합한 연결 메커니즘에 의해 커버 플레이트(280)에 결합될 수 있다. 마운팅 브래킷(278)의 제2 암(288)의 중심 축 B가 제3 암(189)의 중심 축 E에 수직하지 않도록, 제2 암(288)은 기울어질 수 있다. 일부 실시예들에서, 제2 암(288)의 중심 축 B는 제3 암(189)의 중심 축 E에 수직한 축으로부터 약 -4도 내지 약 4도 기울어질 수 있다. 제1 암(286)의 중심 축 A는 제2 암의 중심 축 B에 수직할 수 있다.
마운팅 브래킷(278)의 제1 암(286) 및 소스 헤드(272)의 기울기는 레이저 헤드(272)에 대한 광의 백 샷(back shot)을 감소시키고/거나 방지할 수 있다. 더욱이, 소스 헤드(272)의 기울기는 서셉터(206)의 중심 영역 C로부터 약 90mm 내지 약 130mm, 예를 들어 약 100mm 내지 약 120mm에 있는 서셉터(206)의 영역에 광을 지향시키도록 고에너지 복사 소스 어셈블리(270)를 위치시킬 수 있다. 고에너지 복사 소스 어셈블리(270)를 위치시키고, 서셉터(206)의 중심 영역 C로부터 약 90mm 내지 약 130mm의 서셉터(206)의 영역에 광을 지향시키면, 적어도 하나의 리프트 핀(232)과 결합된 기판(202) 및/또는 서셉터(206)의 영역 상에 광을 지향시킬 수 있다.
고에너지 복사 소스 어셈블리(270)는 렌즈 홀더(290)를 더 포함할 수 있다. 렌즈 홀더(290)는 마운팅 브래킷(278)에 결합될 수 있다. 일부 실시예들에서, 렌즈 홀더(290)는 마운팅 브래킷(278)의 제2 암(288)에 결합될 수 있다. 렌즈 홀더(290)는 볼트 연결, 스크류 연결, 및/또는 임의의 다른 적절한 연결 메커니즘으로 마운팅 브래킷(278)에 결합될 수 있다. 예를 들어, 도 5에 도시된 바와 같이, 렌즈 홀더(290)는 스크류(502)로 마운팅 브래킷(278)에 결합된다. 렌즈 홀더(290)가 소스 헤드(272)와 커버 플레이트(280) 사이에 배치되도록, 렌즈 홀더(290)는 마운팅 브래킷(278)으로부터 외측으로 연장될 수 있다. 렌즈 홀더(290)는 소스 헤드(272)의 기울기 각도와 동일한 각도로, 또는 그와 실질적으로 유사한 각도로 기울어질 수 있다. 그와 같이, 렌즈 홀더(290)의 중심 축 F는 소스 헤드(272)의 중심 축 G에 실질적으로 평행할 수 있다.
렌즈 홀더(290)는 렌즈(292)를 포함할 수 있다. 렌즈(292)는 텔레센트릭 렌즈일 수 있다. 텔레센트릭 렌즈(292)는 레이저 헤드(272)로부터의 광을 빔으로 디포커싱할 수 있다. 일부 실시예들에서, 텔레센트릭 렌즈(292)는 광을 시준할 수 있다. 일부 실시예들에서, 광이 텔레센트릭 렌즈(292)에 입사할 때 초점을 갖는 경우, 광은 초점 없이, 또는 초점을 무한대로 하여 텔레센트릭 렌즈(292)를 떠날 수 있다. 특정 실시예들에서, 렌즈(292)는 소스 헤드(272)로부터의 광을 약 2mm 내지 약 10mm, 예를 들어 약 4mm 내지 약 8mm의 직경을 갖는 빔으로 포커싱할 수 있다. 일부 실시예들에서, 렌즈 홀더(290)는 하나 이상의 광학 요소를 포함할 수 있다.
소스(274)는 멀리 떨어져 있거나 현장에 있을 수 있다. 소스는 에너지, 예를 들어 광을 생성할 수 있다. 일부 실시예들에서, 소스(274)는 다이오드 레이저 소스일 수 있다. 다이오드들은 전기적으로 펌핑될 수 있다. 인가되는 전류에 의해 생성되는 전자들 및 홀들의 재결합은 광학 이득을 도입할 수 있다. 결정 단부들로부터의 반사는 광학 공진기를 형성할 수 있지만, 공진기는 외부에 있을 수 있다. 일부 실시예들에서, 소스(274)는 파이버 레이저 소스일 수 있다. 파이버 레이저 소스는 고체 상태 레이저 또는 레이저 증폭기일 수 있고, 여기서 광은 싱글 모드 광 섬유 내에서의 전반사로 인해 도광된다. 광의 도광은 긴 이득 영역들(long gain regions)을 허용할 수 있다. 더욱이, 파이버 레이저의 도파 속성들(wave guiding properties)은 광 빔의 열 왜곡을 감소시킨다. 에르븀 및/또는 이테르븀 이온들은 파이버 레이저 내의 활성 종들(active species)일 수 있다. 소스(274)는 약 20와트 내지 약 200와트의 레이저 전력을 제공할 수 있다.
일부 실시예들에서, 소스(274)는 레이저 광의 소스일 수 있다. 그와 같이, 일부 실시예들에서, 파이버 케이블(276)은 레이저 광을 프로세스 챔버(200) 내로 투과시키기 위한 광 파이프일 수 있다. 추가로, 일부 실시예들에서, 파이버 케이블(276)은 싱글 패스 증폭기(single pass amplifier)일 수 있다.
일부 실시예들에서, 소스(274)는 시드 광자들(seed photons)의 소스일 수 있다. 그와 같이, 특정 실시예들에서, 파이버 케이블(276)은 적어도 하나의 다이오드를 포함하는 레이저 발생 매질(lasing medium)이다. 일부 실시예들에서, 다이오드는 파이버 케이블(276) 내부에 있을 수 있다. 파이버 케이블(276)은 발진기(oscillator)일 수 있다. 그와 같이, 소스(274)는 파이버 케이블(276) 내에서, 또는 다른 그러한 레이저 발생 매질들 내에서 광자들의 유도 방출을 시작하도록 구성된 시드 광자들의 소스이다. 소스(274) 및 파이버 케이블(276)은 함께 레이저를 구성할 수 있다.
위에서 설명된 바와 같이, 프로세스 챔버(200) 내에서의 새로운 기판(202)의 처리 동안, 기판(202)은 로봇에 의해 리프트 핀들(232) 상에 로딩될 수 있고, 다음으로 서셉터(206)는 기판의 디바이스 측(250)을 위로 향하게 한 채로 기판(202)을 배치하기 위해 처리 위치까지 상향으로 작동될 수 있다. 리프트 핀들(232)은 리프트 핀들(232)이 처리 위치에 있는 서셉터(206)에 의해 개구들 내에 매달리는 것을 허용하는 확장된 헤드를 포함한다. 고에너지 복사 소스 어셈블리(270)는 리프트 핀들(232)로 인한 콜드 스폿들을 제거하기 위해 국소화된 가열을 제공할 수 있다. 이와 같이, 고에너지 복사 소스 어셈블리(270)는 광 빔을 약 2mm 내지 약 20mm, 예를 들어 약 10mm로 포커싱할 수 있다. 일부 실시예들에서, 고에너지 복사 소스 어셈블리(270)는 기판(202)이 프로세스 챔버(200) 내에서 회전하고 있는 동안 광 빔을 포커싱할 수 있다. 온도의 증가가 비저항을 하강시키므로, 레이저 빔으로부터의 가열은 주입 기판(202)의 비저항(Rs) 프로파일의 감소를 야기할 수 있다.
기판의 특정 영역들, 예를 들어 리프트 핀들(232)에 결합되고/거나 리프트 핀들 부근에 있는 영역들을 국소적으로 가열 및/또는 튜닝하기 위해, 고에너지 복사 소스 어셈블리(270)는 적절한 위치들로 지향되고 특정 타이밍들에서 작동될 수 있다. 특정 실시예들에서, 오직 예시로서만, 리프트 핀들(232)은 약 32RPM으로 동작하고 약 380mm/s의 속도로 이동한다. 1mm 미만의 정확도를 달성하기 위해, 타이밍 제어는 약 2.5ms 미만이도록 계산된다. 일부 실시예들에서, 광의 포커싱은 특정 타이밍 간격들에서 수행될 수 있다. 다른 실시예들에서, 서셉터(206)의 속도와 유사한 속도를 갖는 셔터가 필요에 따라 이용될 수 있다. 일부 실시예들에서, 6 마이크로초 상승 시간을 갖는 레이저가 이용될 수 있다.
일부 실시예들에서, 고에너지 복사 소스 어셈블리(270)는 리프트 핀(232)이 기판(202)과 접촉하는 것보다 0.5ms 전에 고에너지 복사 소스 어셈블리(270)가 온 되어 기능하고 있도록 펄스 광 빔을 제공할 수 있다. 다른 시간 간격들이 이용될 수 있음이 예상된다. 일부 실시예들에서, 고에너지 복사 소스 어셈블리(270)는 기판이 고에너지 복사 소스 어셈블리(270)의 광을 통과시키고 나서 0.5ms 후에 턴오프될 수 있다.
복수의 고에너지 복사 소스 어셈블리(270)가 프로세스 챔버(200) 내에서 이용될 수 있음이 더 예상된다. 더욱이, 일부 실시예들에서, 이동가능한 트랙 상의 소스 헤드(272)는 기판(202)의 적절한 튜닝(tuning)을 보장하기 위해 이용될 수 있다. 이동가능한 트랙은 소스 헤드(272)가 기판(202)을 가로질러 스위프하는 것을 허용할 수 있고, 그에 따라 미리 결정된 패턴으로의 전체적인 조절들(gross adjustments)을 허용한다. 그러한 실시예에서, 소스 헤드(272)는 외측 둘레로부터 방사상 내측으로 이동할 수 있지만, 원점으로부터 방사상 외측으로의 이동 등과 같은 다른 실시예들이 가능하다.
고에너지 복사 소스 어셈블리(270)를 적절한 시간에[즉, 기판(202)이 허용가능한 위치를 지나가고 있을 때] 트리거하기 위해, 고에너지 복사 소스 어셈블리(270)는 리프트 핀(232)이 포커스 위치와 거의 일치하거나 포커스 위치에 있을 때 온 위치(on position)로 전환된다. 그와 같이, 리프트 핀(232)의 모션이 고에너지 복사 소스 어셈블리(270)와 동기화된다.
일 실시예에서, 리프트 핀(232)의 위치를 나타내기 위해, 서셉터(206) 상에 플래그가 구축될 수 있다. 플래그는 약 0.1도 내지 약 1.0도의 폭을 가질 수 있다. 플래그는 머시닝될 수 있고/있거나, 서셉터(206)에 장착되거나 결합될 수 있다. 특정 실시예들에서, 장착 또는 결합 허용오차를 완화하기 위해, 플래그 신호의 지연이 도입될 수 있다. 고에너지 복사 소스 어셈블리(270)의 정확도를 개선하고, 그에 따라 리프트 핀들(232)의 위치들에 대한 광의 정확도를 개선하기 위해, 지연이 조절될 수 있다.
일부 실시예들에서, 플래그는 호밍 플래그(homing flag) 및/또는 광학 센서일 수 있다. 플래그는 기판(202) 상의 홈 위치에 연관된 광학 스위치를 트리거할 수 있다. 특정 실시예들에서, 플래그는 서셉터와 같은 회전 어셈블리 내에 머시닝될 수 있고/있거나 그에 결합될 수 있다.
다른 실시예에서, 고에너지 복사 소스 어셈블리(270)를 동기화하기 위해, 회전 인코더가 이용될 수 있다. 일부 실시예들에서, 인코더는 범위 기반 인코더(range based encoder)일 수 있다. 인코더는 아래 설명된 제어기(250)에 의해 제어될 수 있다. 인코더는 1mm 미만의 정확도를 달성하기 위해 약 0.03도 해상도 또는 그 이상을 가질 수 있고, 일부 실시예들에서는 예를 들어 2.5ms 미만에서 풀링될(pulled) 수 있다. 다른 실시예들에서, 인코더는 1ms의 속도로 풀링될 수 있다.
다른 실시예에서, 이미징 프로세스는 서셉터(206) 상의 피쳐들을 검출하고, 고에너지 복사 소스 어셈블리(270)를 언제 점화할지를 예측하기 위해 이용될 수 있다. 이미징 프로세스는 2.5ms 미만의 왕복 시간으로 완료될 수 있다. 그와 같이, 알고리즘은 고에너지 복사 소스 어셈블리(270)를 언제 점화할지를 예측 및/또는 결정하도록 제어기(250)에 의해 결정 및 제어될 수 있다.
위에서 설명된 프로세스 챔버(200)는 도 2 및 도 3에 도시된 프로세스 기반시스템 제어기, 예컨대 제어기(250)에 의해 제어될 수 있다. 예를 들어, 제어기(250)는 기판 프로세스 시퀀스의 상이한 동작들 동안, 가스 소스들로부터의 다양한 프리커서 및 프로세스 가스들 및 퍼지 가스들의 유동을 제어하도록 구성될 수 있다. 다른 예로서, 제어기(250)는 다른 제어기 동작들 중에서도, 고에너지 복사 소스 어셈블리(270)의 점화를 제어하고, 고에너지 복사 소스 어셈블리(270)를 점화하기 위한 알고리즘을 예측하고, 플래그 및/또는 플래그 신호의 동작을 제어하고/거나, 고에너지 복사 소스 어셈블리(270)를 인코딩 또는 동기화하도록 구성될 수 있다. 제어기(250)는 기판 처리의 제어를 용이하게 하기 위해 프로세스 챔버(200)의 다양한 컴포넌트들에 결합된, 메모리(255) 및 대용량 저장 디바이스, 입력 제어 유닛, 및 디스플레이 유닛(도시되지 않음), 예컨대 전력 공급부들, 클럭들, 캐시, 입력/출력(I/O) 회로들, 및 그와 유사한 것과 함께 동작할 수 있는 프로그래밍가능한 중앙 처리 유닛(CPU)(252)을 포함한다. 제어기(250)는 또한 프리커서, 프로세스 가스, 및 퍼지 가스 유동을 모니터링하는 센서들을 포함하는 프로세스 챔버(200) 내의 센서들을 통해 기판 처리를 모니터링하기 위한 하드웨어를 포함한다. 기판 온도, 챔버 대기압, 및 그와 유사한 것과 같은 시스템 파라미터들을 측정하는 다른 센서들도 제어기(250)에 정보를 제공할 수 있다.
위에서 설명된 프로세스 챔버(200)의 제어를 용이하게 하기 위해, CPU(252)는 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업용 세팅에서 이용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나, 예컨대 프로그래밍가능한 로직 제어기(PLC: programmable logic controller)일 수 있다. 메모리(255)는 CPU(252)에 결합되고, 메모리(255)는 비일시적(non-transitory)이며, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크 드라이브, 하드 디스크, 또는 임의의 다른 형태의 로컬 또는 원격 디지털 저장소와 같은 쉽게 이용가능한 메모리 중 하나 이상일 수 있다. 지원 회로들(258)은 종래의 방식으로 프로세서를 지원하기 위해 CPU(252)에 결합된다. 대전된 종들(charged species)의 발생, 가열, 및 다른 프로세스들은 일반적으로 메모리(255) 내에, 전형적으로는 소프트웨어 루틴으로서 저장된다. 또한, 소프트웨어 루틴은 CPU(252)에 의해 제어되는 하드웨어로부터 원격 배치되어 있는 제2 CPU(도시되지 않음)에 의해 저장 및/또는 실행될 수 있다.
메모리(255)는 CPU(252)에 의해 실행될 때 프로세스 챔버(200)의 동작을 용이하게 하는 명령어들을 포함하는 컴퓨터 판독가능한 저장 매체의 형태로 되어 있다. 메모리(255) 내의 명령어들은 본 개시내용의 방법을 구현하는 프로그램과 같은 프로그램 제품의 형태이다. 프로그램 코드는 다수의 상이한 프로그래밍 언어들 중 임의의 것을 따를 수 있다. 일례에서, 본 개시내용은 컴퓨터 시스템과 함께 사용하기 위한 컴퓨터 판독가능한 저장 매체 상에 저장된 프로그램 제품으로서 구현될 수 있다. 프로그램 제품의 프로그램(들)은 (본 명세서에 설명된 방법들을 포함하는) 실시예들의 기능들을 정의한다. 예시적인 컴퓨터 판독가능한 저장 매체는 (i) 정보가 영구적으로 저장되는 기입 불가능한 저장 매체(예를 들어, 컴퓨터 내의 판독 전용 메모리 디바이스들, 예컨대 CD-ROM 드라이브에 의해 판독가능한 CD-ROM 디스크, 플래시 메모리, ROM 칩, 또는 임의의 타입의 고체 상태 비휘발성 반도체 메모리); 및 (ii) 변경가능한 정보가 저장되는 기입가능한 저장 매체(예를 들어, 디스켓 드라이브 내의 플로피 디스크 또는 하드 디스크 드라이브 또는 임의의 타입의 고체 상태 랜덤 액세스 반도체 메모리)를 포함하지만, 그에 한정되지 않는다. 그러한 컴퓨터 판독가능한 저장 매체는 본 명세서에 설명된 방법들의 기능들을 지시하는 컴퓨터 판독가능한 명령어들을 보유할 때 본 개시내용의 실시예들로 된다.
도 6은 일 실시예에 따른 고에너지 복사 소스 어셈블리(108)(도 1)의 사시도이다. 도 6에 도시된 바와 같이, 하나 이상의 어셈블리(108) 중의 하나의 고에너지 복사 소스 어셈블리(108)는 고에너지 복사 소스(602), 및 고에너지 복사 소스 어셈블리(108)의 컴포넌트들을 지지하기 위한 브래킷(610)을 포함할 수 있다. 고에너지 복사 소스 어셈블리(108)는 파이버 커넥터(606)를 고정하기 위해 브래킷(610) 상에 배치된 케이지 플레이트(cage plate)(608)를 더 포함할 수 있다. 일 실시예에서, 고에너지 복사 소스(602)는 파이버(604)를 통해 파이버 커넥터(606)에 연결된다. 고에너지 복사 소스(602)는 에피택셜 퇴적과 같은 퇴적 프로세스 동안 기판(110)(도 1)의 온도를 섭씨 2-5도 증가시킬 수 있는 전력에서, 포커싱된 복사 에너지와 같은 복사 에너지를 생성하기 위한 임의의 적합한 고에너지 복사 소스일 수 있다. 포커싱된 고에너지는 가시 범위의 파장을 가질 수 있다. 일 실시예에서, 고에너지 복사 소스(602)는 2개의 레이저 다이오드를 포함하는 레이저 소스이고, 레이저 다이오드들 각각은 적어도 100W의 총 출력 전력에 대해 적어도 50W의 출력 전력, 및 약 810nm의 파장을 갖는다. 일 실시예에서, 고에너지 복사 소스(602)는 264W의 총 출력 전력을 갖는 직렬로 된 33개 칩(각각의 칩은 8W의 출력 전력을 가짐)을 포함하는 수직 공동 표면 방출 레이저(VCSEL)이다. 파이버(604)의 길이는 약 15m일 수 있다. 일 실시예에서, 고에너지 복사 빔을 파이버(604)에 결합하는 것을 대신하여, 고에너지 복사 소스(602)는 브래킷(610) 상에 배치된다.
고에너지 복사 소스 어셈블리(108)는 비구면 렌즈와 같은 하나 이상의 렌즈를 유지하기 위한 옵틱 홀더(612)를 더 포함할 수 있다(도 7). 고에너지 복사 소스 어셈블리(108)는 지지 부재(132)에 볼트 연결된 지지 블록(614) 상에 배치될 수 있다. 대안적으로, 고에너지 복사 소스 어셈블리(108)는 기판(110)의 반경 방향을 따르는 트랙 상에 배치될 수 있다(도 8).
도 7은 일 실시예에 따른 고에너지 복사 소스 어셈블리(108)의 확대된 개략적인 측단면도이다. 도 7에 도시된 바와 같이, 케이지 플레이트(608)는 파이버 커넥터(606)를 고정하기 위한 2개의 리테이너 링(708, 710)을 포함할 수 있다. 옵틱 홀더(612)는 비구면 렌즈와 같은 렌즈(714)를 고정하기 위한 리테이너 링(712)을 포함할 수 있다. 브래킷(610)은 지지 블록(614)에 결합되는 제1 부분(702), 제1 부분(702)에 대해 각도 A를 이루는 제2 부분(704), 및 제2 부분(704)에 대해 각도 B를 이루는 제3 부분(706)을 포함할 수 있다. 옵틱 홀더(612)는 제2 부분(704)에 결합될 수 있고, 케이지 플레이트(608)는 제3 부분(706)에 결합될 수 있다. 일 실시예에서, 각도 B는 약 90도이고, 각도 A는 90도가 아닌 예각 또는 둔각이며, 따라서, 제2 부분(704)은 기판(110)(도 1)의 표면(122)에 실질적으로 수직하지 않다. 일 실시예에서, 각도 A는 약 92도이고, 각도 B는 약 90도이며, 따라서 렌즈(714)는 제3 부분(706)에 대해 2° 기울기를 가져서, 고에너지 복사 소스(602)를 손상시킬 수 있는 파이버(604) 내로의 후방 반사들을 방지한다. 대안적으로, 각도 A는 약 90도이고, 각도 B는 90도가 아닌 예각 또는 둔각이다. 하나 이상의 고에너지 복사 빔(134)의 빔 스폿의 기판(110) 상의 위치를 결정하기 위해, 예각 또는 둔각 A 또는 B가 이용될 수 있다. 일 실시예에서, 제1, 제2 및 제3 부분(702, 704, 706)은 재료의 단일 조각(a single piece of material)이고, 각도들 A, B는 설정되며, 조절가능하지 않다. 다른 실시예에서, 제1, 제2, 및 제3 부분(702, 704, 706)은 재료들의 상이한 조각들(different pieces of materials)로 이루어지며, 각도들 A, B는 조절될 수 있다.
일 실시예에서, 파이버(604)로부터의 고에너지 복사 빔, 예컨대 포커싱된 고에너지 복사 빔, 예를 들어 레이저 빔은 렌즈(714)에 의해 17x 배율로 기판(110) 상에 리이미징되는(re-imaged) 약 800 마이크로미터의 직경을 가지며, 따라서 기판(110) 상의 빔 스폿은 약 10mm의 직경을 갖는다. 일 실시예에서, 파이버로부터의 레이저 빔은 약 0.17NA의 발산(divergence)으로 탈출한다. 하나 이상의 빔(134) 중의 하나의 포커싱된 고에너지 복사 빔(134)이 파이버 커넥터(606)로부터 렌즈(714)까지 이동하는 거리 D3는 약 18mm이다. 제1 돔(112)(도 1)의 투명한 재료는 각도 A 또는 B를 조절함으로써 보상될 수 있는, 기판 상의 빔 스폿의 경미한 시프트를 야기할 수 있다. 고에너지 복사 빔의 적은 비율이 제1 돔(112)에 의해 반사될 수 있다. 일 실시예에서, 약 7W의 전력이 제1 돔에 의해 반사되고, 고에너지 복사 빔(134)의 총 출력 전력은 약 90W이다.
도 8은 일 실시예에 따른 도 1의 프로세스 챔버(100)의 개략적인 상부도이다. 도 8에 도시된 바와 같이, 프로세스 챔버(100)는 지지 부재(132)에 의해 지지되는 하나 이상의 온도 센서(130)를 포함한다. 트랙(802)은 지지 부재(132) 상에서 반경 방향으로 형성될 수 있고, 하나 이상의 고에너지 복사 소스 어셈블리(108)는 트랙(802) 상에 이동가능하게 배치될 수 있다. 하나 이상의 고에너지 복사 소스 어셈블리(108)는 기판(110) 상의 다양한 영역들의 국소화된 가열을 제공하기 위해, 프로세스들 동안 또는 프로세스들 사이에서 이동될 수 있다. 도 8에 도시된 바와 같이, 기판(110) 상의 차가운 영역들을 동시에 가열하기 위해 트랙(802) 상에 배치된 2개의 고에너지 복사 소스 어셈블리(108)가 존재한다. 일부 실시예들에서, 1개의 고에너지 복사 소스 어셈블리(108)가 트랙(802) 상에 배치된다. 일부 실시예들에서, 2개보다 많은 고에너지 복사 소스 어셈블리(108)가 트랙(802) 상에 배치된다.
도 9는 일 실시예에 따른 도 1의 프로세스 챔버(100)의 개략적인 상부도이다. 도 9에 도시된 바와 같이, 하나의 고에너지 복사 소스 어셈블리(108)는 지지 블록(614) 상에 배치되고, 제2 고에너지 복사 소스 어셈블리(108)는 지지 블록(902) 상에 배치된다. 기판(110)의 상이한 반경방향 영역들을 동시에 가열하기 위해, 지지 블록들(614, 902)은 지지 부재(132) 상의 상이한 반경방향 위치들 상에 배치될 수 있다. 다시, 일부 실시예들에서, 1개의 고에너지 복사 소스 어셈블리(108)가 이용되고, 일부 실시예들에서, 2개보다 많은 고에너지 복사 소스 어셈블리(108)가 이용된다.
도 10은 기판을 처리하기 위한 방법(1000)의 동작들을 개략적으로 도시한다. 일부 실시예들에서, 방법(1000)은 에피택셜 퇴적 챔버 내에서 기판을 국소적으로 가열할 수 있다.
동작(1010)에서, 기판은 프로세스 챔버의 서셉터 상에 배치된다. 일부 실시예들에서, 프로세스 챔버는 에피택시 퇴적 챔버일 수 있다. 프로세스 챔버는 프로세스 챔버(100) 또는 프로세스 챔버(200)일 수 있다. 동작(1020)에서, 기판이 회전된다.
동작(1030)에서, 기판의 회전 위치가 검출된다. 기판의 회전 위치는 제어기, 및/또는 카메라 또는 등가물, 또는 열 센서일 수 있는 센서에 의해 수신될 수 있다. 회전 위치는 처리 챔버 내에서의 서셉터의 위치 및/또는 위치지정, 및/또는 기판의 위치 및/또는 위치지정을 나타낼 수 있다. 회전 위치는 또한 처리 챔버 내에서의 기판 및/또는 서셉터의 속도 및/또는 타이밍을 나타낼 수 있다.
동작(1040)에서, 기판의 회전 위치가 제1 타겟 위치에 도달할 때, 고에너지 소스, 예컨대 레이저 소스의 점화가 개시된다. 레이저 소스는 다이오드 레이저 소스일 수 있다. 고에너지 소스는 기판의 중심 영역으로부터 약 100mm 내지 약 120mm의 제1 위치에서 프로세스 챔버에 결합된다. 레이저 점화는 다이오드 레이저 소스로부터 개시될 수 있다. 레이저 점화는 임의의 시간 길이 동안 지속될 수 있고, 특정 실시예들에서는 일정한 점화(constant firing) 및/또는 펄스화된 점화(pulsed firing)일 수 있다. 레이저의 점화는 위에서 설명된 것과 같은 기판의 영역, 부분, 또는 특정 영역을 가열할 수 있다. 더욱이, 다이오드 레이저 소스의 점화는 다이오드 레이저 소스로부터 챔버의 제1 영역으로 광을 우회시킬 수 있고, 이는 레이저 소스로부터의 광이 제1 영역을 튜닝 및/또는 가열하는 것을 허용할 수 있다. 일부 실시예들에서, 챔버의 제1 영역은 챔버의 리프트 핀에 결합된 기판의 영역을 포함할 수 있다. 그와 같이, 광은 콜드 스폿을 감소시키기 위해, 리프트 핀에 결합된 기판의 영역을 튜닝 및/또는 가열할 수 있다. 다른 타입의 레이저들 또는 레이저 소스들, 예를 들어 다른 것들 중에서도 특히 파이버 레이저가 이용될 수 있음이 더 예상된다.
동작(1050)에서, 기판의 회전 위치가 제2 타겟 위치에 도달할 때, 고에너지 소스의 점화가 중단된다. 제2 타겟 위치는 서셉터의 회전 위치지정 및/또는 기판의 회전 위치지정에 기초하여 수용될 수 있다. 제2 타겟 위치는 제어기 및/또는 센서에 의해 수신될 수 있다. 제2 타겟 위치는 처리 챔버 내에서의 서셉터의 위치 및/또는 위치지정, 및/또는 기판의 위치 및/또는 위치지정을 나타낼 수 있다. 제2 타겟 위치는 또한 처리 챔버 내에서의 기판 및/또는 서셉터의 속도 및/또는 타이밍을 나타낼 수 있다. 방법(1000)은 처리 챔버 내에서 기판 및/또는 서셉터가 더 회전될 때 반복될 수 있다.
테스트가 수행되었고, 결과는 프로세스 챔버 내에서 기판의 중심으로부터 약 105mm 내지 약 120mm에서 본 명세서에 설명된 장치 및 방법을 이용하면, 도 11에 도시된 바와 같이, 비저항의 급강하(dip)가 감소되고, 기판 상의 콜드 스폿들이 적절하게 보상되므로, 기판이 최적으로 튜닝된다는 것을 나타내었다. 그와 같이, 기판으로 가는 에너지의 양을 제어하기 위해, 고에너지 복사 소스 어셈블리를 통해, 위치가 정해진(located) 스폿 및 영역 가열이 기판에 적용된다. 기판이 프로세스 챔버 내에서 회전할 때, 기판의 일부 특정한 영역들이 튜닝될 수 있고, 그에 의해 기판 프로파일 내의 트로프(trough)를 경감시키고, 기판의 프로파일을 상승시키는데, 왜냐하면 고에너지 복사 소스 어셈블리는 협대역의 전력이 국소화된 가열을 수행하게 하기 때문이다.
본 개시내용의 이점들은 기판에 연관된 콜드 스폿들의 수의 감소를 포함한다. 기판 내에서의 온도 불균일들을 감소시키는 것은 또한 더 균일한 표면을 갖는 기판을 만들어낸다. 기판 품질의 증가가 있다는 점에서, 비용 감소도 실현된다. 추가의 혜택들은 온도 균일성의 초미세 튜닝을 위한 기판의 정밀한 국소적 가열을 포함한다.
요약하면, 본 명세서에 설명된 실시예들은 처리 동안 기판의 국소화된 가열을 제공하기 위한 고에너지 복사 소스 어셈블리를 포함하는 에피택셜 퇴적 챔버를 제공한다. 특정하게 시간이 정해진 간격들(specifically timed intervals)에서, 리프트 핀에 인접한 위치들과 같은 기판의 특정 위치들을 국소적으로 가열 및 튜닝하기 위해, 에너지는 챔버 내에서의 기판 회전 동안 약 10mm 영역으로 포커싱될 수 있다. 고에너지 빔으로부터의 에너지는 어닐링 시에 주입 기판의 비저항 프로파일 내의 급강하를 제공할 수 있다. 고에너지 복사 소스 어셈블리는 서셉터의 중심 영역으로부터 약 100mm 내지 약 120mm의 서셉터의 영역에서 에너지를 지향시키도록 위치지정된 다이오드 레이저 시스템일 수 있다.
상술한 것은 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 추가의 실시예들은 그것의 기본 범위로부터 벗어나지 않고서 만들어질 수 있으며, 그것의 범위는 이하의 청구항들에 의해 결정된다.

Claims (20)

  1. 반도체 처리를 위한 에피택셜 퇴적 프로세스 챔버로서,
    기판을 지지하도록 구성된 회전가능한 기판 지지체 - 상기 회전가능한 기판 지지체는 제1 표면 및 제2 표면을 가짐 -;
    상기 회전가능한 기판 지지체의 제1 측 상에 배치되고 상기 제1 표면에 대향하는 제1 투과 부재;
    상기 회전가능한 기판 지지체의 제2 측 상에 배치되고 상기 제2 표면에 대향하는 제2 투과 부재; 및
    상기 기판이 상기 회전가능한 기판 지지체에 의해 지지될 때, 복사 에너지를 출력하고 상기 기판을 가로질러 스위프하도록 구성된 복사 가열 소스(radiant heating source)
    를 포함하는 에피택셜 퇴적 프로세스 챔버.
  2. 제1항에 있어서,
    상기 복사 가열 소스를 유지하는 마운팅 브래킷; 및
    상기 마운팅 브래킷을 상기 에피택셜 퇴적 프로세스 챔버에 결합시키는 트랙 - 상기 트랙은 상기 복사 가열 소스를 반경 방향으로 작동시키도록 구성됨 -
    을 더 포함하는 에피택셜 퇴적 프로세스 챔버.
  3. 제2항에 있어서, 2개 이상의 복사 가열 소스 어셈블리가 상기 트랙 상에 배치되는, 에피택셜 퇴적 프로세스 챔버.
  4. 제1항에 있어서, 상기 제1 투과 부재 및 상기 제2 투과 부재는 돔(dome)들인, 에피택셜 퇴적 프로세스 챔버.
  5. 제1항에 있어서, 상기 복사 가열 소스는 미리 결정된 패턴으로 가열 조절들을 제공하는, 에피택셜 퇴적 프로세스 챔버.
  6. 프로세스 챔버로서,
    상기 프로세스 챔버 내에 배치된 제1 표면 및 제2 표면을 갖는 기판 지지체;
    상기 기판 지지체의 제1 측 상에 배치되고 상기 제1 표면에 대향하는 제1의 복수의 가열 요소;
    상기 기판 지지체와 상기 제1의 복수의 가열 요소 사이에 배치된 제1 투과 부재;
    상기 기판 지지체의 제2 측 상에 배치되고 상기 제2 표면에 대향하는 제2 투과 부재;
    상기 제1의 복수의 가열 요소가 리드(lid)와 상기 기판 지지체 사이에 배치되도록, 상기 기판 지지체의 제2 측 상에 배치된 상기 리드;
    상기 프로세스 챔버에 결합된 트랙; 및
    상기 트랙 상에 이동가능하게 배치되는 가열 소스 어셈블리 - 상기 가열 소스 어셈블리는 상기 기판 지지체를 향해 지향되는 복사 가열 소스를 포함함 -
    를 포함하는 프로세스 챔버.
  7. 제6항에 있어서, 상기 트랙은 상기 가열 소스 어셈블리를 반경 방향으로 작동시키도록 구성되는, 프로세스 챔버.
  8. 제6항에 있어서, 2개 이상의 복사 가열 소스 어셈블리가 상기 트랙 상에 배치되는, 프로세스 챔버.
  9. 제6항에 있어서, 제2의 복수의 가열 요소가 상기 기판 지지체 아래에 배치되는, 프로세스 챔버.
  10. 제6항에 있어서, 상기 복사 가열 소스는 빔 가열 소스인, 프로세스 챔버.
  11. 제6항에 있어서, 상기 복사 가열 소스는 가시 범위의 파장을 갖는 복사 에너지를 생성할 수 있는, 프로세스 챔버.
  12. 제6항에 있어서, 상기 복사 가열 소스는 약 810 nm의 파장을 갖는 복사 에너지를 생성할 수 있는, 프로세스 챔버.
  13. 에피택셜 퇴적 프로세스 챔버로서,
    상기 에피택셜 퇴적 프로세스 챔버 내에 배치된 제1 표면 및 제2 표면을 갖는 기판 지지체;
    상기 기판 지지체의 제1 측 상에 배치되고 상기 제1 표면에 대향하는 제1의 복수의 가열 요소;
    상기 제1의 복수의 가열 요소가 리드와 상기 기판 지지체 사이에 배치되도록, 상기 기판 지지체의 제2 측 상에 배치된 상기 리드;
    상기 기판 지지체와 상기 제1의 복수의 가열 요소 사이에 배치된 제1 투과 부재;
    상기 기판 지지체의 상기 제2 측 상에 배치되고 상기 제2 표면에 대향하는 제2 투과 부재; 및
    제1 복사 가열 소스 어셈블리 - 상기 제1 복사 가열 소스 어셈블리는 상기 기판 지지체에 대해 반경 방향으로 이동가능함 -
    를 포함하는 에피택셜 퇴적 프로세스 챔버.
  14. 제13항에 있어서, 상기 제1 복사 가열 소스 어셈블리는:
    복사 가열 소스;
    상기 제1 복사 가열 소스 어셈블리를 상기 에피택셜 퇴적 프로세스 챔버에 결합하기 위한 브래킷; 및
    상기 제1 복사 가열 소스 어셈블리가 배치되는 트랙
    을 더 포함하는, 에피택셜 퇴적 프로세스 챔버.
  15. 제13항에 있어서, 상기 기판 지지체의 상기 제1 표면에 대해 상기 반경 방향으로 이동가능한 제2 복사 가열 소스 어셈블리를 더 포함하는, 에피택셜 퇴적 프로세스 챔버.
  16. 제13항에 있어서, 상기 제1 복사 가열 소스 어셈블리는 상기 기판 지지체의 상기 제1 표면 상에 위치된 기판 상의 콜드 스폿들을 제거하기 위해 국소화된 가열을 제공하도록 구성되는, 에피택셜 퇴적 프로세스 챔버.
  17. 제13항에 있어서, 상기 기판 지지체는 상기 제1 복사 가열 소스 어셈블리에 대해 회전하도록 구성되는, 에피택셜 퇴적 프로세스 챔버.
  18. 제13항에 있어서, 상기 제1 투과 부재는 돔 형상인, 에피택셜 퇴적 프로세스 챔버.
  19. 제13항에 있어서, 상기 에피택셜 퇴적 프로세스 챔버의 측벽을 통해 배치되고, 상기 기판 지지체의 상기 제1 표면을 가로질러 프로세스 가스를 지향시키도록 구성된 교차 유동 가스 주입기(cross-flow gas injector)를 더 포함하는, 에피택셜 퇴적 프로세스 챔버.
  20. 제19항에 있어서, 상기 교차 유동 가스 주입기로부터 상기 에피택셜 퇴적 프로세스 챔버의 대향 측벽 상에 위치된 가스 유출구를 더 포함하는 에피택셜 퇴적 프로세스 챔버.
KR1020247009937A 2015-10-09 2016-10-07 에피 프로세스들을 위한 웨이퍼 가열을 위한 다이오드 레이저 KR20240045360A (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
IN5420/CHE/2015 2015-10-09
IN5420CH2015 2015-10-09
US201562262980P 2015-12-04 2015-12-04
US62/262,980 2015-12-04
PCT/US2016/056111 WO2017062852A1 (en) 2015-10-09 2016-10-07 Diode laser for wafer heating for epi processes
KR1020187013160A KR102652337B1 (ko) 2015-10-09 2016-10-07 에피 프로세스들을 위한 웨이퍼 가열을 위한 다이오드 레이저

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020187013160A Division KR102652337B1 (ko) 2015-10-09 2016-10-07 에피 프로세스들을 위한 웨이퍼 가열을 위한 다이오드 레이저

Publications (1)

Publication Number Publication Date
KR20240045360A true KR20240045360A (ko) 2024-04-05

Family

ID=58488724

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020247009937A KR20240045360A (ko) 2015-10-09 2016-10-07 에피 프로세스들을 위한 웨이퍼 가열을 위한 다이오드 레이저
KR1020187013160A KR102652337B1 (ko) 2015-10-09 2016-10-07 에피 프로세스들을 위한 웨이퍼 가열을 위한 다이오드 레이저

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020187013160A KR102652337B1 (ko) 2015-10-09 2016-10-07 에피 프로세스들을 위한 웨이퍼 가열을 위한 다이오드 레이저

Country Status (8)

Country Link
US (1) US11171023B2 (ko)
EP (2) EP4138121A1 (ko)
JP (1) JP6840138B2 (ko)
KR (2) KR20240045360A (ko)
CN (3) CN115206844A (ko)
HU (1) HUE060525T2 (ko)
TW (1) TWI692047B (ko)
WO (1) WO2017062852A1 (ko)

Families Citing this family (328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) * 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN111263977B (zh) * 2017-10-30 2023-09-26 应用材料公司 Epi中的多区域点加热
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
CN111630650B (zh) * 2018-02-23 2023-07-14 应用材料公司 通过脉冲或轮廓点加热执行的外延(epi)厚度调节
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
WO2019182940A1 (en) * 2018-03-20 2019-09-26 Mattson Technology, Inc. Support plate for localized heating in thermal processing systems
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11177144B2 (en) * 2018-06-04 2021-11-16 Applied Materials, Inc. Wafer spot heating with beam width modulation
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7370293B2 (ja) * 2020-03-31 2023-10-27 本田技研工業株式会社 レーザ加工装置及びレーザ加工方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) * 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11842907B2 (en) * 2020-07-08 2023-12-12 Applied Materials, Inc. Spot heating by moving a beam with horizontal rotary motion
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
JP7528396B2 (ja) 2020-08-25 2024-08-06 国立大学法人東北大学 レーザ加熱処理装置
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
US12033874B2 (en) * 2020-09-03 2024-07-09 Applied Materials, Inc. EPI chamber with full wafer laser heating
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11359972B2 (en) 2020-09-15 2022-06-14 Applied Materials, Inc. Temperature calibration with band gap absorption method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20230070035A (ko) * 2021-02-11 2023-05-19 어플라이드 머티어리얼스, 인코포레이티드 챔버 내 저항성 가열 엘리먼트에 대한 챔버 본체 피드스루
CN112967958A (zh) * 2021-04-02 2021-06-15 盛吉盛(宁波)半导体科技有限公司 一种外延膜生长设备及分离方法
US20220322492A1 (en) * 2021-04-06 2022-10-06 Applied Materials, Inc. Epitaxial deposition chamber
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20220367216A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Multi-zone lamp heating and temperature monitoring in epitaxy process chamber
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230341186A1 (en) * 2022-04-26 2023-10-26 Applied Materials, Inc. Air shrouds with integrated heat exchanger
CN118522673A (zh) * 2024-07-22 2024-08-20 深圳市新凯来工业机器有限公司 加热装置及半导体工艺处理设备

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4573791A (en) * 1979-04-03 1986-03-04 Optimetrix Corporation Step-and-repeat projection alignment and exposure system
FR2532783A1 (fr) * 1982-09-07 1984-03-09 Vu Duy Phach Machine de traitement thermique pour semiconducteurs
DE4109956A1 (de) * 1991-03-26 1992-10-01 Siemens Ag Verfahren zum kurzzeittempern einer halbleiterscheibe durch bestrahlung
US5407119A (en) * 1992-12-10 1995-04-18 American Research Corporation Of Virginia Laser brazing for ceramic-to-metal joining
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5874711A (en) * 1997-04-17 1999-02-23 Ag Associates Apparatus and method for determining the temperature of a radiating surface
US6197117B1 (en) 1997-07-23 2001-03-06 Applied Materials, Inc. Wafer out-of-pocket detector and susceptor leveling tool
US6027244A (en) * 1997-07-24 2000-02-22 Steag Rtp Systems, Inc. Apparatus for determining the temperature of a semi-transparent radiating body
EP1049144A4 (en) * 1997-12-17 2006-12-06 Matsushita Electronics Corp THIN SEMICONDUCTOR LAYER, METHOD AND DEVICE THEREOF, SEMICONDUCTOR COMPONENT AND METHOD FOR MANUFACTURING SAME
US6771895B2 (en) * 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
TW425635B (en) * 1999-08-23 2001-03-11 Promos Technologies Inc Rapid thermal processing method and its device
US6531681B1 (en) * 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
US6970644B2 (en) * 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US7154066B2 (en) * 2002-11-06 2006-12-26 Ultratech, Inc. Laser scanning apparatus and methods for thermal processing
JP2005129674A (ja) * 2003-10-23 2005-05-19 Canon Inc 走査露光装置およびデバイス製造方法
US7158221B2 (en) * 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US7129440B2 (en) * 2004-11-12 2006-10-31 Applied Materials, Inc. Single axis light pipe for homogenizing slow axis of illumination systems based on laser diodes
US7642205B2 (en) * 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US7135392B1 (en) * 2005-07-20 2006-11-14 Applied Materials, Inc. Thermal flux laser annealing for ion implantation of semiconductor P-N junctions
US20080017117A1 (en) * 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
US7674999B2 (en) * 2006-08-23 2010-03-09 Applied Materials, Inc. Fast axis beam profile shaping by collimation lenslets for high power laser diode based annealing system
US20090032828A1 (en) * 2007-08-03 2009-02-05 Philips Lumileds Lighting Company, Llc III-Nitride Device Grown on Edge-Dislocation Template
US8441640B2 (en) * 2008-05-02 2013-05-14 Applied Materials, Inc. Non-contact substrate support position sensing system and corresponding adjustments
WO2009135137A2 (en) 2008-05-02 2009-11-05 Applied Materials, Inc. System for non radial temperature control for rotating substrates
US8726837B2 (en) 2008-06-23 2014-05-20 Applied Materials, Inc. Semiconductor process chamber vision and monitoring system
US8254767B2 (en) * 2008-08-29 2012-08-28 Applied Materials, Inc. Method and apparatus for extended temperature pyrometry
US8314369B2 (en) * 2008-09-17 2012-11-20 Applied Materials, Inc. Managing thermal budget in annealing of substrates
ES1071023Y (es) 2009-05-25 2010-03-04 Veridentia S L Dispositivo con cierre electromecanico para la distribucion segura de hemocomponentes o farmacos
US20110185969A1 (en) 2009-08-21 2011-08-04 Varian Semiconductor Equipment Associates, Inc. Dual heating for precise wafer temperature control
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
US9650726B2 (en) * 2010-02-26 2017-05-16 Applied Materials, Inc. Methods and apparatus for deposition processes
JP5558985B2 (ja) * 2010-09-16 2014-07-23 大日本スクリーン製造株式会社 熱処理装置
TWI435391B (zh) * 2010-09-16 2014-04-21 Dainippon Screen Mfg 閃光熱處理裝置
US9653340B2 (en) * 2011-05-31 2017-05-16 Veeco Instruments Inc. Heated wafer carrier profiling
WO2013033315A2 (en) * 2011-09-01 2013-03-07 Veeco Instruments Inc. Wafer carrier with thermal features
JP5964626B2 (ja) * 2012-03-22 2016-08-03 株式会社Screenホールディングス 熱処理装置
US9905444B2 (en) * 2012-04-25 2018-02-27 Applied Materials, Inc. Optics for controlling light transmitted through a conical quartz dome
WO2014050979A1 (ja) * 2012-09-26 2014-04-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
JP6084479B2 (ja) * 2013-02-18 2017-02-22 株式会社Screenホールディングス 熱処理方法、熱処理装置およびサセプター
US9499909B2 (en) 2013-03-15 2016-11-22 Applied Materials, Inc. Methods for photo-excitation of precursors in epitaxial processes using a rotary scanning unit
US9443728B2 (en) * 2013-08-16 2016-09-13 Applied Materials, Inc. Accelerated relaxation of strain-relaxed epitaxial buffers by use of integrated or stand-alone thermal processing
US9263265B2 (en) * 2013-08-30 2016-02-16 Applied Materials, Inc. Crystallization of amorphous films and grain growth using combination of laser and rapid thermal annealing
KR102343226B1 (ko) * 2014-09-04 2021-12-23 삼성전자주식회사 스팟 히터 및 이를 이용한 웨이퍼 클리닝 장치
DE202016009128U1 (de) * 2015-07-29 2022-07-25 Applied Materials, Inc. Laserglühen eines rotierenden Substrats

Also Published As

Publication number Publication date
CN114864450A (zh) 2022-08-05
EP4138121A1 (en) 2023-02-22
CN108140597A (zh) 2018-06-08
KR20180054894A (ko) 2018-05-24
EP3360155A4 (en) 2019-06-12
EP3360155B1 (en) 2022-10-05
KR102652337B1 (ko) 2024-03-29
JP2018535545A (ja) 2018-11-29
HUE060525T2 (hu) 2023-03-28
CN115206844A (zh) 2022-10-18
US20170103907A1 (en) 2017-04-13
EP3360155A1 (en) 2018-08-15
US11171023B2 (en) 2021-11-09
WO2017062852A1 (en) 2017-04-13
CN108140597B (zh) 2022-08-05
TW201724320A (zh) 2017-07-01
JP6840138B2 (ja) 2021-03-10
TWI692047B (zh) 2020-04-21

Similar Documents

Publication Publication Date Title
KR102652337B1 (ko) 에피 프로세스들을 위한 웨이퍼 가열을 위한 다이오드 레이저
US11821088B2 (en) Multi zone spot heating in EPI
US11337277B2 (en) Circular lamp arrays
US9029739B2 (en) Apparatus and methods for rapid thermal processing
US11842907B2 (en) Spot heating by moving a beam with horizontal rotary motion
US11177144B2 (en) Wafer spot heating with beam width modulation
US11359972B2 (en) Temperature calibration with band gap absorption method
TWI724822B (zh) 用於epi製程之晶圓加熱的二極體雷射