JPH0620956A - 光cvd装置及び光cvd法 - Google Patents

光cvd装置及び光cvd法

Info

Publication number
JPH0620956A
JPH0620956A JP4178685A JP17868592A JPH0620956A JP H0620956 A JPH0620956 A JP H0620956A JP 4178685 A JP4178685 A JP 4178685A JP 17868592 A JP17868592 A JP 17868592A JP H0620956 A JPH0620956 A JP H0620956A
Authority
JP
Japan
Prior art keywords
reaction chamber
gas
light
substrate
cvd apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP4178685A
Other languages
English (en)
Other versions
JP3148004B2 (ja
Inventor
Akihiko Furukawa
章彦 古川
Tetsuya Yamaguchi
鉄也 山口
Yoshinori Iida
義典 飯田
Hidetoshi Nozaki
秀俊 野崎
Michio Sasaki
道夫 佐々木
Hisanori Ihara
久典 井原
Takaaki Kamimura
孝明 上村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP17868592A priority Critical patent/JP3148004B2/ja
Publication of JPH0620956A publication Critical patent/JPH0620956A/ja
Priority to US08/446,290 priority patent/US5527417A/en
Application granted granted Critical
Publication of JP3148004B2 publication Critical patent/JP3148004B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/488Protection of windows for introduction of radiation into the coating chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 (修正有) 【目的】膜厚や膜特性等の不均一性を防止し得る光CV
D装置を提供する。 【構成】被成膜基板106を収容して成膜を行なうため
の反応室105と、反応室内105に導入された材料ガ
スに紫外光を照射するための紫外光源102と、反応室
105内の材料ガスの流れの進行方向に向かって紫外光
の透過率が小さなる光導入窓104aとを備えている。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、光CVD装置及び光C
VD法に関する。
【0002】
【従来の技術】近年、シラン,ジボラン等の材料ガスを
光エネルギーにより分解して、化学反応により、半導体
薄膜等を形成する光CVD法が注目されている。
【0003】光CVD法は、従来の熱エネルギーにより
材料ガスを分解する熱CVD法に比べ、より低温で成膜
できるという利点がある。また、プラズマCVD法とは
異なり、膜形成に寄与している反応種がラジカルである
ため、荷電粒子による基板への損傷が極力抑えられ、し
かも高い制御性を有しているので高品質の薄膜を形成で
きる。
【0004】ところで、光CVD法においては、一般
に、光エネルギーの供給源となる紫外光源のサイズを基
板のそれに比べて非常に大きくすることは、コストの上
昇を招くので、通常紫外光源のサイズは基板のそれより
もほんのひとまわり大きいだけである。このため、基板
中心部においては紫外光の照度が高く、一方、基板周辺
部においては照度が低くなる。したがって、成膜速度が
基板中心部で速く、基板周辺部で遅くなるので、膜厚や
膜質が均一な半導体薄膜等を得るのが困難であった。
【0005】ところで、光CVD法においては、一般
に、反応生成物は全ては基板に被着するとは限らず、反
応室の内壁にも被着する。反応室の内壁に被着した膜が
剥がれると、反応室内にダストが発生し、歩留りが低下
する。このため、反応室の内壁に被着した膜を除去する
必要がある。
【0006】除去方法としては、分解クリーニング法や
プラズマ放電クリーニング法などが提案されている。し
かしながら、これらの方法には次のような問題があっ
た。
【0007】即ち、分解クリーニング法の場合、反応室
を大気に開放して、その構成部品に被着した膜を化学
的,機械的方法によって除去するため、反応室の内壁に
大気中の汚染物が吸着してしまう。このため、汚染物を
除去するためのプロセス、つまり、真空排気プロセスが
新たに必要となり、スループットが低下してしまう。
【0008】一方、プラズマ放電クリーニング法は、被
着物をプラズマエッチングによって除去するので、大気
に曝すことなく被着物を除去できる。光CVD装置でこ
のような除去を行なうには、反応室内にプラズマ放電用
の電極(放電電極)を設置する必要がある。通常、長手
方向が材料ガスの流れに平行な放電電極が、基板の両外
側にそれぞれ一本ずつ配置される。このような配置にす
るのは、放電電極によって、材料ガスの流れや、光の照
射が妨げられないようにするためである。
【0009】しかしながら、放電電極間の反応室内に被
着した膜のエッチング速度は、放電電極付近が一番速
く、放電電極から遠ざかるにつれて遅くなり、放電電極
間の中央付近が最も遅くなる。このため、反応室内のク
リーニング時間が大幅に長くなり、スループットが低下
するという問題があった。
【0010】
【発明が解決しようとする課題】上述の如く、従来の光
CVD装置においては、基板上における紫外光の照度分
布が一様でなかったので、膜厚や膜質が均一な半導体薄
膜等を形成するのが困難であるという問題があった。
【0011】また、歩留まりの低下を防止するために、
成膜の際に反応室の内壁に被着した膜を除去する必要が
あった。プラズマ放電クリーニング法を用いれば、大気
に曝すことなく被着物を除去できるが、放電電極の配置
に制約があるのでクリーニング時間が長くなり、スルー
プットが低下するという問題があった。
【0012】本発明は、上記事情を考慮してなされたも
ので、その目的とするところは、膜質の不均一や、スル
ープットの低下を防止し得る光CVD装置及び光CVD
法を提供することにある。
【0013】
【課題を解決するための手段】上記の目的を達成するた
めに、本発明の光CVD装置は、基板を収容して成膜を
行なうための反応室と、前記反応室内に導入された材料
ガスに光を照射する手段と、前記基板上における前記光
の照度を前記反応室内の前記材料ガスの流れ方向に向か
って大きくする手段とを備えたことを特徴とする。
【0014】また、本発明の他の光CVD装置は、基板
を収容して成膜を行なうための反応室と、前記反応室内
に導入された材料ガスに光を照射する手段と、前記反応
室内にエッチングガスを供給する手段と、前記基板上に
設けられ、その形状が前記基板を囲む閉曲線状の被着物
除去用の放電電極とを備えたことを特徴とする。
【0015】また、本発明の他の光CVD装置は、基板
を収容して成膜を行なうための反応室と、前記反応室内
の上方に設けられ、前記反応室内に導入された材料ガス
を光分解するための光源と、前記光源からの光を前記反
応室内の所定領域に照射するための光導入窓と、前記基
板と前記光導入窓との間に設けられ、所定の光透過率分
布を有する光透過型ノズルと、前記光導入窓と前記光透
過型ノズルと間の空間に、前記材料ガスを層流にするた
めのパージガスを供給する手段とを備えたことを特徴と
する。
【0016】また、本発明の他の光CVD装置は、基板
を収容して成膜を行なうための反応室と、前記反応室内
の上方に設けられ、前記反応室内に導入された材料ガス
を光分解するための光源と、前記光源からの光を前記反
応室内の所定領域に照射するための光導入窓と、前記基
板と前記光導入窓との間に設けられ、前記材料ガスの下
流側におけるガスの吹き出し量が、前記材料ガスの上流
側におけるガスの吹き出し量より多くなるべく形成され
た光透過型ノズルと、前記光導入窓と前記光透過型ノズ
ルと間の空間に、前記材料ガスを層流にするためのパー
ジガスを供給する手段とを備えたことを特徴とする。
【0017】また、本発明の他の光CVD装置は、基板
を収容して成膜を行なうための反応室と、前記反応室内
に導入された材料ガスを光分解するたの光源と、前記光
源からの光を前記反応室内の所定領域に照射するための
光導入窓と、前記反応室内にエッチングガスを供給する
手段と、前記反応室内に設けられた被着物除去用の放電
電極と、前記光導入窓と前記放電電極との間に設けられ
た遮蔽版とを備えたことを特徴とする。
【0018】また、本発明の他の光CVD装置は、排気
口が中心部に設けられた反応室と、この反応室内に収容
された基板の周囲に設けられた材料ガス導入手段と、前
記排気口と前記材料ガス導入手段との間に基板を配置す
る手段と、前記材料ガス導入手段によって前記反応室内
に導入された材料ガスに光を照射する手段とを備えたこ
とを特徴とする。
【0019】また、本発明の他の光CVD装置は、基板
を収容して成膜を行なうための反応室と、前記反応室内
に導入された材料ガスに光を照射する手段と、前記反応
室内にエッチングガスを供給する手段と、前記反応室内
外に搬送自在の平板状の被着物除去用の放電電極とを備
えたことを特徴とする。
【0020】また、本発明の光CVD法は、反応室の上
方に設けられた光導入窓を介して前記反応室内に光を導
入し、前記反応室内の材料ガスを分解して化学反応によ
り前記反応室の下方に設けられた基板上に薄膜を形成す
る工程と、前記光導入窓から前記基板の方向に流れるべ
く前記反応室内にパージガスを導入すると共に、前記反
応室内にエッチングガスを導入する工程と、プラズマ放
電によってエッチング種を生成して、前記反応室内の被
着物をエッチングする工程とを備えたことを特徴とす
る。
【0021】
【作用】本発明の光CVD装置(請求項1)によれば、
基板上における光の照度が、材料ガスの流れ方向に向か
って大きくなるので、材料ガスの分解量は材料ガスの流
れ方向に向かって多くなる。例えば、材料ガスがSiH
4 の場合には、SiH4の光分解によって生成されるS
iH3 ラジカルの量は、SiH4 の流れ方向に向かって
多くなる。ところで、SiH4 を用いた場合、SiH3
ラジカル同士間のラジカル反応によって、成膜の際にS
iH2 ラジカルも生成される。ここで、SiH2 ラジカ
ルとSiH3 ラジカルとの比(SiH2 ラジカル/Si
3 ラジカル)は、基板上で一定になる。これはSiH
2 ラジカルの生成量がSiH3 ラジカルの量に比例する
ので、SiH4 の流れの進行方向に向かってSiH2
ジカルの量が多くなるからである。したがって、膜とな
る物質の量が基板上で一様になるので膜質が均一にな
る。
【0022】本発明の光CVD装置(請求項2)によれ
ば、被着物除去用の放電電極として、その形状が基板を
囲む閉曲線状のものを用いているので、前記基板上の空
間を囲むようにプラズマ放電領域が形成される。このた
め、エッチングガスがどの方向から上記空間内に流れ込
んでも、前記プラズマ放電領域で生成されたエッチング
種は、前記エッチングガスの流れによって輸送される。
【0023】即ち、エッチング種の輸送は、自己拡散に
よるものの他に、反応室内に供給されるエッチングガス
の流れによっても行なわれる。したがって、反応室内を
エッチング種で効率良く満たすことができるので、被着
物の除去効率が改善され、スル−プットの向上が図れ
る。
【0024】本発明の光CVD装置(請求項4)によれ
ば、光透過型ノズルの光透過率分布によって、基板上で
の光の照度を一様にできる。このため、基板上での成膜
速度が場所によらず一定になるので、膜厚が一様な薄膜
を形成できる。
【0025】本発明の光CVD装置(請求項5)によれ
ば、光透過型ノズルによって、材料ガスの下流側におけ
る基板上のパージガスの量を、材料ガスの上流側におけ
る基板上のパージガスの量より多くできる。このため、
上流側の材料ガスが下流側に流れてきて、下流側の材料
ガスの量が多くなっても、材料ガスを基板表面に押さえ
付けることができ、膜質が均一な薄膜を形成できる。
【0026】本発明の光CVD装置(請求項6)によれ
ば、被着物の除去の際に放電電極がスパッタされても、
光導入窓と前記放電電極との間に設けられた遮蔽版によ
って、スパッタされた前記放電電極の材料が前記光導入
窓に附着するのを防止できる。したがって、前記光導入
窓の光透過率の低下を防止できる。
【0027】本発明の光CVD装置(請求項7)によれ
ば、排気口が反応室の中心部に設けられ、そして、材料
ガス導入手段が前記排気口の周囲に設けられているの
で、材料ガスの輸送距離が、材料ガス導入手段と排気口
との間の距離程度に短縮化される。このため、材料ガス
の長距離輸送化に起因する膜厚不均一性や、膜特性の不
均一性を防止できる。したがって、複数の基板や、大面
積の基板を用いても、膜厚や膜特性が均一な薄膜を形成
することができる。
【0028】本発明の光CVD装置(請求項8)によれ
ば、被着物除去用の放電電極が反応室内外に搬送自在で
あるので、成膜時に前記反応室外に前記放電電極を搬送
することで、放電電極による成膜の障害を防止できる。
また、前記放電電極は平行平板状なので、従来の棒状の
放電電極に比べて、プラズマ放電領域が広くなる。した
がって、反応室の内壁に附着した被着物の除去時間が短
縮化され、スル−プットの改善が図れる。
【0029】本発明の光CVD装置(請求項9)によれ
ば、放電電極が反応室内で前記光導入窓を覆うべく設置
されるので、被着物の除去工程の際に、光導入窓がエッ
チングされることはない。したがって、前記被着物の除
去工程に起因する成膜の障害を防止できる。
【0030】本発明の光CVD法(請求項10)によれ
ば、パージガスによってエッチングガスが下方に押し付
けられるので、被着物のエッチングの際に光導入窓がエ
ッチングされることはない。したがって、光導入窓の光
透過率の低下による成膜速度の低下を防止できる。
【0031】
【実施例】以下、図面を参照しながら実施例を説明す
る。
【0032】図1は、本発明の第1の実施例に係る水銀
増感法を用いた層流方式光CVD装置の概略構成図であ
る。
【0033】反応室105の内部には、被成膜基板10
6が収容されている。この被成膜基板106は、ヒータ
107によって加熱されるようになっている。この反応
室105の上方には、ランプハウス101が設けられて
いる。このランプハウス101内には、低圧水銀ランプ
からなる7個の紫外光源102が設けられ、これら紫外
光源102に対応して7個の電源113が設けられてい
る。これによって、上記紫外光源102の放電発光がそ
れぞれ独立に制御されるようになっている。また、ラン
プハウス101にはパージガスとしてのN2 ガスが導入
され、大気成分(酸素ガス,水蒸気等)の光吸収による
紫外光の減衰を防止している。
【0034】紫外光源102の上方には、反射板103
が配置されている。紫外光源102から出射した光は、
直接、石英等からなる光導入窓104を介して被成膜基
板106に照射されるか、又は反射板103で反射され
て光導入窓104を介して被成膜基板106に照射され
るようになっている。
【0035】一方、紫外光源102の下方には、紫外光
を通過する材料、例えば、石英からなるガス流制御板1
12が設けられている。このガス流制御板112と光導
入窓104との間には、紫外光に対して透明なパージガ
ス導入ノズルプレート111が設けられている。
【0036】反応室105の外部には、一定温度に保た
れている水銀が溜まっている水銀溜109と、SiH4
等の材料ガスが収容された材料ガス供給部(不図示)
と、パージガスとしてのArなどの不活性物質が収容さ
れたパージガス供給部(不図示)と、真空排気ポンプか
らなるガス排気部108とが設けられている。なお、パ
ージガス中に紫外光に対して吸収性を示す物質を混入す
る機構を設けても良い。
【0037】材料ガス供給部から供給された材料ガスA
は、水銀溜109,材料ガス導入ノズル110aを介し
て反応室内105に導入される。即ち、材料ガスAと水
銀蒸気とが反応室内105を流れる。
【0038】パージガス供給部から供給されたパージガ
スBは、パージガス導入ノズル110b,パージガス導
入ノズルプレート111,ガス流制御板112を介して
被成膜基板106に吹きつけられる。この結果、材料ガ
スAと水銀蒸気との混合ガスCが被成膜基板106と平
行に流れ、被成膜基板106の表面近傍に混合ガスCの
層流が形成され、それ以外の部分にはパージガスBの層
流が形成される。
【0039】次にこのように構成された光CVD装置を
用いたシリコン薄膜の形成方法について述べる。
【0040】先ず、ガス排気部108により反応室10
5内のガスを排気して減圧する。
【0041】次に材料ガス供給部から材料ガスAとして
モノシラン(SiH4 )を水銀溜109を介して反応室
105内に導入すると共に、パージガス供給部からパー
ジガスBとしてArガスを反応室1O5内に導入する。
【0042】次に紫外光源102を点灯し、被成膜基板
106の表面に紫外光を照射すると共に、被成膜基板1
06をヒータ107によって加熱する。このとき、被成
膜基板106上での励起光強度分布が、図2に示すよう
に、混合ガスC(材料ガスA,水銀ガス)の上流側(材
料ガス導入側)から下流側(材料ガス排気側)に向かっ
て逓増するように、各電源102の投入電力を決める。
なお、図中、La,Lbはそれぞれ被成膜基板106の
上流側の端,下流側の端を表している。
【0043】このとき、Hg原子は、低圧水銀ランプの
紫外光により励起され、一方、SiH4 分子は、励起水
銀原子との衝突によりエネルギーが与えられ分解され
る。この分解反応式を下記に示す。
【0044】 SiH4 +Hg* →・SiH3 +H+Hg* なお、式中、Hg* は励起水銀を表し、・SiH3 はS
iH3 ラジカルを表している。
【0045】また、上記分解反応過程で生成されたSi
3 ラジカルは、下記の如きのラジカル−ラジカル反応
を起こし、SiH2 ラジカルが生成される。
【0046】 ・SiH3 +・SiH3 →・SiH2 +SiH4 なお、式中、・SiH2 はSiH2 ラジカルを表してい
る。
【0047】被成膜基板106上におけるSiH3 ラジ
カルの量は、励起光強度分布が上流側から下流側に向か
って逓増しているので、上流側から下流側に向かって多
くなる。
【0048】一方、被成膜基板106上におけるSiH
2 ラジカルの量は、SiH3 ラジカルの輸送と共に上記
反応が進むので、上流側から下流側に向かって多くな
る。
【0049】したがって、SiH3 ラジカルに対するS
iH2 ラジカルの比が、被成膜基板106上で一定にな
るので、膜質が均一なシリコン薄膜が得られる。
【0050】図3は、本実施例の光CVD装置を用いて
成膜された水素化アモルファスシリコン膜の特性と、従
来の光CVD装置を用いて成膜された水素化アモルファ
スシリコン膜の特性とを示す特性図である。水素化アモ
ルファスシリコン膜は、HDTV(High Definition Te
levision)用の固体撮像素子の1つであるPSID(Po
toconductor layered Solid-state Imaging Device:光
導電膜積層型固体撮像素子)の光電膜として期待されて
いる。評価した特性は、水素化アモルファスシリコン膜
中の水素原子の結合状態の指標であるSiH2 結合比
(Ca /Cb :a= SiH2 ,b=H)と、積層型固体撮像装置
の残像特性を支配するテイルステート(膜中のバンドエ
ッジ近傍の局在準位)の指標であるアーバッハエネルギ
ー(Eu)とである。また、従来の光CVD装置として
は、図14に示す如きの構成のものを選んだ。即ち、図
15に示すように、被成膜基板106上での励起光強度
分布が略一様なるような紫外光源102aが用いられて
いる。
【0051】図3から本発明の場合には、SiH2 結合
比及びアーバッハエネルギーが基板上で一様になってい
ることが分かる。
【0052】一方、従来の場合には、下流側に向かうほ
どSiH2 結合比及びアーバッハエネルギーが大きくな
っていることが分かる。これは次のように説明される。
【0053】従来の光CVD装置では、本発明と異な
り、被成膜基板106上での励起光強度分布が略一様で
あるので、被成膜基板106上のSiH3 ラジカルの量
はどこでも同じである。
【0054】しかしながら、上流側で生成したSiH3
ラジカルが下流側へ輸送されるに伴い、上述したラジカ
ル反応によりSiH2 ラジカルが生成されるので、被成
膜基板106上のSiH2 ラジカルの量は、下流ほど多
くなる。この結果、上流側と下流側とにおいて各々形成
される水素化アモルファスシリコン膜中の水素原子の結
合状態及びシリコン原子の結合状態が異なる。このた
め、下流側ではSiH2結合が多くシリコン原子の結合
状態の揺らぎが多い水素化アモルファスシリコン膜が形
成され、膜質が不均一になる。
【0055】かくして本実施例によれば、被成膜基板1
06上での励起光強度分布が下流に向かい逓増加してい
るので、SiH3 ラジカルに対するSiH2 ラジカルの
比が被成膜基板106上で一定になるので、膜質が均一
なシリコン膜が得られる。したがって、本実施例のCV
D装置によってPSIDの光導電膜として水素化アモル
ファスシリコン膜を形成すれば、従来の場合のように、
材料ガス流の下流側においては上流側よりも残像特性が
劣化してしまうという問題はない。
【0056】なお、以下の第2〜第24の実施例の説明
について参照する図面において、前出した図の装置等と
対応する部分には前出した図と同一符号を付してあり、
詳細な説明は省略する。
【0057】図4は、本発明の第2の実施例に係る水銀
増感法を用いた層流方式光CVD装置の概略構成図であ
る。
【0058】本実施例の光CVD装置が先の実施例と異
なる点は、複数の紫外光源102の代わりに、光導入窓
104aによって、上流側から下流側に向かって被成膜
基板106上の光の強度を逓増させたことにある。
【0059】即ち、光導入窓104aは、その励起光透
過率の面内分布が、図5(a)に示すように、混合ガス
Cの流れる方向に向かって紫外光透過率が逓増するよう
に、形成されている。
【0060】このように構成された光CVD装置によれ
ば、図5(c)に示すように、紫外光源102の直下の
励起光強度は略一様であるが、図5(b)に示すよう
に、混合ガスCの上流から下流に向かって励起光強度が
逓増するので、先の実施例と同様に、被成膜基板106
上に膜質が一様な膜を形成できる。
【0061】図6は、本発明の第3の実施例に係る水銀
増感法を用いた層流方式光CVD装置の概略構成図であ
る。
【0062】本実施例の光CVD装置が第1の実施例と
異なる点は、複数の紫外光源102の代わりに、パージ
ガス導入ノズルプレート111aによって、上流側から
下流側に向かって被成膜基板106上の光の強度を逓増
させたことにある。
【0063】即ち、パージガス導入ノズルプレート11
1aは、その励起光透過率の面内分布が、図7(a)に
示すように、混合ガスCの流れる方向に向かって紫外光
透過率が逓増するように、形成されている。
【0064】このように構成された光CVD装置によれ
ば、図7(c)に示すように、紫外光源102の直下の
励起光強度は略一様であるが、図7(b)に示すよう
に、混合ガスCの上流から下流に向かって励起光強度が
逓増するので、先の実施例と同様に、被成膜基板106
上に膜質が一様な膜を形成できる。
【0065】図8は、本発明の第4の実施例に係る水銀
増感法を用いた層流方式光CVD装置の概略構成図であ
る。
【0066】本実施例の光CVD装置は、第1の実施例
のそれに第2の水銀溜109を付加した構成になってい
る。
【0067】即ち、パージガスBは、第2の水銀溜10
9内を介して光導入窓104とガス流制御板112とで
囲まれた空間に導入される。この結果、水銀ガスも上記
空間内に導入される。
【0068】このように構成された光CVD装置によれ
ば、紫外光源102から出射した紫外光は、混合ガスC
中の水銀ガスに吸収される前に、上記空間内の水銀ガス
に吸収される。上記空間内に存在する励起された水銀ガ
スの量は、パージガスBが混合ガスCと同様な方向に流
れるので、混合ガスCの上流側に対応する側から混合ガ
スCの下流側に相当する側に向かって多くなる。このた
め、上記空間内における励起水銀ガス量/基底水銀ガス
量の比は、混合ガスCの上流側に対応する側から混合ガ
スCの下流側に相当する側に向かって大きくなる。換言
すれば、上記空間内のおける紫外光の吸収係数は、混合
ガスCの上流側に対応する側から混合ガスCの下流側に
相当する側に向かって小さくなる。
【0069】したがって、図9(a)に示すように、紫
外光源102の直下の励起光強度は略一様であるが、図
9(b)に示すように、混合ガスCの上流から下流に向
かって励起光強度が逓増するので、先の実施例と同様
に、被成膜基板106上に膜質が一様な膜を形成でき
る。
【0070】図10は、本発明の第5の実施例に係る水
銀増感法を用いた層流方式光CVD装置の概略構成図で
ある。
【0071】本実施例の光CVD装置が第1の実施例と
異なる点は、反応室5の上方全体を傾けることによっ
て、パージガス導入ノズルプレート111と被成膜基板
106との距離が、混合ガスCの上流から下流に向かっ
て逓減するようにしたことにある。
【0072】このように構成された光CVD装置によれ
ば、紫外光を吸収する空間長が混合ガスCの上流から下
流に向かって小さくなる。このため、図11(c)に示
すように、紫外光源102の直下の励起光強度は略一様
であるが、図11(a)に示すように、材料ガスを分解
する空間における励起光透過率が混合ガスCの上流から
下流に向かって逓増する。この結果、図11(b)に示
すように、励起光強度は、混合ガスCの上流から下流に
向かって逓増する。また、混合ガスCの下流側ほど、紫
外光による材料ガスであるSiH4 の分解反応が、被成
膜基板106のより近傍において起こるため、その分解
反応による生成物であるSiH3 ラジカルの輸送に伴う
ラジカル−ラジカル反応に起因するSiH2 ラジカルの
生成量が減少し、混合ガスCの下流側ほど、SiH2
ジカルとSiH3 ラジカルとの存在比(SiH2 ラジカ
ル/SiH3 ラジカル)が小さくなる。
【0073】したがって、先の実施例と同様に、被成膜
基板106上に膜質が一様な膜を形成できる。
【0074】図12は、本発明の第6の実施例に係る水
銀増感法を用いた層流方式光CVD装置の概略構成図で
ある。
【0075】本実施例の光CVD装置が第5の実施例と
異なる点は、反応室5の上部全体を傾ける代わりに、ガ
ス流制御板112の下端部だけを傾けることによって、
パージガス導入ノズルプレート111と被成膜基板10
6との距離が、混合ガスCの上流から下流に向かって逓
減するようにしたことにある。
【0076】このように構成された光CVD装置でも、
図13(a)に示すように、材料ガスを分解する空間に
おける励起光透過率が混合ガスCの流れる方向に向かっ
て逓増するので、図7(c)に示すように、紫外光源1
02の直下の励起光強度は略一様であっても、図7
(b)に示すように、混合ガスCの上流から下流に向か
って励起光強度が逓増するので、先の実施例と同様に、
被成膜基板106上に膜質が一様な膜を形成できる。な
お、本実施例では、混合ガスCの上流から下流に向かっ
てガス流制御板112を長くしたがその長さは同じであ
っても良い。
【0077】なお、上記第1〜第6の実施例では、層流
方式の光CVD装置について説明したが、本発明は層流
方式でない光CVD装置にも適用できる。
【0078】また、上記第1〜第6の本実施例では、励
起方法として水銀増感法を用いた光CVD法について説
明したが、本発明は他の励起方法、例えば、Xeランプ
+SiH4 による直接励起法の光CVD法や、低圧水銀
ランプ+Si2 6 による直接励起法の光CVD法にも
適用できる。
【0079】図16は、本発明の第7の実施例に係る光
CVD装置の概略構成図であり、同図(a)は上面から
見た場合の概略構成図で、同図(b)は側面から見た場
合の概略構成図である。
【0080】反応室205の内部には、被成膜基板20
6を載置し、加熱するためのヒータ207が設けられて
いる。被成膜基板206上には、高周波電源215(1
3.56MHz)に接続されたクリーニング放電電極2
14(被着物除去用の放電電極)が設けられている。こ
のクリーニング放電電極214の形状は、輪郭が長方形
で、被成膜基板206を囲む閉曲線状になっている。こ
のため、クリーニング放電電極214が成膜の障害にな
ることはない。なお、反応室205の内壁は接地されて
いる。
【0081】反応室205の上方には、ランプハウス2
01が設けられている。このランプハウス201内には
パージガスとしてのN2 ガスが導入されている。
【0082】また、ランプハウス201内には、紫外光
源202が設けられ、この紫外光源202の上方には、
反射板203が配置されている。紫外光源202から出
射した光は、光導入窓204を介して被成膜基板206
に照射されるか、又は反射板203で反射されて光導入
窓204を介して被成膜基板206に照射されるように
なっている。一方、紫外光源202の下方には、ガス流
制御板212が設けられている。このガス流制御板21
2と光導入窓204との間には、メッシュ型のパージガ
ス導入ノズルプレート211が設けられている。
【0083】反応室205の外部には、一定温度に保た
れている水銀が溜まっている水銀溜209と、SiH4
等の材料ガスが収容された材料ガス供給部(不図示)
と、Arなどの不活性物質からなるパージガスが収容さ
れたパージガス供給部(不図示)と、エッチングガス供
給部(不図示)と、真空排気ポンプからなるガス排気部
208とが設けられている。
【0084】材料ガス供給部から供給された材料ガス
は、水銀溜209,材料ガス導入ノズル210aを介し
て反応室内205に導入される。即ち、材料ガスAと水
銀蒸気とが反応室内105を流れる。
【0085】パージガス供給部から供給されたパージガ
スは、光導入窓204の端部近傍に設けられたパージガ
ス導入ノズル210b,パージガス導入ノズルプレート
211,ガス流制御板212を介して被成膜基板206
の表面に吹きつけられる。パージガス導入ノズルプレー
ト211,ガス流制御板212はパージ効果を高めるた
めに設けられている。この結果、材料ガスと水銀ガスと
の混合ガスが被成膜基板206と平行に流れ、被成膜基
板206の表面近傍に混合ガスの層流が形成され、それ
以外の部分にはパージガスの層流が形成される。
【0086】次に上記の如きに構成された光CVD装置
を用いた水素化アモルファスシリコン薄膜の形成方法に
ついて述べる。
【0087】先ず、ガス排気部208により反応室20
5内のガスを排気して減圧する。
【0088】次に材料ガス供給部から材料ガスとしての
SiH4 ガスを水銀溜209を介して反応室205内に
導入すると共に、パージガス供給部からパージガスとし
てArガスを反応室2O5内に導入する。
【0089】次に紫外光源202を点灯し、被成膜基板
206の表面に紫外光を照射すると共に、被成膜基板2
06をヒータ207によって230℃に加熱する。
【0090】このとき、Hg原子は、低圧水銀ランプの
紫外光により励起され、一方、SiH4 は、励起水銀原
子との衝突によりエネルギーが与えられ分解され、成膜
種であるSiH3 ラジカルが生成される。このようにし
て生成されたSiH3 ラジカルが被成膜基板206上に
附着して水素化アモルファスシリコン薄膜が形成され
る。
【0091】次に上記成膜の際に反応室205の内部に
被着した水素化アモルファスシリコン薄膜の除去方法に
ついて述べる。
【0092】まず、反応室205から被成膜基板206
を取り出し、次いで反応室205内に、エッチングガス
として、例えば、SF6 ガスを100[SCCM]ほど
導入する。このエッチングガスは材料ガスの場合と同様
に材料ガス導入ノズル210aから導入する。このと
き、光導入窓204のエッチングや腐食を防止するため
に、パージガスとして、例えば、Arガスを4.5[S
LM]ほど、薄膜形成時と同様にパージガス導入ノズル
210bから導入する。また、ガス排気部208によっ
て反応室205内の圧力を0.5[Torr]に減圧
し、ヒ−タ207の温度を薄膜形成時と同程度のレベル
に設定する。そして、高周波電源215によって、例え
ば、200[W]のRFパワ−をクリーニング放電電極
214に与える。この結果、クリーニング放電電極21
4と反応室206の内壁との間でプラズマ放電領域が形
成され、エッチングガスであるSF6 ガスが分解されて
エッチング種であるFラジカルが生成される。このFラ
ジカルによって反応室205の内部に被着した水素化ア
モルファスシリコン薄膜がエッチング除去される。
【0093】図18にはエッチング速度の測定結果が示
されている。図中、曲線251が本実施例の測定結果を
示しており、曲線250は従来の光CVD装置の測定結
果である。同図(a)はエッチングガスの流れ方向にお
けるエッチング速度を表し、同図(b)はエッチングガ
スの流れと直交する方向におけるエッチング速度を表し
ている。
【0094】従来の光CVD装置は、図19に示すよう
な構成になっている。即ち、長手方向が材料ガスの流れ
に平行なクリーニング放電電極214が、被成膜基板2
06の両外側にそれぞれ一本ずつ配置されている構成に
なっている。
【0095】図18から従来の光CVD装置の場合、材
料ガス導入ノズル210aから排気口213に向かって
急激にエッチング速度が低下し、また、被成膜基板20
6上の中央部でもエッチング速度が大きく低下している
ことが分かる。
【0096】一方、本実施例の光CVD装置の場合に
は、材料ガス導入ノズル210aから排気口213に向
かってエッチング速度がある程度は低下するが、急激に
大きく低下することない。また、被成膜基板206上の
中央部でのエッチング速度の低下も低く抑えられてい
る。
【0097】このような測定結果が得られたのは次のよ
うに考えられる。
【0098】プラズマ放電領域が形成される領域は主と
してクリーニング放電電極214の周りである。このた
め、従来の光CVD装置の場合には、材料ガス導入ノズ
ル210a,排気口213の近傍のプラズマ放電領域は
狭い。また、材料ガス導入ノズル210aに近いほど多
くのエッチングガスが存在する。したがって、材料ガス
導入ノズル210aから排気口213に向かってラジカ
ルが減少し、これに伴ってエッチング速度も低下する。
また、被成膜基板206上の中央部に向かうほどクリー
ニング放電電極214から離れるので、中央部に向かっ
てエッチング速度が低下する。
【0099】したがって、このようなエッチング速度の
低い領域216の存在によって、除去効率が律速され、
スル−プットが低下してしまう。このエッチング速度の
低下は、ラジカルの輸送が主として反応室205内での
濃度差による自己拡散によって生じるからである。
【0100】一方、本実施例の場合、クリーニング放電
電極214の形状が被成膜基板206を囲む閉曲線状な
ので、材料ガス導入ノズル210a,排気口213の近
傍の近傍にも広いプラズマ放電領域が形成される。ま
た、材料ガス導入ノズル210aの近傍のプラズマ放電
領域で生成されたラジカルが、エッチングガスの流れよ
って、被成膜基板206上の中央部、排気口213に輸
送される。
【0101】即ち、反応室205内のプラズマ放電領域
が広くなると共に、自己拡散によるラジカルの輸送の他
に、エッチングガスの流れによるラジカルの輸送も起こ
る。この結果、反応室205内をラジカルで効率良く満
たすことができ、上述したような測定結果が得られる。
【0102】また、パージガスによってエッチングガス
が被成膜基板206側に押し付けられるので、被着物の
エッチングの際に光導入窓204がエッチングされるこ
とはない。このため、光導入窓204の光透過率の低下
による成膜速度の低下を防止できる。
【0103】したがって、本実施例によれば、除去効率
が改善され、また、成膜速度の低下を防止でき、もって
スル−プットの向上が図れる。
【0104】図17は、本発明の第8の実施例に係る光
CVD装置の概略構成図であり、同図(a)は上面から
見た場合の概略構成図で、同図(b)は側面から見た場
合の概略構成図である。
【0105】本実施例の光CVD装置が先の実施例と異
なる点は、クリーニング放電電極214aの形状にあ
る。
【0106】即ち、クリーニング放電電極214aは、
先の実施例のクリーニング放電電極214の内部に格子
状の電極224を付加した構成になっている。
【0107】このようなクリーニング放電電極214a
を用いると、先の実施例において存在していたエッチン
グ速度の低い領域でもラジカルが生成される。この結
果、エッチング速度は図18の曲線252に示すように
なる。即ち、反応室205内でのエッチング速度が略均
一になる。
【0108】したがって、クリーニング時間が大幅に短
縮され、更に、スル−プットが改善される。
【0109】なお、上記第7,第8の実施例では、エッ
チングガスとしてSF6 ガスを使用したが、その代わり
にSF6 ガスとO2 ガスとの混合ガスを用いても良い。
この場合、被着物の除去工程の際に発生するS化合物を
効果的に取り除くことができる。その他のエッチングガ
スとしては、例えば、NF3 ,F2 ,CF4 等のF系ガ
スや、この種のF系ガスと他のガスとの混合ガスを用い
ても良い。
【0110】また、上記第7,第8の本実施例では、水
銀増感光法を利用した光CVD装置について説明した
が、本発明は材料ガスとしてS2 6 ガスを用いた直接
励起法などを利用した光CVD装置にも適用できる。
【0111】また、上記6,第7の本実施例では、水素
化アモルファスシリコン薄膜の成膜の場合について説明
したが、本発明は、その他の薄膜、例えば、窒化シリコ
ン膜、炭素化シリコン膜、シリコンゲルマニウム膜等の
シリコン化合物薄膜や、更に、Ta2 5 ,Ti,W,
Moを含む化合物などのシリコン以外の薄膜の成膜にも
適用できる。
【0112】図20は、本発明の第9の層流方式光CV
D装置の概略構成図である。
【0113】反応室304の内部には、被成膜基板30
5が収容されている。この被成膜基板305は、ヒータ
306によって加熱されるようになっている。この反応
室304の上方には、ランプハウス315が設けられて
いる。このランプハウス315内には、単一の低圧水銀
ランプからなる紫外光源301が収められている。この
紫外光源301は電源313に接続され、そのサイズは
被成膜基板305のそれよりもほんのひとまわり大き
い。また、N2 ガスがランプハウス315の一端から導
入されている。
【0114】紫外光源301の上方には、反射板314
が配置されている。一方、紫外光源301の下方には、
光導入窓302,光透過型ノズル303,ガス流制御板
310が順次配置されている。これら光導入窓302,
光透過型ノズル303,ガス流制御板310は紫外光を
透過する材料、例えば、合成石英で構成されている。ま
た、光透過型ノズル303は、そのノズル面内におい
て、中央部の紫外光透過率が周辺部のそれより小さくな
っている。このような紫外光透過率の分布は、光透過型
ノズル303を形成するときに金属スパータやレジスト
塗布など薄膜をコートすることで得られる。
【0115】紫外光源301から出射した紫外光は、直
接、光導入窓302,光透過型ノズル303,ガス流制
御板310を介して被成膜基板305に照射されるか、
又は反射板314で反射されて光導入窓302,光透過
型ノズル303,ガス流制御板310を介して被成膜基
板305に照射されるようになっている。
【0116】反応室304の外部には、一定温度に保た
れている水銀が溜まっている水銀溜311と、SiH4
等の材料ガスが収容された材料ガス供給部(不図示)
と、層流を形成するためのパージガスとしてのArなど
の不活性物質が収容されたパージガス供給部(不図示)
と、メカニカルブースターポンプ等で構成されたガス排
気部307が設けられている。
【0117】材料ガス供給部から供給された材料ガス3
12は、水銀溜311,材料ガス導入ノズル308aを
介して反応室内304に導入される。即ち、材料ガス3
12と水銀ガスとが反応室304内を流れる。
【0118】また、光導入窓302の端部下部にはパー
ジガス導入ノズル308bが設けられており、このパー
ジガス導入ノズル308及びパージガス供給部によっ
て、光導入窓302と光透過型ノズル303との間の空
間にパージガス309が供給され、このパージガス30
9は、光透過型ノズル303,ガス流制御板310を介
して被成膜基板305に吹きつけられる。この結果、材
料ガス312と水銀ガスとの混合ガスが被成膜基板30
5と平行に流れ、被成膜基板303の表面近傍に混合ガ
スの層流が形成され、それ以外の部分にはパージガス3
09の層流が形成される。
【0119】次にこのように構成された光CVD装置を
用いたシリコン薄膜の形成方法について述べる。
【0120】先ず、ガス排気部307により反応室30
4内のガスを排気して例えば0.2Torr以下に減圧
すると共に、ヒ−タ306によって被成膜基板305を
200〜300℃程度に加熱する。
【0121】キャリア用のArガスで希釈された材料ガ
ス312としてのSiH4 ガスを、水銀溜311,材料
ガス導入ノズル308aを介して総流量0.5SLM以
上の条件で反応室304内に導入する。また、パージガ
ス導入ノズル308bからパージガス309として流量
4.0SLM以上のArガスを導入して、光導入窓30
2と光透過型ノズル303との間の空間に供給する。こ
のパージガス309は、光透過型ノズル303,ガス流
制御板310によって均一化されて被成膜基板305の
表面に吹き付けられる。
【0122】そして、被成膜基板305上における紫外
線照度が波長254nmで15mW/cm2 になるべく
紫外光源301を設定し、被成膜基板305の表面に紫
外光を照射する。この照度条件によって成膜速度が15
nm/minとなる。この結果、Hg原子は、低圧水銀
ランプの紫外光により励起され、一方、SiH4 ガス
は、励起水銀原子との衝突によりエネルギーが与えられ
分解され、成膜種であるSiH3 ラジカルが生成され
る。このようにして生成されたSiH3 ラジカルが被成
膜基板305上に附着してアモルファスシリコン薄膜が
形成される。
【0123】このようにして得られたアモルファスシリ
コン薄膜を調べたところ、従来の光CVD装置を用いた
場合と異なり、その膜厚は被成膜基板305上で一定で
あった。
【0124】これは次のように説明される。従来の光C
VD装置の場合、光透過型ノズルの紫外光透過率が一定
であるため、図21の曲線351に示すように、基板周
辺部における紫外光の照度は、基板中央部における紫外
光の照度に比べて5%ほど低くなる。したがって、基板
周辺部の膜成膜度は基板中央部のそれより遅くなり、膜
厚が不均一になる。
【0125】一方、本実施例の場合、光透過型ノズル3
03は、被成膜基板305の中央部で紫外光透過率が小
さくなるように形成されているので、図21の曲線35
2に示すよう、被成膜基板305上での紫外光の照度が
一定なる。したがって、被成膜基板305上での成膜速
度が一定になり、膜厚が均一なる。
【0126】かくして本実施例によれば、紫外光源30
1の強度分布が不均一であっても、この強度分布に対応
した紫外光透過率分布を有する光透過型ノズル303を
用いることで、被成膜基板305上での紫外光の照度を
均一にでき、もって、膜厚が一様なシリコン薄膜が得ら
れる。なお、本実施例では、単一の低圧水銀ランプから
なる紫外光源301について説明したが、本発明は、低
圧水銀ランプが複数の場合にも適用できる。
【0127】図22は、本発明の第10の実施例に係る
層流方式光CVD装置の概略構成図である。
【0128】反応室405の内部には、被成膜基板40
6が収容されている。この被成膜基板406は、ヒータ
407によって加熱されるようになっている。この反応
室405の上方には、ランプハウス414が設けられて
いる。このランプハウス414内には、低圧水銀ランプ
等の紫外光源401が収められている。また、ランプハ
ウス414の一端からN2 ガスが導入され、他端から排
気されている。
【0129】紫外光源401の下方には、光導入窓40
2,光透過型ノズル404,ガス流制御板411が順次
配置されている。これら光導入窓402,光透過型ノズ
ル404,ガス流制御板411は紫外光を透過する材
料、例えば、合成石英で構成されている。また、光透過
型ノズル404は、パージガス410の吹き出し量が反
応室405内の材料ガスの流れ方向に向かって多くなる
ように形成されている。具体的には、図23(a)に示
すように、材料ガスの流れ方向に向かって、換言すれ
ば、排気口415に近づくに従いノズルの開口面積を大
きくしたり、又は図23(b)に示すように、材料ガス
の流れ方向に向かって、ノズル数の密度を高くすれば良
い。紫外光源401から出射した紫外光は、光導入窓4
02,光透過型ノズル404,ガス流制御板411を介
して被成膜基板406に照射される。
【0130】反応室405の外部には、一定温度に保た
れている水銀が溜まっている水銀溜412と、SiH4
等の材料ガスが収容された材料ガス供給部(不図示)
と、層流を形成するためのパージガスとしてのArなど
の不活性物質が収容されたパージガス供給部(不図示)
と、メカニカルブースターポンプ等で構成されたガス排
気部408が設けられている。
【0131】材料ガス供給部から供給された材料ガスは
キャリアガスと伴に水銀溜411,材料ガス導入ノズル
409aを介して反応室内405に導入される。
【0132】また、光導入窓402の端部下部にはパー
ジガス導入ノズル409bが設けられており、このパー
ジガス導入ノズル409b及びパージガス供給部によっ
て、光導入窓402と光透過型ノズル404との間の空
間、つまり、パージ室403にパージガス410が供給
され、このパージガス410は、光透過型ノズル40
4,ガス流制御板411を介して被成膜基板406の表
面に吹きつけられる。この結果、材料ガスと水銀ガス等
からなる混合ガスが被成膜基板406と平行に流れ、被
成膜基板406の表面近傍に混合ガスの層流が形成さ
れ、それ以外の部分にはパージガス410の層流が形成
される。
【0133】次にこのように構成された光CVD装置を
用いた水素化シリコン薄膜の形成方法について述べる。
【0134】先ず、ガス排気部408により反応室40
5内のガスを排気して例えば0.2Torr以下に減圧
すると共に、ヒ−タ407によって被成膜基板406を
200〜300℃程度に加熱する。
【0135】キャリア用のArガスで希釈された材料ガ
スとしてSiH4 ガスを、水銀溜412,材料ガス導入
ノズル409aを介して総流量0.5SLM以上の条件
で反応室405内に導入する。また、パージガス導入ノ
ズル409bからパージガス410として流量4.0S
LM以上のArガスを導入して、パージ室403にパー
ジガス410を供給する。このパージガス410は、光
透過型ノズル404によって、下流側での流量が多くな
るように調整されて被成膜基板406の表面に吹き付け
られる。
【0136】そして、被成膜基板406上における紫外
線照度が波長254nmで15mW/cm2 になるべく
紫外光源401を設定し、被成膜基板406の表面に紫
外光を照射する。この結果、Hg原子は、紫外光源40
1の紫外光により励起され、一方、SiH4 ガスは、励
起水銀原子との衝突によりエネルギーが与えられ分解さ
れ、成膜種であるSiH3 ラジカルが生成される。この
ようにして生成されたSiH3 ラジカルが被成膜基板4
06上に附着し、15nm/minの成膜速度で水素化
アモルファスシリコン薄膜が形成される。
【0137】このようにして得られたアモルファスシリ
コン薄膜を調べたところ、従来の光CVD装置を用いた
場合と異なり、その膜厚は被成膜基板406上で一定で
あった。
【0138】これは次のように説明される。従来の光C
VD装置の場合、光透過型ノズルのガス吹き出し量が一
定である。即ち、被成膜基板406の表面に吹き付けら
れるパージガス410の量は基板面内で一定である。ま
た、下流側には上流側のパージガス410や材料ガス等
が流れ込むので、下流側の基板上の全ガス量は上流側の
それより多い。このため、下流側で生成された成膜種で
あるSiH3 ラジカルを被成膜基板406側に押さえ付
けられなくなったり、SiH3 ラジカルが被成膜基板4
06から離れたところを流れる。したがって、上流側と
下流側とでは被成膜基板406の表面におけるSiH3
ラジカル濃度が異なり、膜質が不均一になる。
【0139】一方、本実施例の場合、光透過型ノズル4
04のガス吹き出し量が、下流側に向かって多くなるの
で、被成膜基板406の表面におけるSiH3 ラジカル
濃度が場所によらず一定になる。したがって、膜質が均
一な水素化アモルファスシリコン膜を形成できる。
【0140】図24は、本発明の第11の実施例に係る
層流方式光CVD装置の概略構成図である。
【0141】本実施例の層流方式光CVD装置が先の実
施例のそれと異なる点は、パージ室403を仕切板41
6によって分割すると共に、分割された各パージ室40
3に導入するパージガスの流量を調整するための流量調
整手段を設けたことにある。流量調整手段としては、例
えば、各パージ室403とパージガス導入ノズル409
bとの間にバルブを設けて、バルブの開閉によって流量
を調整すれば良い。他の方法としては、下流に近いほど
容積が大きくなるようにパージ室403を仕切板416
で仕切れば良い。仕切板416の材料としては、紫外光
に対して透明な石英等を用いる。
【0142】このように構成された層流方式光CVD装
置によれば、流量調整手段によって下流に近いパージ室
403により多量のパージガス410を導入することが
できる。したがって、先の実施例と同様に光透過型ノズ
ル404のガス吹き出し量を下流側に向かって大きくす
ることができ、膜質が均一な水素化アモルファスシリコ
ン膜を形成できる。
【0143】次に本発明の第12の実施例に係る層流方
式光CVD装置について説明する。本実施例の層流方式
光CVD装置が第10の実施例のそれと異なる点は、第
10の実施例で説明したように、パージ室を仕切板によ
って同容積の複数のパージ室に分割すると共に、下流に
近いパージ室には質量が大きい物質、例えば、Arをパ
ージガスとして用い、上流に近いパージ室には質量が小
さい物質、例えば、Heをパージガスとして用いる。
【0144】このように構成された層流方式光CVD装
置でも、下流側の被成膜基板上の全ガス量が上流側のそ
れより多くても、下流側で生成された成膜種であるSi
3ラジカルが被成膜基板側に押さえ付けられるので、
膜質が均一な水素化アモルファスシリコン膜を形成でき
る。
【0145】なお、上記第10〜第12の実施例では、
アモルファスシリコンの成膜の場合について説明した
が、本発明は、アモルファスシリコンカ−バイト,アモ
ルファスシリコンナイトライド等の成膜にも適用でき
る。
【0146】図25は、本発明の第12の実施例に係る
光CVD装置の概略構成図である。反応室501の内部
には、被成膜基板507が収容されている。この被成膜
基板507は、ヒータ(不図示)によって加熱されるよ
うになっている。また、被成膜基板507上には、高周
波電源(不図示)に接続されたクリーニング放電電極5
10(被着物除去用の放電電極)が設けられている。
【0147】反応室501の上部には、ランプハウス5
11が設けられ、その内部には、低圧水銀ランプ等の紫
外光源505が設けられている。
【0148】紫外光源505から出射した紫外光は、石
英等からなる光導入窓506を介して被成膜基板507
に照射されるようになっている。この光導入窓506と
クリーニング放電電極510との間にはアルミニウムか
らなる遮蔽版512が設けられている。なお、遮蔽版5
12の端部513は、クリーニング放電電極510の下
部端部517と光導入窓506の端部514とを結ぶ直
線(図中の点線L1 )の下まで延びていることが望まし
い。同様に、遮蔽版512の端部515は、クリーニン
グ放電電極510の上部端部518と光導入窓506の
端部516とを結ぶ線(図中の点線L2 )の上まで延び
ていることが望ましい。
【0149】反応室501の外部には、材料ガス供給部
(不図示)と、エッチングガス供給部(不図示)と、ガ
ス排気部502とが設けられている。このガス排気部5
02は真空排気ポンプ等で構成されている。
【0150】次にこのように構成された光CVD装置を
用いたアモルファスシリコン薄膜の形成方法について述
べる。
【0151】先ず、ガス排気部502により反応室50
1内のガスを排気して減圧する。
【0152】次に材料ガス供給部からの材料ガス503
を材料ガス導入ノズル504aを介して反応室501内
に導入する。材料ガス503としては、例えば、流量1
00SCCMのSiH4 を用いる。このとき、温度85
℃のHg蒸気も同時に導入する。そして、紫外光源50
5を点灯し、ヒータによって230℃に加熱された被成
膜基板507の表面に紫外光を照射する。この結果、H
g原子は、低圧水銀ランプの紫外光により励起され、一
方、SiH4 ガスは、励起水銀原子との衝突によりエネ
ルギーが与えられ分解され、成膜種であるSiH3 ラジ
カルが生成される。このようにして生成されたSiH3
ラジカルが被成膜基板507上に附着してアモルファス
シリコン薄膜508が形成される。
【0153】次に反応室205の内部に被着したアモル
ファスシリコン薄膜508aの除去方法について述べ
る。
【0154】まず、反応室501内から被成膜基板50
7を取り出し、次いでガス排気部502により反応室5
01内のガスを排気し、例えば、0.2Torrまで減
圧する。次にエッチングガス509として、例えば、流
量100SCCMのSF6 ガスをエッチングガス導入ノ
ズル504bを介して反応室501内に導入する。この
後、高周波電源よりクリーニング放電電極510にRF
電圧を印加してRF放電(200W)を行なう。これに
よって、SF6 ガスが分解され、Fラジカルが生成され
る。そして、このFラジカルによって、反応室501の
内部に被着したアモルファスシリコン薄膜508aがエ
ッチング除去される。
【0155】このとき、クリーニング放電電極510の
表面がスパッタされ、スパッタ原子511が発生する。
しかしながら、光導入窓506とクリーニング放電電極
510との間に設けられた遮蔽版512により、スパッ
タ原子511は光導入窓506に達することなく遮蔽版
512で遮られる。
【0156】したがって、光導入窓506にスパッタ原
子が被着することによる、光導入窓506の光透過率の
低下を防止できる。
【0157】なお、本実施例では、遮蔽板512の材料
としてアルミニウムを用いたが、その代わりに石英,ス
テンレス或いは反応室501の内壁材料と同じ材質のも
のを用いても良い。また、本実施例では、固定の遮蔽板
512について説明したが、移動可能な遮蔽板512を
用いても良い。また、本発明は、層流方式の光CVD装
置を含む種々の光CVD装置に適用できる。
【0158】図26は、本発明の第13の実施例に係る
水銀増感光CVD装置の概略構成図である。
【0159】反応室605の下部には、排気口613が
設けられている。この排気口613の回りには、ヒ−タ
107が設けられており、その上に4枚の被成膜基板6
06が載置されている。一方、反応室605の上部に
は、N2 ガスでパージされたランプハウス601が設け
られている。このランプハウス601内には、低圧水銀
ランプからなる紫外光源602が設けられ、この紫外光
源602には電源614に接続されている。
【0160】紫外光源602から出射した紫外光は、石
英等からなる光導入窓604を介して被成膜基板606
に照射されるようになっている。
【0161】反応室605の外部には、一定温度に保た
れている水銀が溜まっている水銀溜609と、SiH4
等の材料ガスが収容された材料ガス供給部(不図示)
と、真空排気ポンプからなるガス排気部608とが設け
られている。
【0162】材料ガス供給部からの材料ガスは、水銀溜
609,材料ガス導入ノズル610aを介して反応室内
605に導入される。この材料ガス導入ノズル610a
は、図27に示すように、リング状に形成されている。
材料ガスは、材料ガス導入ノズル610aの内側に周方
向に形成された円状のスリットを介して、図27中の点
線矢印で示すように、被成膜基板606から排気口61
3の方向に向かって、被成膜基板606に対して等方的
に導入される。なお、スリットは連続的でなくても良
く、要は実質的に被成膜基板606に対して等方的に材
料ガスを導入できれば良い。
【0163】次にこのように構成された光CVD装置を
用いたアモルファスシリコン薄膜の形成方法について述
べる。
【0164】先ず、ガス排気部608により反応室60
5内のガスを排気して減圧する。
【0165】次に材料ガス供給部から材料ガスとしてS
iH4 を水銀溜609を介して反応室605内に導入す
ると共に、紫外光源602を点灯し、被成膜基板106
の表面に紫外光を照射する。このとき、被成膜基板60
6はヒータ607によって加熱されている。
【0166】この結果、Hg原子は、紫外光源602の
紫外光により励起され、一方、SiH4 ガスは、励起水
銀原子との衝突によりエネルギーが与えられ分解され、
成膜種であるSiH3 ラジカルが生成される。このよう
な水銀増感反応によって生成されたSiH3 ラジカルが
被成膜基板606の表面に附着し、水素化アモルファス
シリコン薄膜が形成される。このとき、被成膜基板60
6上を通過した材料ガスは、反応室605の中央部に設
けられた排気口613を介して反応室605の外に排気
されるので、材料ガスの輸送距離は1枚の被成膜基板6
06の直径程度である。
【0167】本実施例によれば、材料ガスの上流側、つ
まり、反応室605の周辺部において生成された成膜種
であるSiH3 ラジカルは、被成膜基板606上を反応
室605の中央部の排気口613に向かって通過するこ
とになる。このため、図48に示す従来の光CVD装
置、即ち、反応室605の両端部にそれぞれ材料ガス導
入ノズル610a,排気口613が設けられた構成のも
のと比較して、材料ガスの輸送距離が短縮される。これ
により、本実施例の光CVD装置は、図48に示す従来
のCVD装置における課題であった材料ガスの下流側に
おける2次成膜種であるSiH2 ラジカルの増加に伴う
膜特性の劣化の影響を受け難い。
【0168】また、本実施例では、材料ガスの流れが反
応室605の中央部の排気口613に向かう逆放射状b
であるため、材料ガスの分布密度が排気口613からの
距離の自乗に比例して減少する。したがって、反応室6
05内の周辺部における材料ガス供給速度が、反応室6
05の中央部のそれより速くなり、従来のCVD装置に
おける課題であった材料ガスの下流側における成膜速度
の低下を防止することができる。
【0169】図28はそのことを示す図であり、同図
(a)には基板位置とSiH4 との関係、基板位置とS
iH3 ラジカル(SiH3 * )のガス濃度と関係、基板
位置とSiH2 ラジカル(SiH2 * )のガス濃度と関
係が示されており、同図(b)には基板位置と成膜温度
との関係が示されており、同図(c)にはSiH2 結合
比(Ca /Cb : a= SiH2 ,b=H)が示されている。な
お、縦軸は任意単位である。
【0170】この図から本発明の場合には、各ガス濃
度,成膜速度,SiH2 結合比が基板位置によらず一
定、換言すれば、基板面内で一定になるのに対し、従来
の場合には、材料ガスの上流と下流とでは異なる値にな
っていることが分かる。
【0171】かくして本実施例によれば、上流側での材
料ガスの消費によって、下流側での成膜が材料ガスの供
給律速となって、下流側での成膜速度が低下するといっ
たことがないので、複数枚の被成膜基板、つまり、被成
膜基板群に対する薄膜形成であっても、膜厚及び膜特性
が均一な薄膜を形成することができる。
【0172】図29は、本発明の第14の実施例に係る
水銀増感光CVD装置の概略構成図である。
【0173】本実施例の水銀増感光CVD装置の特徴
は、図30に示すように、紫外光源602aの長軸(延
在)方向が排気口613を中心とする円周方向に一致し
ていることにある。
【0174】即ち、被成膜基板606上に実質的に複数
のトーラス状の紫外光源が同心的に設けられていること
にある。
【0175】このような紫外光源602aを用いると、
反応室605内の励起光強度分布は、図31(b)に示
すように、排気口613を中心とする円周方向では均一
であるが、図31(a)に示すように、排気口613を
中心とする円の半径方向、つまり、材料ガスの流れ方向
においては周期的に変動するような分布となる。
【0176】しかしながら、励起光強度の変動は、上述
したように、材料ガスの流れ方向に沿った方向に存在す
るので、実際には、励起光強度は、材料ガスの分解によ
り生成された成膜種の輸送によって平均化される。
【0177】したがって、複数の被成膜基板606を用
いても、被成膜基板606上での実効的な励起光強度が
一様になるので、先の実施例と同様に、被成膜基板60
6上で均一な薄膜形成を行なえる。
【0178】なお、励起光強度をより均一にするには、
被成膜基板606を基板内に中心点をもつ回転運動、い
わゆる自転させれば良い。例えば、図34に示すよう
に、ヒ−タ607をモ−タ620によって回転させれば
良い。
【0179】図32は、本発明の第15の実施例に係る
水銀増感光CVD装置の概略構成図である。
【0180】本実施例の水銀増感光CVD装置の特徴
は、図33に示すように、紫外光源602bの長軸(延
在)方向が排気口613を中心とする半径方向に一致し
ていると共に、図示しない機構によって全ての被成膜基
板606が排気口613の近傍に中心を持つ円周方向の
回転運動、いわゆる公転されることにある。
【0181】このような紫外光源602bを用いると、
反応室605内の励起光強度分布は、図36(a)に示
すように、排気口613を中心とする円の半径方向にお
いては、紫外光源602の直下では均一な分布である
が、紫外光源602間では中央から反応室周辺に向かっ
て逓減する分布となる。一方、排気口613を中心とす
る円周方向では周期的に変動する分布となる。
【0182】しかしながら、被成膜基板606は、排気
口613の近傍に中心を持つ円周方向に公転運動するの
で、半径方向の励起光強度分布は、紫外光源602の直
下,紫外光源602間にかかわらず、中央から反応室周
辺に向かって逓減するような分布となり、一方、円周方
向の励起光強度分布は、均一化される。このため、第1
〜第6の実施例と同様な効果が得られる。
【0183】即ち、材料ガスの流れ方向に沿って上流側
から下流側へと被成膜基板606上での励起光強度が逓
増する励起光強度分布形状により、下流側での材料ガス
の分解反応である成膜種生成反応が促進される結果、1
次成膜種であるSiH3 ラジカル量が相対的に増加し、
下流側における2次成膜種であるSiH2 ラジカルの増
加が相殺されると共に、上流側での材料ガスの消費に起
因する下流側での成膜速度の低下も抑制される。したが
って、被成膜基板群上に膜質が均一な薄膜を形成でき
る。
【0184】なお、先の実施例と同様に、被成膜基板6
06を基板面内を中心とした回転運動、つまり、自転運
動させることによってより均一な薄膜形成が可能とな
る。
【0185】図37は、本発明の第16の実施例に係る
水銀増感光CVD装置の概略構成図である。
【0186】本実施例の水銀増感光CVD装置が先の実
施例と異なる点は、図38に示すように、ランプハウス
601内の紫外光源602間の空間に、基板加熱のため
の熱線光源603が設けられていることにある。熱線光
源603としては、例えば、赤外光ランプを用いる。
【0187】このように構成された水銀増感光CVD装
置によれば、先の実施例のヒ−タ607が不要になり、
前述した被成膜基板606を回転するための回転機構を
簡略化できる。
【0188】また、複数の熱線光源603の光強度分布
は、被成膜基板606が上記回転機構により回転運動さ
れるので平均化される。このため、従来のCVD装置で
は完全には回避できなかった基板加熱用のヒータ間の温
度分布に起因する被成膜基板間の温度差を完全に平均化
できる。したがって、被成膜基板面内だけではなく、被
成膜基板間においても均一な薄膜形成が可能となる。な
お、本実施例においても、先の実施例と同様に、被成膜
基板606を基板面内を中心とした回転運動、つまり、
自転運動させることによってより均一な薄膜形成が可能
となる。
【0189】図39は、本発明の第17の実施例に係る
水銀増感光CVD装置の概略構成図である。
【0190】本実施例の水銀増感光CVD装置が先の実
施例と異なる点は、被成膜基板606が絶縁体からなる
基板支持部617によって支持されていることにある。
【0191】このように構成された水銀増感光CVD装
置によれば、図40に示す熱線光源603によって基板
加熱を効率良く行なえると共に、外界の温度変動があっ
ても基板支持部617によって基板面内及び基板間の温
度不均一性を抑制できる。したがって、より均一な薄膜
形成が可能となる。なお、本実施例においても、先の実
施例と同様に、被成膜基板606を基板面内を中心とし
た回転運動、つまり、自転運動させることによってより
均一な薄膜形成が可能となる。
【0192】図41は、本発明の第18の実施例に係る
水銀増感光CVD装置の概略構成図であり、図42は、
被成膜基板606の配置を示す図である。
【0193】本実施例の水銀増感光CVD装置が第14
の実施例と異なる点は、反応室605の上方の中央部に
排気口613が設けられていることにある。
【0194】このように構成された水銀増感光CVD装
置によれば、反応室605の底部が平坦化されるので、
上記回転機構をより簡略化できる。
【0195】また、複数の被成膜基板606をヒ−タ6
07上に載置する代わりに、図43,図44に示すよう
に、大型フラットパネルディスプレイ基板等の大面積の
長方形の被成膜基板606aを載置すれば、材料ガスの
輸送距離が被成膜基板606aの対角線長の1/2程度
になるので、膜質や膜厚が均一な薄膜を容易に形成でき
るようになる。
【0196】なお、排気口613の材料として、励起光
透過性材料、例えば、紫外光源602が低圧水銀ランプ
の場合には、合成石英により形成することで、排気口6
13に対向する位置での被成膜基板606,606a上
における励起光強度の低下を抑制でき、より均一な薄膜
形成が可能となる。
【0197】図45は、本発明の第19の実施例に係る
水銀増感光CVD装置の概略構成図である。
【0198】本実施例の水銀増感光CVD装置は、第1
4の実施例のそれを層流方式化した構成になっている。
【0199】即ち、図26の水銀増感光CVD装置に、
反応室605と光導入窓604との間に設けられたパー
ジ室615と、このパージ室615内にArガス等の非
成膜性ガスからなるパージガスを導入するためのパージ
ガス導入ノズル610bと、パージ室615と反応室6
05とを仕切ると共に、被成膜基板606上におけるパ
ージガスの流量を均一化するためのパージガス導入ノズ
ルプレート611と、反応室605内でのパージガスと
材料ガスの流れを制流するためのガス流制御板612と
が付加された構成になっている。なお、パージガス導入
ノズル610bは、材料ガス導入ノズル610aと同様
な構成になっている。
【0200】このように構成された光CVD装置でも、
先の実施例と同様な効果が得られるのは勿論のこと、層
流型CVD装置の特徴の1つである非成膜性ガスパージ
による材料ガスの層流化によって、長時間の連続成膜に
よるμmオーダーの厚膜形成或いは連続薄膜形成が可能
になる共に、従来の層流型CVD装置では、その反応室
内における薄膜形成特性分布のために不可能であった多
数基板への同時薄膜形成が可能になり、更に、大面積基
板への薄膜形成を均一に行なえる。
【0201】図46は、本発明の第20の実施例に係る
水銀増感光CVD装置の概略構成図である。
【0202】本実施例の水銀増感光CVD装置は、図4
1の光CVD装置を層流方式化した構成になっている。
【0203】このように構成された水銀増感光CVD装
置でも、第20の実施例のそれと同様な効果が得られる
のは勿論のことを、反応室605の底部が平坦化される
ので装置全体の簡略化が容易になる。
【0204】また、図47に示すように、大面積の長方
形の被成膜基板606aを載置すれば、材料ガスの輸送
距離が被成膜基板606aの対角線長の1/2程度にな
るので、膜質や膜厚が均一な薄膜を容易に形成できるよ
うになる。
【0205】なお、上記第14〜第20の実施例では、
水銀増感法を用いたCVD装置について説明したが、本
発明は、材料ガスを直接光励起する直接励起法を用いた
CVD装置にも適用できる。
【0206】図49は、本発明の第21の実施例に係る
光CVD装置の概略構成図である。図中、701は反応
室で、この反応室701の下方には、例えば、半導体基
板等からなる被成膜基板702を載置した基板ホルダー
703が収容されており、この基板ホルダー703の下
部には、被成膜基板702を加熱するためのヒーター7
04が設けられている。また、材料ガスやエッチングガ
ス等のガス707がガス導入部706を介して反応室7
01内に導入され、ガス707は排気ポンプ708によ
り排気されるようになっている。また、反応室701に
付属してゲートバルブ721を介してクリーニング用電
極723(被着物除去用の放電電極)の収納室722が
あり、この収納室722の中も排気ポンプ708で排気
されるようになっている。なお、クリーニング用電極7
23の表面は絶縁材料で覆われていることが望ましい。
【0207】クリーニング用電極723は、通常、この
収納室722の内部に収められているが、反応室701
をクリーニングするときには、ゲートバルブ721を開
放して搬送機構724によって反応室701内に搬送さ
れ、基板ホルダー703上部に設置される。なお、クリ
ーニング用電極723を搬送するときに、その先端にた
わみが生じる場合には、クリーニング用電極の両脇を支
えるための絶縁性の搬送ガイド725を反応室701内
に設置しておくこともできる。
【0208】一方、前記反応室701の上方には、例え
ば、低圧水銀ランプからなる光源711を収容するラン
プハウス712があり、光源711からの光を反射する
反射板713が光源711の後部に取り付けられてい
る。
【0209】また、反応室701とランプハウス712
との間は、例えば、合成石英板からなる光導入窓714
で仕切られている。なお、図中、715は大気成分の光
吸収による紫外光の減衰を防止するためのランプハウス
712の内部にパージガスであるN2 ガスを導入、排気
するためのパイプである。
【0210】次に上記の如きに構成されCVD装置を用
いた成膜方法及びクリーニング方法を図50を用いて説
明する。同図は図49の光CVD装置の垂直方向の断面
図を示しており、同図(a)は成膜時の状態を示し、同
図(b)はクリーニング時の状態を示している。
【0211】まず、同図(a)に示すように、成膜時に
は、クリーニング用電極723は図49に示してある状
態のように、クリーニング用電極723が収納室722
の内部に収容されているため、通常の光CVD装置と同
様に光源711から出射された光は、光導入窓714を
透過して直接被成膜基板702の表面に照射される。そ
して、膜材料となるガス707は、被成膜基板702の
表面に均一に供給されるように、奥から手前に向かって
流されており、上記膜材料となるガス707が上記照射
光により分解されことによって被成膜基板702上に薄
膜が堆積される。
【0212】次に反応室701内をクリーニングすると
きには、同図(b)に示すように、クリーニング用電極
723が収納室722から反応室701の内部に搬送さ
れてきて、基板ホルダー703の上部に設置され、基板
ホルダー部703と共に平行平板型RF電極を形成す
る。そして、エッチングガスとして、例えば、NF3
SF6 などF系のガス707をガス導入部706を介し
て反応室701内に導入した状態で、基板ホルダー70
3及び反応室701の内壁をアースにし、クリーニング
用電極723に高周波電力を印加すると、これらの間で
プラズマ放電が発生する。これより、エッチングガスが
分解されてエッチング種が形成され、成膜時に反応室7
01の内部に被着した不要な被着物が上記エッチング種
によってエッチング除去される。
【0213】本実施例によれば、クリーニング用電極7
23は、被着物が一番多く被着している基板ホルダー7
03の上部を覆っているため、この領域全体にプラズマ
領域が形成され、基板ホルダー703上に被着した被着
物を略均一にエッチングすることができる。したがっ
て、エッチングの不均一性に起因する反応室701の内
部のクリーニング時間の長時間化を防止でき、スループ
ットの向上を図ることができる。
【0214】一方、図53,図54に示すような従来の
光CVD装置、即ち、棒状のクリーニング用電極705
が被成膜基板702の両外側にそれぞれ一本ずつ配置さ
た構成のものでは、クリーニング用電極705間の中央
部のエッチング速度が、クリーニング用電極705の近
傍のエッチング速度より極端に遅いため、反応室701
の内部のクリーニング時間が大幅に長くなり、スループ
ットの低下をきたすという問題がある。
【0215】図55は、そのことを表している基板ホル
ダー上の位置とエッチング速度との関係を示す実験結果
である。この実験によれば、従来の場合、クリーニング
用電極の間隔が23cmになると、クリーニング用電極
の近傍のエッチング速度に対するクリーニング用電極間
の中央部の相対的なエッチング速度が1/5以下になる
ことが分かった。
【0216】かくして本実施例によれば、反応室701
をクリーニングするときのみに、基板ホルダー703の
上部に平板状のクリーニング用電極723を設置してプ
ラズマエッチングができるので、エッチング時間が大幅
に短縮化され、スループットが改善される。
【0217】図51は、本発明の第22の実施例に係る
光CVD装置の概略構成図である。本実施例の光CVD
装置が第21の実施例のそれと異なる点は、クリーニン
グ用電極が第1,第2のクリーニング用電極で構成され
ていることにある。
【0218】図中、基板ホルダー703の外側上部に
は、従来の光CVD装置と同様な一対のクリーニング用
電極731が基板ホルダー703及び反応室701の内
壁と絶縁されて設置されている。これが第1のクリーニ
ング用電極である。このクリーニング用電極731には
高周波電源(不図示)が接続されている。
【0219】また、第21の実施例と同様に、クリーニ
ング用電極の収納室722がゲートバルブ721を介し
て反応室701に付属しており、この中に第2のクリー
ニング用電極732が収納されている。なお、この収納
室722の中も排気ポンプ708で排気されるようにな
っている。
【0220】この第2のクリーニング用電極732は、
例えば、ステンレスやアルミニウムのような材料からな
る平行平板構造で、反応室701の内部をクリーニング
するときにゲートバルブ721を開けて、収納室722
の内部に設けられた搬送機構733によって反応室70
1内に搬送され、図52に示すように、第1のクリーニ
ング電極である左右1対配置されているクリーニング用
電極731の上部に橋を掛けるように載置される。こう
することによって、第1,第2のクリーニング用電極7
31,732が電気的に接続され、一体のクリーニング
用電極が形成される。
【0221】このように構成された光CVD装置でも、
先の実施例と同様に、基板ホルダー703と第1,第2
のクリーニング用電極731,732とで平行平板型電
極が形成されるので、最も被着膜厚の厚い基板ホルダー
703上に被着した不要な被着物を略均一にエッチング
除去できるため、エッチング時間の短縮化を行なうこと
ができ、スループットの向上を図ることができる。
【0222】図56は、本発明の第23の実施例に係る
光CVD装置の概略構成図である。図中、801は反応
室で、この反応室801内には、被成膜基板802を載
置するための基板ホルダー803が収容されており、こ
の基板ホルダー803の下方には、被成膜基板801を
加熱するためのヒーター804が設けられている。そし
て、反応室801内には、ガス供給部807から材料ガ
スやエッチングガス等のガス808が導入され、このガ
ス808は排気ポンプ809により排気されるようにな
っている。
【0223】一方、反応室801の上方には、低圧水銀
ランプ等の光源811を収容する光源収容室812が設
置され、この光源811からの光を反射する反射板81
3がこの光源811の後部に取り付けられている。そし
て、反応室801と光源収容室812との間は、合成石
英板などからなる光導入窓814で仕切られている。な
お、816は光源収容室812の内部にパージガスであ
るN2 ガスを導入、排気するためのパイプである。
【0224】また、反応室801内をプラズマクリーニ
ングするためのクリーニング用電極821(被着物除去
用の放電電極)が反応室801内の光導入窓814の近
傍に設置されている。このクリーニング用電極821
は、通常、例えば、成膜を行なうときなどには、同図
(a)に示すように、光導入窓814の直下から外れた
電極収納部822内に収められており、一方、クリーニ
ングを行なうときには、同図(b)に示すように搬送機
構(不図示)によって光導入窓814の直下に搬送され
て、光導入窓814をカバーするように設置される。こ
れは光導入窓814の反応室側表面がエッチングガスに
晒されないようにするためである。なお、クリーニング
用電極821には高周波電力が印加されて、アースに落
ちている反応室801の内壁との間で放電が行われるた
め、この間は絶縁された構造となっている。また、クリ
ーニング用電極821自身がスパッタされるのを防ぐた
めに、クリーニング用電極821の表面をスパッタ率の
低い、例えば、石英ガラス等の絶縁材料で覆っても良
い。
【0225】次に上記の如きに構成されCVD装置を用
いた成膜方法及びクリーニング方法を説明する。
【0226】まず、成膜の場合には、同図(a)に示す
ように、クリーニング用電極821は、光導入窓814
の直下から外れた電極収納部822内にあるため、通常
の光CVD装置と同様に光源811から出射した光は光
導入窓814を透過後、直接被成膜基板802の表面に
照射される。そして、ガス供給部807から材料ガス8
08が被成膜基板802の表面上に供給され、これが照
射光により分解され、被成膜基板802上に薄膜が推積
される。
【0227】次に反応室801内をクリーニングすると
きには、同図(b)に示すように、クリーニング用電極
821が収納部822から光導入窓814の直下に搬送
されてきて、光導入窓814の反応室側表面がエッチン
グガスに晒されないように、これを覆おう形で設置され
る。この状態でクリーニング用電極821と基板ホルダ
ー803との間で平行平板型RF電極構造が形成され
る。そして、反応室801内にエッチングガス808、
例えば、NF3 やSF6 等を導入した状態で、基板ホル
ダー803を含む反応室801の内壁をアースにし、ク
リーニング用電極821に高周波電力を印加するとこの
間でプラズマ放電が起こり、反応室801の内部に推積
した不要な被着物をプラズマエッチングで除去すること
ができる。本実施によれば、先の実施例と同様に、基板
ホルダー803上の推積物を略均一にエッチングするこ
とができ、エッチングの不均一性に起因した反応室80
3の内部のクリーニング時間の長時間化を防止できる。
【0228】また、本実施では、光導入窓814の反応
室側表面がクリーニング用電極821で覆われているた
め、エッチングガスがこの部分に入り込むことがないの
で、光導入窓814がエッチングガスによってエッチン
グされて曇り、光透過度が低下することがない。このた
め、成膜速度の低下を防止でき、煩雑な光導入窓814
の交換が不要となる。
【0229】一方、図53に示したような従来の光CV
D装置の場合には、例えば、エッチングガスとしてNF
3 やSF6 等のF系ガスを用いた場合には、プラズマ分
解によってFラジカルが生成され、このFラジカルが被
エッチング物であるアモルファスSi膜中に含まれる水
素(H)若しくは残留水分等のHと反応するとHFラジ
カルが生成され、これらのラジカルが光導入窓の材料、
例えば、合成石英と反応して光導入窓がエッチングさ
れ、光透過度が低下する。
【0230】かくして本実施例によれば、クリーニング
時に平板型のクリーニング用電極821が光導入窓81
4を覆うべく設置されるので、光導入窓814がエッチ
ングされて曇ることがなく、また、エッチング時間が大
幅に短縮され、もって、スループットが大幅に改善され
る。
【0231】図57は、本発明の第24の実施例に係る
光CVD装置の概略構成図である。第23の実施例の光
CVD装置の場合、光導入窓814の透過率低下の原因
となる膜推積を防ぐために、例えば、光導入窓814の
反応室側表面に蒸気圧の低いオイル(フォンブリンオイ
ル等)を塗布する方法などがとられる。しかし、オイル
も徐々にではあるが蒸発するため、定期的に塗布し直す
という煩わしさがある。このような煩わしさを解決した
のが本実施例の光CVD装置である。
【0232】即ち、本実施例の光CVD装置が先の実施
例のそれと異なる点は、光導入窓への膜推積を防ぐため
に、光導入窓の下部から不活性ガスを強制的に下方へ吹
き出し、成膜に寄与するラジカルを光導入窓に近付けな
いようにしたことにある。
【0233】図中、831はスリット状のガスノズルで
あり、材料ガス808はここから反応室801の内部に
シート状に導入される。一方、これと同時にパージ用の
不活性ガス(パージガス)832、例えば、Arガスが
光導入窓814の下部に取り付けられたフローガードプ
レート833を通して下方に吹き下ろされる。このパー
ジガス832はスリットノズル831から導入された材
料ガス808を被成膜基板802の表面上に押し付け、
層流状に流すための役割を果たす。そして、この状態で
光源811から出射した光は光導入窓814を透過して
被成膜基板802の表面に照射され、これによって材料
ガス808が分解されて被成膜基板802上に膜が推積
される。このような方法によれば、材料ガス808が分
解されて生成された成膜に寄与するラジカルが、パージ
ガス832によって光導入窓814には至らないため、
光導入窓814に膜が推積して光透過率が低下すること
はない。
【0234】次に反応室801内をクリーニングすると
きには、先の実施例と同様に、クリーニング用電極82
1が電極収納部822から光導入窓814の直下に搬送
されてきて、光導入窓814の反応室側表面がエッチン
グガスに晒されないようにこれを覆う形で設置される。
そして、反応室801内にエッチングガス808、例え
ば、NF3 やSF6 等を導入した状態でクリーニング用
電極821に高周波電力を印加することにより、反応室
801内の不要な被着物をプラズマエッチングで除去す
ることができる。このとき、光導入窓814の反応室側
表面がクリーニング用電極821で覆われているため、
エッチングガス808が分解されてできたエッチング種
がこの部分に入り込むことがない。したがって、先の実
施例と同様に、光導入窓814のエッチングによる光透
過度の低下を防止できる。
【0235】したがって、本実施例によれば、成膜時及
びクリーニング時における光導入窓814の光透過率の
低下を防止でき、もって、スループットが更に改善され
る。
【0236】
【発明の効果】以上詳述したように本発明によれば、光
CVD法による成膜において、膜厚や膜特性等の不均一
や、スループットの低下を防止することができる。
【図面の簡単な説明】
【図1】本発明の第1の実施例に係る光CVD装置の概
略構成図。
【図2】図1の光CVD装置における基板位置と励起光
強度との関係を示す特性図。
【図3】本発明の効果を説明するための図。
【図4】本発明の第2の実施例に係る光CVD装置の概
略構成図。
【図5】図4の光CVD装置における基板位置と励起光
強度との関係を示す特性図。
【図6】本発明の第3の実施例に係る光CVD装置の概
略構成図。
【図7】図6の光CVD装置における基板位置と励起光
強度との関係を示す特性図。
【図8】本発明の第4の実施例に係る光CVD装置の概
略構成図。
【図9】図8の光CVD装置における基板位置と励起光
強度との関係を示す特性図。
【図10】本発明の第5の実施例に係る光CVD装置の
概略構成図。
【図11】図10の光CVD装置における基板位置と励
起光強度との関係を示す特性図。
【図12】本発明の第6の実施例に係る光CVD装置の
概略構成図。
【図13】図12の光CVD装置における基板位置と励
起光強度との関係を示す特性図。
【図14】従来の光CVD装置の概略構成図。
【図15】図14の光CVD装置における基板位置と励
起光強度との関係を示す特性図。
【図16】本発明の第7の実施例に係る光CVD装置の
概略構成図。
【図17】本発明の第8の実施例に係る光CVD装置の
概略構成図。
【図18】エッチング速度と反応室内の位置と関係を示
す特性図。
【図19】従来の光CVD装置の概略構成図。
【図20】本発明の第9のCVD装置の概略構成図。
【図21】基板位置と紫外光照度との関係を示す特性
図。
【図22】本発明の第10の光CVD装置の概略構成
図。
【図23】図22の光CVD装置の光透過型ノズルの概
略構成図。
【図24】本発明の第11の光CVD装置の概略構成。
【図25】本発明の第12の実施例に係る光CVD装置
の概略構成図。
【図26】本発明の第13の実施例に係る光CVD装置
の概略構成図。
【図27】被成膜基板の配置を示す図。
【図28】本発明の効果を説明するための図。
【図29】本発明の第14の実施例に係る光CVD装置
の概略構成図。
【図30】図29の光CVD装置の紫外光源の形状を示
す図。
【図31】図29の光CVD装置の紫外光源の励起強度
分布を示す図。
【図32】本発明の第15実施例に係る光CVD装置の
概略構成図。
【図33】図32の光CVD装置の紫外光源の形状を示
す図。
【図34】被成膜基板の回転機構を示す図。
【図35】図32の光CVD装置の半径方向の紫外光源
の励起強度分布を示す図。
【図36】図32の光CVD装置の円周方向の紫外光源
の励起強度分布を示す図。
【図37】本発明の第16実施例に係る光CVD装置の
概略構成図。
【図38】図37の光CVD装置の紫外光源の形状を示
す図。
【図39】本発明の第17実施例に係る光CVD装置の
概略構成図。
【図40】図40の光CVD装置の紫外光源の形状を示
す図。
【図41】本発明の第18実施例に係る光CVD装置の
概略構成図。
【図42】被成膜基板の配置を示す図。
【図43】図41の光CVD装置の応用例を示す図。
【図44】被成膜基板の配置を示す図。
【図45】本発明の第19実施例に係る光CVD装置の
概略構成図。
【図46】本発明の第20の実施例に係る光CVD装置
の概略構成図。
【図47】図45の光CVD装置の応用例を示す図。
【図48】従来の光CVD装置の概略構成図。
【図49】本発明の第21の実施例に係る光CVD装置
の概略構成図。
【図50】図49のCVD装置を垂直方向から見た概略
構成図。
【図51】本発明の第22の実施例に係る光CVD装置
の概略構成図。
【図52】図51の光CVD装置クリーニング時の状態
を示す図。
【図53】従来の光CVD装置の概略構成図。
【図54】従来の光CVD装置の概略構成図。
【図55】基板位置とエッチング速度との関係を示す
図。
【図56】本発明の第23実施例に係る光CVD装置の
概略構成図。
【図57】本発明の第24の実施例に係る光CVD装置
の概略構成図。
【符号の説明】
101…ランプハウス、102…紫外光源、103…反
射板、104,104a…光導入窓、105…反応室、
106…被成膜基板、107…ヒータ、108…ガス排
気部、109…水銀溜、110a…材料ガス導入ノズ
ル、110b…パージガス導入ノズル、111…パージ
ガス導入ノズルプレート、112…ガス流制御板、11
3…電源、201…ランプハウス、202…紫外光源、
203…反射板、204…光導入窓、205…反応室、
206…被成膜基板、207…ヒータ、208…ガス排
気部、209…水銀溜、210a…材料ガス導入ノズ
ル、210b…パージガス導入ノズル、211…パージ
ガス導入ノズルプレート、212…ガス流制御板、21
3…排気口、214,214a…クリーニング放電電
極、215…高周波電源、301…紫外光源、302…
光導入窓、303…光透過型ノズル、304…反応室、
305…被成膜基板、306…ヒータ、307…ガス排
気部、308a…材料ガス導入ノズル、308b…パー
ジガス導入ノズル、309…パージガス、310…ガス
流制御板、311…水銀溜、312…材料ガス、313
…電源、314…反射板、315…ランプハウス、40
1…紫外光源、402…光導入窓、403…パージ室、
404…光透過型ノズル、405…反応室、406…被
成膜基板、407…ヒータ、408…ガス排気部、40
9a…材料ガス導入ノズル、409b…パージガス導入
ノズル、410…パージガス、411…ガス流制御板、
412…水銀溜、413…電源、414…ランプハウ
ス、415…排気口、416…仕切板、501…反応
室、502…ガス排気部、503…材料ガス、504a
…材料ガス導入ノズル、504b…エッチングガス導入
ノズル、505…紫外光源、506…光導入窓、507
…被成膜基板、508,508a…アモルファスシリコ
ン薄膜、509…エッチングガス、510…クリーニン
グ放電電極、511…スパッタ原子、512…遮蔽版、
601…ランプハウス、602…紫外光源、603…熱
線光源、604…光導入窓、605…反応室、606…
被成膜基板、607…ヒ−タ、608…ガス排気部、6
09…水銀溜、610a…材料ガス導入ノズル、610
b…パージガス導入ノズル、611…パージガス導入ノ
ズルプレート、612…制流板、613…排気口、61
4…電源、615…パージ室、617…基板支持部、7
01…反応室、702…被成膜基板、703…基板ホル
ダー、704…ヒ−タ、705…クリーニング用電極、
706…ガス導入部、707…ガス(材料ガス,エッチ
ングガス)、708…排気ポンプ、711…光源、71
2…ランプハウス、713…反射板、714…光導入
窓、715…パイプ、721…ゲートバルブ、722…
収納室、723…クリーニング用電極、724…搬送機
構、732…クリーニング用電極、801…反応室、8
02…被成膜基板、803…基板ホルダー、804…ヒ
−タ、807…ガス供給部、808…ガス(材料ガス,
エッチングガス)、809…排気ポンプ、811…光
源、812…ランプハウス、813…反射板、814…
光導入窓、816…パイプ、821…クリーニング用電
極、831…ガスノズル、832…パージガス、833
…フローガードプレート。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 野崎 秀俊 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝総合研究所内 (72)発明者 佐々木 道夫 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝総合研究所内 (72)発明者 井原 久典 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝総合研究所内 (72)発明者 上村 孝明 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝総合研究所内

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】基板を収容して成膜を行なうための反応室
    と、 前記反応室内に導入された材料ガスに光を照射する手段
    と、 前記基板上における前記光の照度を前記反応室内の前記
    材料ガスの流れ方向に向かって大きくする手段とを具備
    してなることを特徴とする光CVD装置。
  2. 【請求項2】基板を収容して成膜を行なうための反応室
    と、 前記反応室内に導入された材料ガスに光を照射する手段
    と、 前記反応室内にエッチングガスを供給する手段と、 前記基板上に設けられ、その形状が前記基板を囲む閉曲
    線状の被着物除去用の放電電極とを具備してなることを
    特徴とする光CVD装置。
  3. 【請求項3】前記被着物除去用電極は、前記閉曲線内で
    の形状が格子状であることを特徴とする請求項2に記載
    の光CVD装置。
  4. 【請求項4】基板を収容して成膜を行なうための反応室
    と、 前記反応室内の上方に設けられ、前記反応室内に導入さ
    れた材料ガスを光分解するための光源と、 前記光源からの光を前記反応室内の所定領域に照射する
    ための光導入窓と、 前記基板と前記光導入窓との間に設けられ、所定の光透
    過率分布を有する光透過型ノズルと、 前記光導入窓と前記光透過型ノズルと間の空間に、前記
    材料ガスを層流にするためのパージガスを供給する手段
    とを具備してなることを特徴とする光CVD装置。
  5. 【請求項5】基板を収容して成膜を行なうための反応室
    と、 前記反応室内の上方に設けられ、前記反応室内に導入さ
    れた材料ガスを光分解するための光源と、 前記光源からの光を前記反応室内の所定領域に照射する
    ための光導入窓と、 前記基板と前記光導入窓との間に設けられ、前記材料ガ
    スの下流側におけるガスの吹き出し量が、前記材料ガス
    の上流側におけるガスの吹き出し量より多くなるべく形
    成された光透過型ノズルと、 前記光導入窓と前記光透過型ノズルと間の空間に、前記
    材料ガスを層流にするためのパージガスを供給する手段
    とを具備してなることを特徴とする光CVD装置。
  6. 【請求項6】基板を収容して成膜を行なうための反応室
    と、 前記反応室内に導入された材料ガスを光分解するたの光
    源と、 前記光源からの光を前記反応室内の所定領域に照射する
    ための光導入窓と、 前記反応室内にエッチングガスを供給する手段と、 前記反応室内に設けられた被着物除去用の放電電極と、 前記光導入窓と前記放電電極との間に設けられた遮蔽版
    とを具備してなることを特徴とする光CVD装置。
  7. 【請求項7】排気口が中心部に設けられた反応室と、 この反応室内に収容された基板の周囲に設けられた材料
    ガス導入手段と、 前記材料ガス導入手段によって前記反応室内に導入され
    た材料ガスに光を照射する手段と、 を具備してなることを特徴とする光CVD装置。
  8. 【請求項8】基板を収容して成膜を行なうための反応室
    と、 前記反応室内に導入された材料ガスに光を照射する手段
    と、 前記反応室内にエッチングガスを供給する手段と、 前記反応室内外に搬送自在の平板状の被着物除去用の放
    電電極とを具備してなることを特徴とする光CVD装
    置。
  9. 【請求項9】前記放電電極は、前記反応室内では前記光
    導入窓を覆うべく設置されることを特徴とする請求項8
    に記載の光CVD装置。
  10. 【請求項10】反応室の上方に設けられた光導入窓を介
    して前記反応室内に光を導入し、前記反応室内の材料ガ
    スを分解して化学反応により前記反応室の下方に設けら
    れた基板上に薄膜を形成する工程と、 前記光導入窓から前記基板の方向に流れるべく前記反応
    室内にパージガスを導入すると共に、前記反応室内にエ
    ッチングガスを導入する工程と、 プラズマ放電によってエッチング種を生成して、前記反
    応室内の被着物をエッチングする工程とを有することを
    特徴とする光CVD法。
JP17868592A 1992-07-06 1992-07-06 光cvd装置及びこれを用いた半導体装置の製造方法 Expired - Fee Related JP3148004B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP17868592A JP3148004B2 (ja) 1992-07-06 1992-07-06 光cvd装置及びこれを用いた半導体装置の製造方法
US08/446,290 US5527417A (en) 1992-07-06 1995-05-22 Photo-assisted CVD apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP17868592A JP3148004B2 (ja) 1992-07-06 1992-07-06 光cvd装置及びこれを用いた半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH0620956A true JPH0620956A (ja) 1994-01-28
JP3148004B2 JP3148004B2 (ja) 2001-03-19

Family

ID=16052762

Family Applications (1)

Application Number Title Priority Date Filing Date
JP17868592A Expired - Fee Related JP3148004B2 (ja) 1992-07-06 1992-07-06 光cvd装置及びこれを用いた半導体装置の製造方法

Country Status (2)

Country Link
US (1) US5527417A (ja)
JP (1) JP3148004B2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4837277A (en) * 1986-12-16 1989-06-06 Mitsui Petrochemical Industries, Ltd. Poly(4-methyl-1-pentane) composition and articles molded therefrom
EP0791669A1 (en) * 1996-02-21 1997-08-27 Nec Corporation Method for etching inside of cvd reaction chamber
JP2006114848A (ja) * 2004-10-18 2006-04-27 Apex Corp 紫外線照射処理装置、紫外線照射処理方法及び半導体製造装置
JP2011035201A (ja) * 2009-08-03 2011-02-17 Sumitomo Electric Ind Ltd 気相処理装置、気相処理方法および基板

Families Citing this family (442)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
JP3398027B2 (ja) * 1997-10-15 2003-04-21 株式会社荏原製作所 気相成長装置及びその洗浄方法
US6339028B2 (en) 1999-04-27 2002-01-15 Stmicroelectronics, Inc. Vacuum loadlock ultra violet bake for plasma etch
JP4057198B2 (ja) * 1999-08-13 2008-03-05 東京エレクトロン株式会社 処理装置及び処理方法
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
JP2002294456A (ja) * 2001-03-30 2002-10-09 Oki Electric Ind Co Ltd 膜の形成方法及びその方法を実施するためのcvd装置
US20020163632A1 (en) * 2001-05-02 2002-11-07 Ya-Chan Cheng Measuring system of a gas stream environment
WO2002099863A1 (fr) * 2001-06-01 2002-12-12 Tokyo Electron Limited Dispositif de traitement de plasma
JP2003201558A (ja) * 2001-12-28 2003-07-18 Furukawa Electric Co Ltd:The 成膜方法および装置
US20040112863A1 (en) * 2002-12-16 2004-06-17 International Business Machines Corporation Method of enhancing surface reactions by local resonant heating
KR20040107983A (ko) * 2003-06-16 2004-12-23 삼성전자주식회사 반도체 제조 장치
US8847249B2 (en) 2008-06-16 2014-09-30 Soraa, Inc. Solid-state optical device having enhanced indium content in active regions
US8143148B1 (en) 2008-07-14 2012-03-27 Soraa, Inc. Self-aligned multi-dielectric-layer lift off process for laser diode stripes
US8805134B1 (en) 2012-02-17 2014-08-12 Soraa Laser Diode, Inc. Methods and apparatus for photonic integration in non-polar and semi-polar oriented wave-guided optical devices
US8259769B1 (en) 2008-07-14 2012-09-04 Soraa, Inc. Integrated total internal reflectors for high-gain laser diodes with high quality cleaved facets on nonpolar/semipolar GaN substrates
US8284810B1 (en) 2008-08-04 2012-10-09 Soraa, Inc. Solid state laser device using a selected crystal orientation in non-polar or semi-polar GaN containing materials and methods
WO2010017148A1 (en) 2008-08-04 2010-02-11 Soraa, Inc. White light devices using non-polar or semipolar gallium containing materials and phosphors
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8422525B1 (en) 2009-03-28 2013-04-16 Soraa, Inc. Optical device structure using miscut GaN substrates for laser applications
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8634442B1 (en) 2009-04-13 2014-01-21 Soraa Laser Diode, Inc. Optical device structure using GaN substrates for laser applications
US8837545B2 (en) 2009-04-13 2014-09-16 Soraa Laser Diode, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8254425B1 (en) 2009-04-17 2012-08-28 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8242522B1 (en) 2009-05-12 2012-08-14 Soraa, Inc. Optical device structure using non-polar GaN substrates and growth structures for laser applications in 481 nm
US9531164B2 (en) 2009-04-13 2016-12-27 Soraa Laser Diode, Inc. Optical device structure using GaN substrates for laser applications
US8294179B1 (en) 2009-04-17 2012-10-23 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8416825B1 (en) 2009-04-17 2013-04-09 Soraa, Inc. Optical device structure using GaN substrates and growth structure for laser applications
US8427590B2 (en) 2009-05-29 2013-04-23 Soraa, Inc. Laser based display method and system
US8509275B1 (en) 2009-05-29 2013-08-13 Soraa, Inc. Gallium nitride based laser dazzling device and method
US10108079B2 (en) 2009-05-29 2018-10-23 Soraa Laser Diode, Inc. Laser light source for a vehicle
US9250044B1 (en) 2009-05-29 2016-02-02 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser diode dazzling devices and methods of use
US8247887B1 (en) 2009-05-29 2012-08-21 Soraa, Inc. Method and surface morphology of non-polar gallium nitride containing substrates
US9829780B2 (en) 2009-05-29 2017-11-28 Soraa Laser Diode, Inc. Laser light source for a vehicle
US9800017B1 (en) 2009-05-29 2017-10-24 Soraa Laser Diode, Inc. Laser device and method for a vehicle
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110056429A1 (en) * 2009-08-21 2011-03-10 Soraa, Inc. Rapid Growth Method and Structures for Gallium and Nitrogen Containing Ultra-Thin Epitaxial Structures for Devices
US8314429B1 (en) 2009-09-14 2012-11-20 Soraa, Inc. Multi color active regions for white light emitting diode
US8355418B2 (en) * 2009-09-17 2013-01-15 Soraa, Inc. Growth structures and method for forming laser diodes on {20-21} or off cut gallium and nitrogen containing substrates
US8750342B1 (en) 2011-09-09 2014-06-10 Soraa Laser Diode, Inc. Laser diodes with scribe structures
WO2011035265A1 (en) 2009-09-18 2011-03-24 Soraa, Inc. Power light emitting diode and method with current density operation
US9583678B2 (en) 2009-09-18 2017-02-28 Soraa, Inc. High-performance LED fabrication
US8933644B2 (en) 2009-09-18 2015-01-13 Soraa, Inc. LED lamps with improved quality of light
US9293644B2 (en) 2009-09-18 2016-03-22 Soraa, Inc. Power light emitting diode and method with uniform current density operation
US10147850B1 (en) 2010-02-03 2018-12-04 Soraa, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US8905588B2 (en) 2010-02-03 2014-12-09 Sorra, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US9927611B2 (en) 2010-03-29 2018-03-27 Soraa Laser Diode, Inc. Wearable laser based display method and system
US20110247556A1 (en) * 2010-03-31 2011-10-13 Soraa, Inc. Tapered Horizontal Growth Chamber
US8451876B1 (en) 2010-05-17 2013-05-28 Soraa, Inc. Method and system for providing bidirectional light sources with broad spectrum
US8816319B1 (en) 2010-11-05 2014-08-26 Soraa Laser Diode, Inc. Method of strain engineering and related optical device using a gallium and nitrogen containing active region
US8975615B2 (en) 2010-11-09 2015-03-10 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment of contact regions of gallium and nitrogen containing material
US9048170B2 (en) 2010-11-09 2015-06-02 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment
US9025635B2 (en) 2011-01-24 2015-05-05 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a support member
US9318875B1 (en) 2011-01-24 2016-04-19 Soraa Laser Diode, Inc. Color converting element for laser diode
US9595813B2 (en) 2011-01-24 2017-03-14 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a substrate member
US9093820B1 (en) 2011-01-25 2015-07-28 Soraa Laser Diode, Inc. Method and structure for laser devices using optical blocking regions
US9236530B2 (en) 2011-04-01 2016-01-12 Soraa, Inc. Miscut bulk substrates
US9287684B2 (en) 2011-04-04 2016-03-15 Soraa Laser Diode, Inc. Laser package having multiple emitters with color wheel
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9646827B1 (en) 2011-08-23 2017-05-09 Soraa, Inc. Method for smoothing surface of a substrate containing gallium and nitrogen
US8971370B1 (en) 2011-10-13 2015-03-03 Soraa Laser Diode, Inc. Laser devices using a semipolar plane
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9020003B1 (en) 2012-03-14 2015-04-28 Soraa Laser Diode, Inc. Group III-nitride laser diode grown on a semi-polar orientation of gallium and nitrogen containing substrates
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9343871B1 (en) 2012-04-05 2016-05-17 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9800016B1 (en) 2012-04-05 2017-10-24 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US10559939B1 (en) 2012-04-05 2020-02-11 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9088135B1 (en) 2012-06-29 2015-07-21 Soraa Laser Diode, Inc. Narrow sized laser diode
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9184563B1 (en) 2012-08-30 2015-11-10 Soraa Laser Diode, Inc. Laser diodes with an etched facet and surface treatment
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140116335A1 (en) * 2012-10-31 2014-05-01 Asm Ip Holding B.V. UV Irradiation Apparatus with Cleaning Mechanism and Method for Cleaning UV Irradiation Apparatus
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140273504A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Selective deposition by light exposure
JP6112712B2 (ja) * 2013-03-27 2017-04-12 国立研究開発法人産業技術総合研究所 炭化珪素エピタキシャルウエハの製造方法
US9166372B1 (en) 2013-06-28 2015-10-20 Soraa Laser Diode, Inc. Gallium nitride containing laser device configured on a patterned substrate
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9520695B2 (en) 2013-10-18 2016-12-13 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser device having confinement region
US9368939B2 (en) 2013-10-18 2016-06-14 Soraa Laser Diode, Inc. Manufacturable laser diode formed on C-plane gallium and nitrogen material
US9379525B2 (en) 2014-02-10 2016-06-28 Soraa Laser Diode, Inc. Manufacturable laser diode
US9362715B2 (en) 2014-02-10 2016-06-07 Soraa Laser Diode, Inc Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9209596B1 (en) 2014-02-07 2015-12-08 Soraa Laser Diode, Inc. Manufacturing a laser diode device from a plurality of gallium and nitrogen containing substrates
US9520697B2 (en) 2014-02-10 2016-12-13 Soraa Laser Diode, Inc. Manufacturable multi-emitter laser diode
US9871350B2 (en) 2014-02-10 2018-01-16 Soraa Laser Diode, Inc. Manufacturable RGB laser diode source
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9564736B1 (en) 2014-06-26 2017-02-07 Soraa Laser Diode, Inc. Epitaxial growth of p-type cladding regions using nitrogen gas for a gallium and nitrogen containing laser diode
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9246311B1 (en) 2014-11-06 2016-01-26 Soraa Laser Diode, Inc. Method of manufacture for an ultraviolet laser diode
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9653642B1 (en) 2014-12-23 2017-05-16 Soraa Laser Diode, Inc. Manufacturable RGB display based on thin film gallium and nitrogen containing light emitting diodes
US9666677B1 (en) 2014-12-23 2017-05-30 Soraa Laser Diode, Inc. Manufacturable thin film gallium and nitrogen containing devices
US20180249580A1 (en) * 2015-02-06 2018-08-30 Ushio Denki Kabushiki Kaisha Optical processing device and optical processing method
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US11437774B2 (en) 2015-08-19 2022-09-06 Kyocera Sld Laser, Inc. High-luminous flux laser-based white light source
US11437775B2 (en) 2015-08-19 2022-09-06 Kyocera Sld Laser, Inc. Integrated light source using a laser diode
US10879673B2 (en) 2015-08-19 2020-12-29 Soraa Laser Diode, Inc. Integrated white light source using a laser diode and a phosphor in a surface mount device package
US10938182B2 (en) 2015-08-19 2021-03-02 Soraa Laser Diode, Inc. Specialized integrated light source using a laser diode
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9787963B2 (en) 2015-10-08 2017-10-10 Soraa Laser Diode, Inc. Laser lighting having selective resolution
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6954524B2 (ja) * 2017-03-10 2021-10-27 昭和電工株式会社 薄膜製造方法、磁気ディスクの製造方法およびナノインプリント用モールドの製造方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10771155B2 (en) 2017-09-28 2020-09-08 Soraa Laser Diode, Inc. Intelligent visible light with a gallium and nitrogen containing laser source
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10222474B1 (en) 2017-12-13 2019-03-05 Soraa Laser Diode, Inc. Lidar systems including a gallium and nitrogen containing laser light source
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10551728B1 (en) 2018-04-10 2020-02-04 Soraa Laser Diode, Inc. Structured phosphors for dynamic lighting
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR20200038184A (ko) 2018-10-01 2020-04-10 에이에스엠 아이피 홀딩 비.브이. 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11239637B2 (en) 2018-12-21 2022-02-01 Kyocera Sld Laser, Inc. Fiber delivered laser induced white light system
US11421843B2 (en) 2018-12-21 2022-08-23 Kyocera Sld Laser, Inc. Fiber-delivered laser-induced dynamic light system
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US12000552B2 (en) 2019-01-18 2024-06-04 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system for a vehicle
US11884202B2 (en) 2019-01-18 2024-01-30 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US10903623B2 (en) 2019-05-14 2021-01-26 Soraa Laser Diode, Inc. Method and structure for manufacturable large area gallium and nitrogen containing substrate
US11228158B2 (en) 2019-05-14 2022-01-18 Kyocera Sld Laser, Inc. Manufacturable laser diodes on a large area gallium and nitrogen containing substrate
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
TWI846966B (zh) 2019-10-10 2024-07-01 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4811684A (en) * 1984-11-26 1989-03-14 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus, with deposition prevention in light source chamber
JPS61183923A (ja) * 1985-02-08 1986-08-16 Matsushita Electronics Corp エピタキシヤル層の形成方法
US4918028A (en) * 1986-04-14 1990-04-17 Canon Kabushiki Kaisha Process for photo-assisted epitaxial growth using remote plasma with in-situ etching
US5183511A (en) * 1986-07-23 1993-02-02 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus with a glow discharge system
US4974542A (en) * 1986-09-26 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Photochemical vapor reaction apparatus
US4816294A (en) * 1987-05-04 1989-03-28 Midwest Research Institute Method and apparatus for removing and preventing window deposition during photochemical vapor deposition (photo-CVD) processes
JP2782757B2 (ja) * 1989-02-13 1998-08-06 日本電気株式会社 エッチング方法
JPH0821569B2 (ja) * 1989-02-21 1996-03-04 日本電気株式会社 ドライエッチング方法
JPH03110844A (ja) * 1989-09-26 1991-05-10 Rikagaku Kenkyusho ディジタル・エッチング方法
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04305244A (ja) * 1991-04-02 1992-10-28 Canon Inc 照明装置とこれによる光励起プロセス装置
US5215588A (en) * 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4837277A (en) * 1986-12-16 1989-06-06 Mitsui Petrochemical Industries, Ltd. Poly(4-methyl-1-pentane) composition and articles molded therefrom
EP0791669A1 (en) * 1996-02-21 1997-08-27 Nec Corporation Method for etching inside of cvd reaction chamber
KR100272123B1 (ko) * 1996-02-21 2000-12-01 가네꼬 히사시 텅스텐 화학기상증착 반응실에서의 식각 방법
JP2006114848A (ja) * 2004-10-18 2006-04-27 Apex Corp 紫外線照射処理装置、紫外線照射処理方法及び半導体製造装置
JP2011035201A (ja) * 2009-08-03 2011-02-17 Sumitomo Electric Ind Ltd 気相処理装置、気相処理方法および基板

Also Published As

Publication number Publication date
US5527417A (en) 1996-06-18
JP3148004B2 (ja) 2001-03-19

Similar Documents

Publication Publication Date Title
JP3148004B2 (ja) 光cvd装置及びこれを用いた半導体装置の製造方法
US5803974A (en) Chemical vapor deposition apparatus
US5585148A (en) Process for forming a deposited film using a light transmissive perforated diffusion plate
US4989544A (en) Apparatus for forming functional deposited films by way of hybrid excitation
JPH05267177A (ja) 光学式化学蒸着システム
US7960252B2 (en) Method for forming a semiconductor film including a film forming gas and decomposing gas while emitting a laser sheet
US20100012032A1 (en) Apparatus for high-rate chemical vapor deposition
EP0054189A1 (en) Improved photochemical vapor deposition method
JPH0697075A (ja) 薄膜堆積室のプラズマクリーニング方法
JPH07105350B2 (ja) 光反応装置
JP2608456B2 (ja) 薄膜形成装置
JPS61160926A (ja) 光励起薄膜形成装置
JP3258121B2 (ja) Cvd装置
JPS6156278A (ja) 成膜方法
JPS60202928A (ja) 光励起反応装置
JP3174787B2 (ja) 光cvd装置
JPS6156279A (ja) 成膜方法
JPH0689455B2 (ja) 薄膜形成方法
JPS6064426A (ja) 気相反応薄膜形成方法および装置
JPS61196528A (ja) 薄膜形成方法
JPS6156281A (ja) 成膜方法
JPH04105314A (ja) 非晶質シリコンの製造方法
JPS59209643A (ja) 光化学気相成長装置
JPS6246515A (ja) 薄膜形成方法及びその装置
JP2551753B2 (ja) 光cvd装置

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees