JPH0613623A - 半導体装置 - Google Patents

半導体装置

Info

Publication number
JPH0613623A
JPH0613623A JP5063095A JP6309593A JPH0613623A JP H0613623 A JPH0613623 A JP H0613623A JP 5063095 A JP5063095 A JP 5063095A JP 6309593 A JP6309593 A JP 6309593A JP H0613623 A JPH0613623 A JP H0613623A
Authority
JP
Japan
Prior art keywords
transistor
gate
region
channel
conductive layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP5063095A
Other languages
English (en)
Other versions
JP3422333B2 (ja
Inventor
Jon T Fitch
ジョン・ティ・フィッチ
Carlos A Mazure
カルロス・エイ・マズーア
Keith E Witek
キース・イー・ウィテック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Motorola Solutions Inc
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Publication of JPH0613623A publication Critical patent/JPH0613623A/ja
Application granted granted Critical
Publication of JP3422333B2 publication Critical patent/JP3422333B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar

Abstract

(57)【要約】 【目的】 より小さな表面積で、改善された短チャネル
作用、チャネル長変動、かつリーケージ電流を有する縦
型トランジスタ論理および回路を実現する。 【構成】 1つのトランジスタ(10)は基板(12)
および拡散(14)を有する。ゲート導電層(18)は
該基板上に横たわりかつ該基板を露出する開口により形
成される側壁を有する。導電層(18)の側壁に横方向
に隣接して形成される側壁誘電体層(22)は前記トラ
ンジスタのゲート電極として機能する。前記開口内に形
成される導電領域は前記側壁誘電体層(22)に横方向
に隣接したチャネル領域(30)および第1の電流電極
領域(28)と第2の制御電極領域(34)を有する。
このようなトランジスタ(10)を複数個縦に積層して
NMOSまたはPMOS NAND、NOR、反転ゲー
トおよび/またはCMOSNAND、NOR、反転ゲー
トのような複数入力の論理ゲートを形成できる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は一般的には半導体装置お
よびプロセス技術に関し、かつより特定的には、トラン
ジスタの縦方向集積に関する。
【0002】
【従来の技術】集積回路論理装置を製造するためにプレ
ーナトランジスタがしばしば使用されている。プレーナ
トランジスタはチャネル領域によって分離された拡散ソ
ース電極およびドレイン電極を有する。チャネル領域の
上には該チャネル領域からゲート酸化膜によって分離さ
れたゲート電極が横たわっている。プレーナトランジス
タは、数多くの集積回路論理の用途に使用されかつ有用
であるが、領域または面積集約的でありかつトランジス
タごとに多量の基板面積を消費する。さらに、集積回路
の寸法がサブミクロンの範囲に低減するに応じて、プレ
ーナトランジスタは種々の不都合を持つことになる。よ
り小さな形状およびより薄いゲート酸化膜の厚さにおい
ては、ホットキャリア注入、リーケージ電流、アイソレ
ーション、短チャネル作用、およびチャネル長の変動の
ような文献によく記載された問題がプレーナトランジス
タにおいては主な問題となる。
【0003】プレーナトランジスタについての上に述べ
た不都合のいくつかを克服するため、エレベーテッドソ
ースおよびドレイントランジスタ、低ドープドレイン
(LDD)トランジスタおよび他の改良品が開発され
た。これらの改良は上に述べた不都合のいくつかを低減
するが、該改良はいくつかの望ましくない特性を有して
いた。主な望ましくない特性は前記改善されたトランジ
スタは、たいていの場合、プレーナトランジスタと同じ
くらいに領域集約的であるかあるいはプレーナトランジ
スタよりもさらに領域集約的であるという事実である。
【0004】
【発明が解決しようとする課題】上に述べた悪影響のい
くつかを低減しながら、同時に回路表面積を減少させか
つトランジスタの収容密度を増大するために種々の手法
が用いられてきた。サラウンディングゲート・トランジ
スタ(SGT)が開発され、該トランジスタを形成する
ためにはスペーサゲートおよびプレーナ拡散が使用され
ている。SGTはプレーナトランジスタに影響する不都
合のいくつかを低減しかつ縦方向に配置されたスーペー
サゲートにより表面積を低減した。SGTの分布形状
(トポグラフィ)の問題および幾何学的形状のため通常
達成するのが困難なソースおよびドレイン用コンタクト
を生じかつサブミクロン技術を使用して一貫して製造す
るのが困難になっている。さらに、注入によってソース
領域、ドレイン領域、およびチャネル領域をドーピング
することが形状のため困難になることがありかつ特別の
プロセスを必要とする。
【0005】論理回路の密度をさらに増大するため、薄
膜トランジスタ(TFT)が、特にメモリの用途に開発
されている。TFTを使用することにより小さなメモリ
セル領域を得ることができるが、TFTは高度に抵抗的
でありかつ従ってすべての用途に適切なわけではない。
【0006】
【課題を解決するための手段および作用】上に述べた不
都合は本発明によって克服されかつ他の利点も達成され
る。本発明はトランジスタおよびその形成方法およびそ
こから形成される論理構造を含む。1つの形態では、該
トランジスタはベース層を有し該ベース層は1つの面を
有する。第1の誘電体層が前記ベース層の上に横たわっ
て形成される。制御電極の導電層が前記第1の誘電体層
の上に横たわって形成される。第2の誘電体層が前記制
御電極導電層の上に形成される。前記第1の誘電体層、
前記制御電極導電層、および前記第2の誘電体層の各々
一部分が除去されて前記ベース層の前記面を露出する開
口を形成する。N個の導電ゲートが前記除去によって前
記制御電極導電層から形成され、この場合Nは整数であ
りかつN個の導電ゲートの各々は側壁を有する。側壁誘
電体が前記N個の導電ゲートの各側壁に隣接して横方向
に形成される。導電領域が前記開口内に形成される。前
記導電領域は第1の電流電極として機能する第1の部分
を有する。該第1の部分は前記第1の誘電体層に横方向
に隣接しかつ前記ベース層の上に横たわる。前記導電領
域はチャネル領域として機能する第2の部分を有する。
該第2の部分は前記側壁誘電体に横方向に隣接し、かつ
前記第1の電流電極の上に横たわる。前記導電領域は第
2の電流電極として機能する第3の部分を有する。該第
3の部分は前記第2の誘電体層に横方向に隣接しかつ前
記チャネル領域に隣接する。
【0007】1つの形態では、前記トランジスタはNO
R、NANDおよびインバータ論理ゲートのような積層
論理構造を形成するために使用できる。
【0008】本発明は添付の図面と共に以下の詳細な説
明を参照することによりさらに明瞭に理解されるであろ
う。
【0009】
【実施例】図1には、トランジスタ10の形成に適した
構造が示されている。トランジスタ10はベース層を有
し、該ベース層は1つの面を有しかつ第1の導電形を有
する基板12である。トランジスタ10が第2のトラン
ジスタ(図示せず)の上に横たわって形成される第1の
トランジスタを表す場合には、該第1のトランジスタの
ためのベース層は下に横たわる第2のトランジスタの導
電性頭部層または頭部電極である。基板12はシリコ
ン、ガリウムひ素、シリコンオンサファイア、エピタキ
シャル形成、ゲルマニウム、ゲルマニウムシリコン、多
結晶シリコン、および/または同様の基板材料から作成
することができる。好ましくは、基板12はシリコンか
ら形成される。拡散14が基板12内に形成される。拡
散14を形成するためには種々の方法を用いることがで
きる。これらの方法は以下に説明する。第1の誘電層1
6は基板12の上に横たわって形成されかつ始めは拡散
14の上に横たわっている。制御電極導電層18が誘電
体層16の上に形成される。好ましい形態では、導電層
18は多結晶シリコンであるが、導電層18は金属、サ
リサイドまたはシリサイド、ゲルマニウムシリコン、そ
の他でもよい。第2の誘電体層20は導電層18の上に
横たわって形成される。
【0010】誘電体層16および20、およびここに述
べるすべての他の誘電体はそれらが行う機能に基づき物
理的かつ化学的構成において変化し得る。ここに述べる
誘電体層はウェットまたはドライ二酸化シリコン(Si
)、窒化物、テトラエチルオルソシリケート(TE
OS)をベースとした酸化物、ボロフォスフェートシリ
ケートガラス(BPSG)、フォスフェートシリケート
ガラス(PSG)、ボロシリケートガラス(BSG)、
酸化物−窒化物−酸化物(ONO)、5酸化タンタル、
プラズマ増強窒化シリコン(P−S)および/ま
たは同様のものとすることができる。特定の誘電体は特
定の誘電体が好ましいかあるいは必要とされる場合に注
記する。
【0011】フォトレジストのマスキング層(図示せ
ず)が誘電体層20の上に被着される。該マスキング層
は伝統的な方法でパターニングされかつエッチングされ
て誘電体層20の一部を露出するマスク開口を形成す
る。誘電体層20の一部は導電層18へと選択的にエッ
チングされ誘電体層20に開口を形成する。導電層18
の一部は誘電体層16へと選択的にエッチングされ導電
層18へのエッチングにより開口を深める。誘電体層1
6の一部は基板12へと選択的にエッチングされ誘電体
層16へとエッチングすることによって開口をさらに深
める。誘電体層16のエッチングは拡散14を露出す
る。誘電体層16および20そして制御電極導電層18
のエッチングによりマスク開口へとセルフアラインされ
る開口を生ずる。該開口はいくつかの場合に「デバイス
開口(device opening)」と称される。
【0012】図1において、拡散14は少なくとも2つ
の方法の内の1つによって形成される。1つの形態で
は、拡散14はフォトレジストマスク、酸化物マスク、
窒化物マスクその他の内の1つを使用することによって
選択的に基板内に注入または拡散することができる。同
様にして、拡散14は酸化物または同様の材料を介して
注入し浅い、ドーパントが分散した接合を保証すること
ができる。この注入または拡散は導電層18の形成の前
に行われる。第2の方法においては、拡散14はデバイ
ス開口の形成の後に注入または拡散することができる。
第2の方法は、注入を使用した場合、得られる拡散14
が前記デバイス開口または開口にセルフアラインされる
という事実のため好ましいものである。該開口は任意の
形状または大きさでよいが好ましくは最小リソグラフサ
イズの円形のコンタクトとされる。
【0013】図2は、側壁誘電体層22の形成ステップ
を示す。側壁誘電体層22は前記開口の形成から生ずる
導電層18の側壁上に形成される。該誘電体はゲート酸
化膜として機能するという事実により、誘電体層22は
たいていの場合成長されたSiO層である。誘電体層
22の成長は薄い誘電体層24が拡散14の露出面上に
成長する結果となる。
【0014】誘電体層24の形成は望ましくない副作用
である。従って、図3は誘電体層24の一部のための誘
電体除去ステップを示す。スペーサ26または同様の形
成物が誘電体層24および隣接する誘電体層22をおお
って形成される。好ましくは、スペーサ26は窒化物で
あり、形状的に円筒形であり、かつ前記デバイス開口の
側壁内にかつ該側壁に隣接して形成される。スペーサ2
6はデバイス開口内の内部開口を形成する。スペーサ2
6は誘電体層22を後の酸化物エッチングから保護する
ために使用される。次に、酸化物エッチングがスペーサ
26および基板12に対し選択的に行われる。該酸化物
エッチングは前記円筒形の誘電体スペーサ26内にある
誘電体層24の部分を除去する。前記スペーサの下にあ
りかつ前記開口の周辺を囲む誘電体層24の部分はエッ
チングされないで残る。スペーサ26は次に伝統的な窒
化物または除去可能なスペーサ技術によって除去され
る。
【0015】図4において、それぞれドレインおよびソ
ースとも称される、第1および第2の電流電極およびチ
ャネル領域が形成される。好ましい形態では、成長され
た導電領域が使用されて前記第1および第2の電流電極
およびチャネル領域を形成する。好ましくは、成長され
た導電領域は材料のベース層のエピタキシャル成長によ
って形成される。
【0016】トランジスタ10はエピタキシャル成長に
適した1つの機器内に置かれる。トランジスタ10を加
熱することによりかつ拡散14または基板12の露出部
分をジクロロシラン(dichlorosilane)
または同様のシリコン源ガスのような化合物にさらすこ
とにより成長が開始される。
【0017】始めに、第1の電流電極またはドレイン電
極28がデバイス開口に形成される。電極28は前記第
1の導電形と反対の第2の導電形で形成される。ドレイ
ン電極28を第2の導電形のドーパント原子でドーピン
グするために、イオン注入も可能であるがインサイチュ
ドーピング(in−situ doping)が好まし
い。インサイチュドーピングはドレイン電極28が成長
の間にドーパントガス源によってドーピングされること
を意味する。もし第2の導電形がP形であれば、ボロン
含有ガスまたは同様のドーパントガスがドレイン電極2
8をドーピングするために使用される。もし第2の導電
形がN形であれば、燐含有、ひ素含有、あるいは同様の
ドーパントガスが電極28をドーピングするために使用
される。ドレイン電極28は、インサイチュドーピング
により、前記第1の誘電体層16に隣接して該ドレイン
電極28が図4に示されるように側壁誘電体層22の底
部に隣接するかあるいはほぼ隣接するまで成長される。
【0018】エピタキシャル成長は同様にして成長しチ
ャネル領域30を形成する。チャネル領域30は、好ま
しくはここに説明するインサイチュドーピングにより、
第1の導電形で形成される。誘電層22はゲート酸化膜
でありかつ導電層18はゲートとして作用するという事
実により、チャネル領域30におけるドーピングはしき
い値電圧を調整するために使用できる。該チャネル領域
は、インサイチュドーピングにより、前記電極が図4に
示されるように側壁電極22の頭部に隣接するかあるい
はほぼ隣接するまで成長される。
【0019】エピタキシャル成長は同様にして継続し第
2の導電形の、ソース電極とも称される、第2の電流電
極を形成する。第2の電流電極は2つのサブ領域を有す
る。これら2つのサブ領域は低ドープ(lightly
doped)電極32および高ドープ(heavil
y doped)電極34である。電極32および34
は成長の間にインサイチュドーピングの濃度を変更する
ことにより形成される。始めに、第2の導電形のドーピ
ングガスは所定の濃度にされる。所定時間の後、かつ従
って所定のエピタキシャル成長厚さになった後、低ドー
プ電極32が形成され、かつドーパント濃度が第2の所
定のレベルに増大される。第2の所定のレベルを維持す
る間に、エピタキシャル成長が続けられて高ドープ電極
34を形成する。
【0020】図4に示されるようにハーフ低ドープドレ
イン(LDD)構造を備えたトランジスタを持つことは
都合がよい。一般に、LDD領域は直列抵抗の増大を生
ずる。もしLDD領域が、該LDD領域が最も必要とさ
れる、ソース電極においてのみ形成できれば、LDD構
成の利点は直列抵抗を低減しながら維持することができ
る。ソースおよびドレイン領域は図4の構造においては
相互交換可能であることに注目すべきである。もしソー
スとドレインとの機能が切り替えられれば(すなわち、
ソースがチャネル領域30の下に横たわって形成されか
つドレインがチャネル領域30の上に横たわって形成さ
れれば)、LDD構造はより下側の電極に対して形成で
きる。また、LDD領域をソースおよびドレインの双方
に対して形成することによりフルLDDトランジスタを
形成できることも明らかである。LDD領域は任意選択
的なものでありかつソースおよびドレイン領域の双方に
対するドーピングは一定とすることが可能なことに注目
することが重要である。
【0021】また、エピタキシャル成長は清浄な面を必
要とし、従って成長を始める前に、伝統的なRCA酸化
クリーン、Ishizaka−Shirakiクリー
ン、または同等のクリーニングサイクルのような、クリ
ーニングサイクルが行われる。さらに、薄膜トランジス
タ(TFT)は上に述べたエピタキシャル手法により形
成できる。もし基板12が単結晶シリコンの代わりに多
結晶シリコンであれば、多結晶シリコンの電極領域およ
びチャネル領域はエピタキシャル的に成長される。この
成長は図4のトランジスタ10と同様の構造の縦形TF
Tを形成する。縦形TFTはメモリセルの設計において
面積を節約することに関して有用である。
【0022】図1における開口の形成は複数のゲート電
極を有する多ゲートトランジスタを形成するために使用
できることに注目することが重要である。複数のゲート
電極または、Nを整数とし、N個のゲートは2つの方法
の内の1つによって形成される。第1の方法はゲート導
電層を形成する段階、リソグラフ的にゲート導電層をマ
スキングする段階、およびN個の物理的に分離した区別
可能なゲートを形成するためにエッチングする段階を含
む。この方法においては、デバイス開口に対するフォト
リソグラフ的なアライメントが重要でありかつ従ってこ
の方法は好まれない。好ましい方法はゲート導電層を単
一領域または層として形成しかつ単一のゲート導電層を
N個の導電ゲート領域に分離するためにデバイス開口の
形成を利用することである。この方法はすべてのゲート
が前記デバイス開口に対しセルフアラインされることを
保証する。図5は、1つの形態で、層18をN個のセル
フアラインされたゲートにエッチングするために使用さ
れるゲート導電層18およびデバイス開口21の頭部斜
視図を示し、図示された例ではNは4である。
【0023】図4において、デバイス開口の周囲に残る
誘電体層24は後のエピタキシャル成長のためのエピタ
キシャルシーディング領域(epitaxial se
eding area)を低減する。従って、図6は、
図2〜図3のステップに置き換えて使用できかつより大
きなエピタキシャルシーディング領域を達成する別の方
法を示す。前記開口を形成するために誘電体層16およ
び20および導電層18をエッチングする場合に、通常
誘電層20のエッチングとこれに続く導電層18のエッ
チング、さらにこれに続く誘電体層16のエッチングが
使用されて基板12を露出しかつトランジスタ10の種
々の特徴部をセルフアラインする。もし導電層18のエ
ッチングの間にオーバエッチまたは等方性エッチが行わ
れれば、導電層18は横方向にエッチングされかつ誘電
体層16および20によって規定される側部を有する
「洞窟(cave)」へとくぼむであろう。前記開口の
側壁から後退した導電層18により、誘電体層22′が
図6に示されるように形成できる。誘電体層22′の形
成は除去することができる拡散14の上に横たわる表面
誘電体層(図示せず)を形成する。相違点はスペーサが
もはや必要でないことである。反応性イオンエッチ(R
IE)が行われてくぼんだ誘電体層22′に影響を与え
ることなく拡散14の表面から表面誘電体層を完全に除
去することができる。
【0024】RIEエッチングの間、プラズマ損傷(p
lasma damage)によって誘電体層22′が
生じ得る。誘電体層22′はゲート酸化膜として機能す
るという事実のため、誘電体層22′は優れた品質のも
のでなければならない。従って、RIEエッチングの間
のプラズマ損傷を避けまたは低減するために、誘電体層
22′は通常N,NO,NHあるいは等価物によ
って窒化される。窒化酸化物材料は他の酸化物材料より
もプラズマ損傷に抵抗する。窒化酸化物は都合のよいも
のであるがトランジスタ10にとっては任意選択的なも
のである。
【0025】NチャネルおよびPチャネルトランジスタ
の双方が形成できる。もし第1の導電形がN形でありか
つ第2の導電形がP形であれば、Pチャネル縦形トラン
ジスタが形成される。もし第1の導電形がP形でありか
つ第2の導電形がN形であれば、Nチャネル縦形トラン
ジスタが形成される。
【0026】たいていの場合、図1のトランジスタ10
はチャネル領域30を完全に囲む導電層18を持つであ
ろう。完全に囲む導電層18は最大の電流伝達能力、よ
り首尾一貫したアスペクト比(トランジスタの幅/トラ
ンジスタの長さの比)、および信頼性あるフォトリソグ
ラフ的なアライメントを可能にする。他の場合には、大
きなパッキング密度がチャネル領域30を導電層18に
よって部分的に囲むことにより達成できる。この大きな
パッキング密度は大部分の多結晶シリコンおよび金属の
設計ルールのための導体間のスペースの要求を避けるこ
とにより達成される。
【0027】トランジスタ10は縦方向に積層された論
理ゲートまたは回路を形成するために使用できる。形成
できるゲートのいくつかはN形金属酸化物半導体(NM
OS)NOR,NANDおよびインバータゲート、およ
びP形金属酸化物半導体(PMOS)NOR,NAND
およびインバータゲートである。相補金属酸化物半導体
(CMOS)NOR,NANDおよびインバータゲート
もまたトランジスタ10によって製造できる。さらに、
NMOSまたはPMOSゲートを抵抗負荷、エンハンス
メント負荷トランジスタ、またはデプレッション負荷ト
ランジスタと共に製造できる。上にあげた論理ゲートを
製造するために使用されるすべてのトランジスタは側壁
誘電体形成、LDD領域、ドーピングプロフィール、拡
散、その他に関しトランジスタ10について上に述べた
すべての柔軟性を持つことができる。
【0028】トランジスタ10は他のトランジスタ10
の頭部上に縦方向に積層しほぼコンタクト用開口の表面
領域を有する論理ゲートおよび回路を実現することがで
きる。該コンタクト用開口またはデバイス開口は通常サ
ブミクロンのサイズである。例えば、Mを整数として、
複数のM個のトランジスタが互いの上に横たわるように
積層される。各々の積層されたトランジスタは独立にN
チャネルまたはPチャネルの装置とすることができる。
PチャネルはトランジスタがP形にドーピングされたソ
ースおよびドレイン領域を有することを意味する。Nチ
ャネルはトランジスタがN形にドーピングされたソース
およびドレイン領域を有することを意味する。各々の積
層されたトランジスタは1からN個の導電性ゲートを持
ち、NはM個の積層されたトランジスタの各々の間で異
なる値とすることができる。
【0029】M個のトランジスタの積層において、底部
のトランジスタの第2の電流電極、または頭部電極、は
上に横たわるトランジスタの第1の電流電極、または底
部電極、に接続される。この接続機構により、P形領域
はいくつかの場合N形領域と接触することになりかつP
N接合を生成する。PN接合の間でダイオード電圧降下
を避けるためサリサイド(salicide)、金属、
シリサイド(silicide)、その他の材料が使用
されて該PN接合を電気的に短絡する。
【0030】上に述べたトランジスタを積層する方法は
小さな基板の表面領域内に論理ゲートおよび回路を形成
するのに十分適したものである。例えば、図7〜図14
はNMOSまたはPMOS2入力NOR論理ゲート11
の形成に適した方法および構造を示す。デバイスがNM
OSまたはPMOSデバイスであるかはドーピング方法
に依存する。NOR論理ゲート11を形成するためには
2つの積層トランジスタが必要である。
【0031】図7〜図14において、図1〜図5の要素
と同様の要素は簡明化のために同じ番号が付されてお
り、かつ従って詳細には説明しない。図1〜図5の各要
素と同様の図7〜図14の各要素は図1〜図5について
ここに述べるすべての変更および修正が可能であるべき
である。図7は実質的に図1〜図2と等価でありかつ誘
電体層16,20および27の形成、導電層18,19
および25の形成、基板12を露出するデバイス開口の
形成、側壁誘電体22および誘電体層24の形成、およ
び拡散14の形成を示している。
【0032】図1〜図5と異なる図7の層および領域に
ついて説明することが重要である。導電層18および1
9は図5に示されたものと同様にして単一導電層から形
成される。導電層25は誘電体層20の上に横たわるよ
うに形成されかつ論理出力導体として機能する。さら
に、誘電体層27は導電層25の上に横たわるように形
成される。導電層18および19はそれぞれ第1の導電
ゲート電極および第2の導電ゲート電極を形成する。従
って、デバイス開口内にかつ前記導電層18および19
に隣接して形成されるトランジスタはダブルゲートのも
のとなる。
【0033】図8において、前に述べた、保護のために
スペーサ26を利用する側壁誘電体方法が示されてい
る。図6において本明細書に提案されたくぼみ側壁手法
は図8のスペーサ方法に対し実行可能な置き換え例であ
りかつ逆も同様である。図8においては誘電体層25の
一部が除去されている。
【0034】図9において、導電領域がほぼ図4と同じ
チャネル領域および電極領域を有しデバイス開口内に形
成されている。図9においては、ハーフLDD構造が示
されている。エピタキシャル成長によって電極およびチ
ャネル領域を形成する方法が図4に関連して詳細に説明
される。前に述べたように、電極およびチャネル領域を
形成する導電領域は任意選択的にフルLDD構造、ある
いはLDD領域を持たない構造で形成できる。導電領域
および導電層18および19は第1のトランジスタを形
成する。
【0035】図10において、誘電体層27の一部が除
去されて導電層25の一部を露出する。短時間のエピタ
キシャル成長工程または被着およびエッチング手順が使
用されて導電層25と高ドープ電極34との間に電気的
コンタクトを形成する。好ましくは、短時間の(bri
ef)エピタキシャル成長工程はエピタキシャルリンク
層36を介して導電層25を高ドープ領域34にリンク
する。層36と同様のリンク層を形成するために側壁コ
ンタクト、他の形式のエピタキシャル成長、被着および
エッチング手順、その他を使用することができる。
【0036】図11において、誘電体層38および42
そして導電層40が形成されて第2のトランジスタの形
成を可能にする。第2のトランジスタは導電層18およ
び19によってゲーティングされる第1のトランジスタ
の上に横たわる。第2のトランジスタはベース層として
高ドープ電極34を使用する。ベース層は上に横たわる
第2のトランジスタの形成のためのエピタキシャル品質
の材料を提供する。誘電体層38および42そして導電
層40は図1において説明されたのと同様にして形成さ
れる。
【0037】図12において、第2のデバイス開口、ま
たは第2の開口が誘電層38および42そして導電層4
0によって形成される。側壁誘電体44が図1〜図2に
関して説明したのと同様にして形成される。第2のトラ
ンジスタは導体40により単一ゲートのものとなる。導
体40は第2の開口の周囲を完全に囲むか、あるいは第
2の開口の周囲を部分的に囲む。誘電体層46は、図7
の誘電体層24と同様に、側壁誘電体層44の形成の間
に高ドープ電極34の上に横たわって形成される。
【0038】図13は、図8と同様の側壁スペーサおよ
び誘電体除去工程を示す。スペーサの下にない誘電体層
46は除去される。図14において、第2の導電領域が
形成され、該第2の導電領域は第1の電流電極50、チ
ャネル領域52および低ドープドレイン領域54および
高ドープドレイン領域56と称されるサブ領域を有する
第2の電流電極を有する。
【0039】図14の構造は、もし適切に接続されれ
ば、NOR論理ゲート11を形成する。NORゲートを
形成するためには、拡散14はグランド電位または基準
電圧端子に接続される。大部分の回路に対するグランド
電位はゼロボルト信号である。いくつかの場合、NMO
S装置、PMOS装置、および種々の回路用途は、+
5.0Vまたは−5.0Vのような、ゼロボルト以内の
値であるグランド電位を必要とする。高ドープ電極56
は電源電位またはターミナルに接続されている。導電層
25はNOR論理ゲート11の出力として機能する。第
1の電流電極50への電気的接続はまたNOR論理ゲー
ト11の出力として機能することに注目することは重要
である。導電層19は第1の入力導体に接続される。従
って、導電層19は論理入力信号Aを伝達するよう配線
される。導電層18は第1の入力導体に接続される。従
って、導電層18は論理入力信号Bを伝達するよう配線
される。
【0040】導電層40はもし第2のトランジスタがエ
ンハンスメントモード装置として機能するようドーピン
グされれば高ドープ領域56に電気的に接続されまたは
導かれる。導電層40はもし第2のトランジスタがデプ
レッションモード装置として機能するようドーピングさ
れれば導電層25に電気的に接続されあるいは導かれ
る。お互いに対する導電層の相互接続、拡散層の導電層
への電気的接続、および導電領域の金属および同様の導
体への電気的接続は技術的に知られているため特に図示
されていない。さらに、プラグ/平坦化コンタクトおよ
びエピタキシャル成長コンタクトはここに述べる相互接
続を形成するのに適している。
【0041】もし図14の電流電極が注入されたまたは
インサイチュドーピングされたP形でありかつチャネル
領域がN形にされれば、得られるNOR論理ゲートはP
MOS NOR論理ゲートである。もし図14の電流電
極が注入されたまたはインサイチュドーピングされたN
形でありかつチャネル領域がP形であれば、得られるN
OR論理ゲートはNMOS NOR論理ゲートである。
本明細書の説明においては、論理ゲートはゲートと称さ
れかつトランジスタのゲート電極と混同すべきではな
い。
【0042】以上の説明および図示はまたインバータ、
NANDゲート、その他のような他のNMOSおよびP
MOSゲートの形成を可能にする。さらに、4入力また
は5入力NORゲート、および3入力NANDゲートの
ような、N入力ゲートの形成もここに開示した図面およ
び明細書により明らかである。インバータ、NANDゲ
ート、その他を作成するために、回路の相互接続は変化
し、積層トランジスタの数は変化し、かつトランジスタ
ごとのゲートの数も変化するが、処理は図1〜図14に
示された情報と同様のものであるべきである。従って、
引き続きNMOSおよびPMOS装置構造がプロセスス
テップに対する最少の強調およびデバイス構造に対する
より多くの強調を持って説明される。
【0043】図15〜図20はCMOS論理インバータ
ゲート13を形成するための方法を示す。図7〜図14
は一度に1つのトランジスタがかつセルフアライメント
なしで積層されたトランジスタが形成される技術を示
す。図15〜図20は複数のトランジスタがスタックで
形成され、該トランジスタが互いにセルフアラインされ
る方法を示す。ここで説明する縦形CMOS論理ゲート
は前記セルフアライン方法または非セルフアライン方法
のいずれをも使用できる。さらに、ここで説明するNM
OSおよびPMOS論理ゲートはセルフアライン方法あ
るいは非セルフアライン方法のいずれを使用することも
できる。
【0044】図15において、基板12および拡散14
が再び示されている。図1〜図14と同様の領域および
層は図15〜図20においても同じ番号が付されてい
る。導電層60,64および68そして誘電体層58,
62,66および70は図示のごとく基板12上に横た
わるように形成されている。誘電体層58,62,66
および70は平坦なものとして図示されている。平坦化
が示されているが機能上の積層デバイスにとっては必要
なものではない。平坦化は地形を改善しかつ、金属層の
ような、上に横たわる導電層における地形的な(top
ographical)問題を低減する。もし平坦化方
法が使用されなければ、「火山形(volcano−s
haped)」の積層論理装置が作成される。
【0045】図16においては、デバイス開口または開
口が形成されている。該開口は側壁を有しかつ導電層6
0,64および68ならびに誘電体層58,62,66
および70の一部をエッチングすることにより形成され
る。導電層60,64および68のエッチングの間に、
導電層60,64および68がオーバエッチングされ図
6に示されるように導電層60,64および68に隣接
する開口の側壁を横方向にくぼませる。このくぼみ形成
は横方向にくぼんだ側壁誘電体層72が誘電体層74の
形成と同時に形成されるようにする。誘電体層72がく
ぼむという事実のため、誘電体層74がここに説明され
るように誘電体層72に影響を与えることなくエッチン
グされる。
【0046】拡散14は、1つの形態においては、図1
5に示されるように導電層60,64および68そして
誘電体層58,62,66および70の形成の前あるい
は形成中に基板12に形成される。好ましい形態におい
ては、拡散14は図16に示されるように開口に対して
セルフアラインされて形成される。図16においては、
互いの頭部に積層されるすべてのトランジスタは互いに
セルフアラインしている。従って、図16はロジックの
積層形成のための完全にセルフアラインされたプロセス
を示す。
【0047】図17においては、第1および第2のトラ
ンジスタが一方が他方の頭部上に形成される。図17は
フルLDDトランジスタの使用を示している。第1のト
ランジスタは低ドープ領域76および高ドープ領域74
を有する第1の電流電極を有する。該第1のトランジス
タはチャネル領域78を有する。該第1のトランジスタ
は低ドープ領域80および高ドープ領域82を有する第
2の電流電極を有する。第2のトランジスタは低ドープ
領域86および高ドープ領域84を有する第1の電流電
極を有する。第2のトランジスタはチャネル領域88を
有する。第2のトランジスタは低ドープ領域90および
高ドープ領域92を有する第2の電流電極を有する。誘
電体層94は前記高ドープ領域92を後のエッチングプ
ロセスおよび損傷から保護する。高ドープ領域および低
ドープ領域は一緒に単一の電流電極を形成する。
【0048】CMOSデバイスにおいては、PN接合に
おけるダイオード電圧降下(通常0.1V〜0.9V)
を避けるべきである。ダイオード電圧降下はPN接合を
サリサイド、金属または同様の材料によって電気的に短
絡することにより避けられる。図18は、図15に示さ
れるプロセスのような、完全にセルフアラインしたプロ
セスが使用される場合にPN接合をサリサイド化(sa
liciding)するために使用できる方法を示す。
エッチングホール96が形成されこれは導電層64を露
出する。エッチング工程が使用されて図18に示される
ように導電層64の一部を除去する。導電層64のエッ
チングにより露出した側壁誘電体72を除去するために
短い誘電体エッチングが使用される。好ましくはフォト
レジストであるマスキング層95が使用されて誘電体層
94を保護する。露出した側壁誘電体72の除去によっ
て高ドープ領域82および84の一部が露出する。
【0049】図19において、適合的な(confor
mal)CVDチタンあるいは同様の材料が被着されて
サリサイドアニール工程が行われサリサイド化領域97
が形成される。効果的な短絡回路が今や高ドープ領域8
2および84によって形成されるPN接合の間にブリッ
ジされている。
【0050】図20においては、導電領域99および9
8が形成されてインバータゲート13への電気的コンタ
クトが作成される。領域98はインバータゲート13の
出力コンタクトを形成し、かつ領域99は電源接続を形
成する。電気的コンタクトを作成するために、誘電体層
94が除去される。
【0051】図18〜図19におけるこのサリサイド形
成工程はそれがセルフアラインされた積層トランジスタ
を有するプロセスにおいて行われるため困難であること
に注目することが重要である。図7〜図14のプロセス
は一度に1つのトランジスタが形成されるという事実の
ためサリサイド形成工程にとってより適している。伝統
的な被着、エッチングおよびアニールサイクルによって
第2のトランジスタの高ドープ領域が形成される前に第
1のトランジスタの高ドープ領域の上にサリサイド領域
を形成することができ、それによって得られたPN接合
を電気的に短絡する。この手法に伴う唯一の不都合は得
られるサリサイド領域が高ドープ領域の大きな表面領域
の上に形成されると導電領域のエピタキシャル成長を妨
げることである。他方のものに対して一方の方法の使用
を決定することは設計上の選択でありかつデバイスの用
途、利用可能な機器、および機器の能力に依存する。
【0052】もし図20の構造が適切に電気的に接続さ
れかつドーピングされれば、CMOSインバータが得ら
れる。CMOSインバータが動作するためには、第1の
トランジスタまたは底部トランジスタはNチャネルトラ
ンジスタとすべきであり、この場合電流電極はN形であ
る。第2のトランジスタまたは頭部トランジスタはPチ
ャネルトランジスタとすべきであり、この場合電流電極
はP形である。
【0053】拡散14はグランド電位または基準電圧端
子に接続され、かつ高ドープ電流領域92は電源電位ま
たは電源端子に接続される。導電層60および68は一
緒に接続されあるいは導かれて論理信号Aを伝達する
か、あるいは個々に異なるソースから同じ論理信号Aを
伝達する。導電層98または高ドープ領域82または8
4のいずれかに接続された導電層はCMOSインバータ
ゲート13の出力信号を伝達する。
【0054】図1〜図14における情報はインバータ、
NANDゲートその他のような他のCMOSゲートを形
成するために同様にして適用できる。さらに、3入力C
MOS NORゲートおよび3入力NANDゲートのよ
うな、N入力のゲートの構成も図1〜図20に開示され
た情報が与えられれば明らかである。インバータ、NA
NDゲートその他を作成するために、回路の相互接続が
変わり、積層トランジスタの数が変わり、かつトランジ
スタごとのゲートの数が変化するが、処理は図1〜図2
0に示されたプロセスおよび情報と同じであるべきであ
る。従って、CMOSデバイス構造について処理工程に
対し最小限の強調をもって詳細に説明する。
【0055】図21においては、図20のCMOSイン
バータゲート13が頭部斜視図で示されている。導電層
60,64および68のみが図21に開口73として示
されている開口と共に図示されている。
【0056】図22においては、知られたMOSインバ
ータ回路が概略的に図示されている。接続100は、抵
抗負荷装置として機能する、頭部トランジスタがエンハ
ンスメントモードのトランジスタであれば形成される。
接続101はもし頭部トランジスタがデプレッションモ
ードのトランジスタであれば形成される。
【0057】図23においては、第2の知られたMOS
インバータ回路が概略的に示されている。負荷トランジ
スタに対し同じエンハンスメントおよびデプレッション
のトンジスタ接続が示されているが番号は付けられてい
ない。図23は図22を上下逆に反転したものである。
回路動作の点からは、図22および23は等価である
が、図22および23は各々2つの異なる本発明の積層
トランジスタ構造に対応する。
【0058】図24は本発明にかかわる積層MOSイン
バータの断面図を示す。図1〜図20の説明から、図2
4の構造を形成する本発明の方法およびステップは明ら
かである。前の図と同様の要素は同じ番号となってい
る。図24は同様に基板12を有する。拡散109が基
板12内に形成されている。底部の第1のトランジスタ
は単一ゲート導電層116、第1の電流電極102、チ
ャネル領域103、低ドープ領域104、高ドープ領域
105、出力導電層120、および誘電体層114およ
び118を有する。上に横たわる第2のトランジスタは
単一ゲート導電層124、第1の電流電極106、チャ
ネル領域108、低ドープ領域110、高ドープ領域1
12および誘電体層122および126を有する。前の
図の領域および層と同様の機能の領域および層は後の図
においても同じ番号が付されておりあるいは番号が付さ
れていない。
【0059】図22のインバータを形成するためには、
高ドープ領域112が電源電位または端子に接続されあ
るいは導かれる。拡散109はグランド電位または基準
電圧端子に接続されあるいは導かれる。領域105、電
流電極106または出力導体層120はインバータの出
力信号を伝達する。導電層116は入力論理信号を伝達
するよう配線される。導電層124は前記第2のトラン
ジスタがエンハンスメントモードのトランジスタであれ
ば高ドープ領域112に接続され、あるいは前記第2の
トランジスタがデプレッションモードのトランジスタで
あれば前記出力導体層120に接続される。デプレッシ
ョンモードまたはエンハンスメントモードの動作は主と
してチャネル領域形成の間のインサイチュドーピング濃
度により決定される。
【0060】図23のインバータを形成するためには、
高ドープ領域112がグランド電位または基準電圧端子
に接続されあるいは導かれる。拡散109は電源電位ま
たは端子に接続されあるいは導かれる。領域105、電
流電極106または出力導体層120はインバータの出
力信号を伝達する。導電層124は入力論理信号Aに接
続される。導電層116は第2の(底部)トランジスタ
がエンハンスメントモードのトランジスタであれば拡散
109に接続されあるいは該第2の(底部)トランジス
タがデプレッションモードのトランジスタであれば前記
出力導体層120に接続される。
【0061】図22または図23のいずれの場合にも、
図24の双方のトランジスタはNMOS論理に対しNチ
ャネルのトランジスタとして形成されるか、あるいはP
MOS論理に対してPチャネルトランジスタとして形成
される。
【0062】図25において、知られたMOS NOR
ゲートが概略的に示されている。NORゲートのプロセ
スフローがここに提案されているという事実のため、該
デバイスの説明は簡単に行う。図25において、接続1
26または接続127がエンハンスメントまたはデプレ
ッションモードのトランジスタ動作に応じて抵抗負荷装
置として機能するトランジスタを接続するために使用さ
れる。
【0063】図26において、NORゲートは概略的に
示されており基板12および拡散128を有する。底部
または第1のトランジスタはダブルゲートのものであり
かつ2つのゲート導電層142および132、出力導電
層136、第1の電流電極144、チャネル領域14
5、低ドープドレイン領域146、高ドープドレイン領
域147、および誘電体層130および134を有す
る。頭部または第2トランジスタは単一ゲートのもので
ありかつ1つのゲート導電層140、第1の電流電極1
48、チャネル領域149、低ドープドレイン領域15
0、高ドープドレイン領域152および誘電体層138
および141を有する。
【0064】図25のNORゲートとして機能するため
には、高ドープドレイン領域152は電源電位または端
子に接続されあるいは導かれる。拡散128はグランド
電位または基準電圧端子に接続されあるいは導かれる。
高ドープドレイン領域147、電流電極148または導
電層136はNORゲートの出力信号を通信する。導電
ゲート142は第1の入力信号Aに接続され、あるいは
第1の入力導体として作用し、導電ゲート132は第2
の入力信号Bに接続されあるいは第2の入力導体として
作用する。出力導電層136は出力論理信号(A NO
R B)を提供する。
【0065】第2のトランジスタはここで述べられてい
るようにデプレッションまたはエンハンスメント動作に
対して適切に接続される。さらに、PMOSおよびNM
OSNORゲートはここに述べられているようにドーピ
ング導電形を変更または変化させることにより可能であ
る。
【0066】図27においては、別の知られたNOR回
路が概略的に示されている。前と同様に、負荷装置とし
て機能するトランジスタに対し接続153および154
によってそれぞれデプレッションモードおよびエンハン
スメントモードの接続が示されている。
【0067】図28においては、図27によるNORゲ
ートが図示されており、これは基板12および拡散16
2を有する。底部または第1のトランジスタは1つのゲ
ート導体層164による単一ゲートのものであり、出力
導電層166を有し、かつ適切なLDD領域、電極およ
びチャネル領域を有する。頭部または第2のトランジス
タはダブルゲートのものでありかつ2つのゲート導電層
156および158を有し、かつすべての適切なLDD
領域、電極およびチャネル領域を有する。
【0068】図25のNORゲートとして機能するため
には、高ドープドレイン領域160はグランド電位また
は基準電圧端子に接続されまたは導かれる。拡散162
は電源電位または端子に接続されまたは導かれる。第1
のトランジスタの高ドープドレイン領域147、第2の
トランジスタの第1の電流電極148、または導電層1
66はNORゲートの出力信号を通信する。導電ゲート
156は第1の入力信号Aに接続されあるいは第1の入
力導体として作用し、かつ導電ゲート158は第2の入
力信号Bに接続されあるいは第2の入力導体として作用
する。出力導電層166は論理信号(A NOR B)
を提供する。
【0069】第1のトランジスタはここで述べられてい
るようにデプレッションまたはエンハンスメント動作に
対し適切に接続されている。さらに、PMOSおよびN
MOS NORゲートの双方がドーピング導電形を変更
しあるいは変化させることにより可能である。
【0070】図29においては、知られたNAND回路
が概略的に示されている。前と同様に、デプレッション
モードおよびエンハンスメントモード接続がそれぞれ抵
抗負荷装置として機能するトランジスタに対し接続16
3および165によって図示されている。
【0071】図30においては、図29に従ってNAN
Dゲートを形成するのに適した構造が図示されており、
これは基板12および拡散194を有する。底部または
第1トランジスタは1つのゲート導電層186による単
一ゲートのものであり、かつ適切なLDD領域、電極お
よびチャネル領域を有する。中間または第2のトランジ
スタは単一ゲートのものでありかつ1つのゲート導電層
188、出力導電層190およびすべての適切なLDD
領域、電極、およびチャネル領域を有する。頭部または
第3のトランジスタは単一ゲートのものでありかつ1つ
のゲート導電層192、および前記適切なLDD領域、
電極およびチャネル領域のすべてを有する。
【0072】図29のNANDゲートとして機能するた
めには、高ドープドレイン領域196は電源電位または
端子に接続されまたは導かれる。拡散162はグランド
電位または基準電圧端子に接続されまたは導かれる。第
2のトランジスタの高ドープドレイン領域、第3のトラ
ンジスタの第1の電流電極、または導電層190はNA
NDゲートの出力信号を通信する。導電ゲート186は
第1の入力信号Aに接続されあるいは第1の入力導体と
して作用し、そして導電ゲート188は第2の入力信号
Bに接続されあるいは第2の入力導体として作用する。
導電層190の出力は出力論理信号(A NAND
B)を提供する。
【0073】前記第3のトランジスタはここに述べられ
ているようにデプレッションまたはエンハンスメント動
作に対して適切に接続される。さらに、PMOSおよび
NMOS NORゲートがドーピング導電形を変化させ
または変更することにより可能である。
【0074】図31においては、別の知られたNAND
回路が概略的に示されている。前と同様に、デプレッシ
ョンモードおよびエンハンスメントモード接続がそれぞ
れ抵抗負荷装置として機能するトランジスタに対し接続
168および175によって示されている。
【0075】図32においては、図31に従ってNAN
Dゲートを形成するのに適した構造が図示されており、
これは基板12および拡散165を有する。底部または
第1のトランジスタは1つのゲート導電層166による
単一ゲートのものであり、かつ出力導電層170を有
し、さらに適切なLDD領域、電極およびチャネル領域
を有する。中間または第2のトランジスタは単一ゲート
のものでありかつ1つのゲート導電層174を有し、そ
して適切なLDD領域、電極およびチャネル領域のすべ
てを有する。頭部または第3のトランジスタは単一ゲー
トのものでありかつ1つのゲート導電層180を有し、
そして適切なLDD領域、電極およびチャネル領域のす
べてを有する。
【0076】図31のNANDゲートとして機能するた
めには、高ドープドレイン領域184はグランド電位ま
たは基準電圧端子に接続されまたは導かれる。拡散16
2は電源電位または端子に接続されまたは導かれる。第
1のトランジスタの高ドープドレイン領域、第2のトラ
ンジスタの第1の電流電極、または導電層170はNA
NDゲートの出力信号を通信する。導電ゲート174は
第1の入力信号Aに接続されまたは第1の入力導体とし
て作用し、かつ導電ゲート180は第2の入力信号Bに
接続されまたは第2の入力導体として作用する。出力導
体層170は論理信号(A NAND B)を提供す
る。
【0077】第1のトランジスタはここに述べられてい
るようにデプレッションまたはエンハンスメント動作に
対して適切に接続される。さらに、PMOSおよびNM
OSNORゲートの双方がドーピング導電形を変更しあ
るいは変化させることにより可能である。
【0078】図33においては、別の知られた抵抗プル
アップNOR回路が概略的に示されている。いくつかの
場合、デプレッションモードまたはエンハンスメントモ
ードトランジスタは抵抗装置または抵抗器197によっ
て置き換えられる。
【0079】図34においては、図33に従ってNOR
ゲートを形成するのに適した構造が図示されており、こ
の構造は基板12および拡散202を有する。単一のト
ランジスタまたは第1のトランジスタは2つのゲート導
電層200および198によるダブルゲートのものであ
る。出力導電層199は第1のトランジスタに接続し、
かつ該第1のトランジスタは適切なLDD領域、電極お
よびチャネル領域を有する。抵抗成長領域204または
プラグは図34に示されている。抵抗層206も図示さ
れている。一般に、抵抗層206または領域204の内
の1つのみが抵抗を作成するのに必要であるが、もし大
きな抵抗が望まれるかあるいは用途によって両方が使用
されることが必要な場合には両方を使用することができ
る。図34に示された抵抗プルアップ装置は容易にNA
NDゲート、インバータおよび他の論理ゲートならびに
NORゲートに適用できることが明らかである。
【0080】図35においては、知られたCMOSイン
バータ回路が概略的に示されている。上部トランジスタ
はPチャネル装置でありかつ下部トランジスタはNチャ
ネル装置である。
【0081】図20において、前に述べた構造はまた図
33の反転ゲート13を形成するのに適切である。図2
0の反転ゲート13の形成は前に説明したから、相互接
続の説明のみを行う。
【0082】図20のインバータが図35の反転ゲート
として機能するためには、高ドープドレイン領域92は
電源電位または端子に接続されまたは導かれる。拡散1
4はグランド電位または基準電圧端子に接続されまたは
導かれる。第1のトランジスタの高ドープ領域82、第
2のトランジスタの高ドープ領域84、または導電層9
8は前記反転ゲートの出力信号を提供する。導電ゲート
60および68は入力信号に接続されまたは第1の入力
導体として作用する。出力導電層98は反転された論理
出力信号を提供する。第1のトランジスタまたは底部ト
ランジスタはNチャネル装置であり、かつ第2のトラン
ジスタまたは頭部トランジスタはPチャネル装置であ
る。
【0083】図36において、別の知られたCMOSイ
ンバータ回路が概略的に示されている。上部トランジス
タはNチャネル装置でありかつ下部トランジスタはPチ
ャネル装置である。
【0084】図20のインバータが図36の反転ゲート
として機能するためには、高ドープドレイン領域92は
グランド電位または基準電圧端子に接続されまたは導か
れる。拡散14は電源電位または端子に接続されまたは
導かれる。第1のトランジスタの高ドープドレイン領域
82、第2のトランジスタの高ドープドレイン領域8
4、または導電層98は該反転ゲートの出力信号を提供
する。導電ゲート60および68は入力信号に接続され
または第1の入力導体として作用する。出力導電層98
は反転出力論理信号を提供する。第1のトランジスタま
たは底部トランジスタはPチャネル装置であり、かつ第
2のトランジスタまたは頭部トランジスタはNチャネル
装置である。
【0085】図37においては、知られたCMOS N
OR回路が概略的に示されている。下部の2つのトラン
ジスタはNチャネル装置でありかつ上部の2つのトラン
ジスタはPチャネル装置である。
【0086】図38においては、知られたCMOS N
AND回路が概略的に示されている。下部の2つのトラ
ンジスタはPチャネル装置でありかつ上部の2つのトラ
ンジスタはNチャネル装置である。
【0087】図39においては、図38に従ってCMO
S NANDゲートを形成するかあるいは図37に従っ
てCMOS NORゲートを形成するのに適した構造が
図示されている。図39は基板12および拡散220を
有する。底部または第1のトランジスタはゲート導電層
208および209によるダブルゲートのものであり、
出力導電層212を有し、サリサイド化(salici
ded)領域218を有し、かつ適切なLDD領域、電
極およびチャネル領域を有する。中間または第2のトラ
ンジスタは単一ゲートのものでありかつ1つのゲート導
電層214を有しかつ適切なLDD領域、電極およびチ
ャネル領域のすべてを有する。頭部または第3のトラン
ジスタは単一ゲートのものでありかつ1つのゲート導電
層216を有し、かつ適切なLDD領域、電極およびチ
ャネル領域のすべてを有する。
【0088】図37のNORゲートとして機能するため
には、高ドープドレイン領域222は電源電位または端
子に接続されまたは導かれる。拡散220はグランド電
位または基準電圧端子に接続されまたは導かれる。第1
のトランジスタの第2の電流電極、第2のトランジスタ
の第1の電流電極、または導電層212はNORゲート
の出力信号を提供する。導電ゲート層214および20
9は第1の入力信号Aに接続されまたは第1の入力導体
として作用し、そして導電ゲート216および208は
第2の入力信号Bに接続されまたは第2の入力導体とし
て作用する。出力導電層212は出力論理信号(A N
OR B)を提供する。論理信号AおよびBは図示され
た入力導電層に異なる順序で接続することができること
は明らかである。NANDゲート、NORゲート、およ
びその他に対する入力は、論理機能の観点から、相互交
換可能でありかつ等価であることが分かる。論理信号A
は導電層216または214のいずれかにかつ導電層2
08または209のいずれかに接続される。同様にし
て、論理信号Bは論理信号Aによって使用されない導電
層に接続される。第1のまたは底部トランジスタはNチ
ャネルトランジスタでありかつ第2および第3のトラン
ジスタはPチャネルトランジスタである。
【0089】図38のNANDゲートとして機能するた
めには、高ドープドレイン領域222はグランド電位ま
たは基準電圧端子に接続されまたは導かれる。拡散22
0は電源電位または端子に接続されまたは導かれる。第
1のトランジスタの第2の電流電極、第2のトランジス
タの第1の電流電極、または導電層212はNANDゲ
ートの出力信号を提供する。導電ゲート層214およひ
209は第1の入力信号Aに接続されまたは第1の入力
導体として作用し、そして導電ゲート216および20
8は第2の入力信号Bに接続されまたは第2の入力導体
として作用する。出力導電層212は出力論理信号(A
NAND B)を提供する。論理信号AおよびBは前
に述べたようにゲート導電層によって異なる構成でゲー
ト導電層に伝達できることは明らかである。第1のトラ
ンジスタはPチャネルトランジスタでありかつ第2およ
び第3のトランジスタはNチャネルトランジスタであ
る。
【0090】図40においては、知られたCMOS N
OR回路が概略的に図示されている。下部の2つのトラ
ンジスタはPチャネル装置でありかつ上部の2つのトラ
ンジスタはNチャネル装置である。
【0091】図41においては、知られたCMOS N
AND回路が概略的に図示されている。下部の2つのト
ランジスタはNチャネル装置でありかつ上部の2つのト
ランジスタはPチャネル装置である。
【0092】図42においては、図41に従ってNAN
Dゲートを形成するかあるいは図40に従ってNORゲ
ートを形成するのに適した構造が示されている。図42
は基板12および拡散240を有する。底部または第1
のトランジスタはゲート導電層224による単一ゲート
のものであり、かつ前に述べたLDD領域、電極および
チャネル領域を有する。中間または第2のトランジスタ
は単一ゲートのものでありかつ1つのゲート導電層22
6、出力導電層228、サリサイド領域238および前
に述べたLDD領域、電極、およびチャネル領域を有す
る。頭部または第3のトランジスタはダブルゲートのも
のでありかつ2つのゲート導電層232および234、
および適切なLDD領域、電極およびチャネル領域のす
べてを有する。
【0093】図40のNORゲートとして機能するため
に、高ドープドレイン領域236はグランド電位または
基準電圧端子に接続されまたは導かれる。拡散240は
電源電位または端子に接続されまたは導かれる。第2の
トランジスタの第2の電流電極、第3のトランジスタの
第1の電流電極、または導電層228はNORゲートの
出力信号を提供する。導電ゲート層224および232
は第1の入力信号Aに接続されまたは第1の入力導体と
して作用し、そして導電ゲート226および234は第
2の入力信号Bに接続されまたは第2の入力導体として
作用する。出力導電層228は出力論理信号(A NO
R B)を提供する。論理信号AおよびBは上に述べた
のと異なる構成に接続することができることも明らかで
ある。第1および第2のトランジスタはPチャネルトラ
ンジスタでありかつ第3または頭部トランジスタはNチ
ャネルトランジスタである。
【0094】図41のNANDゲートとして機能するた
めには、高ドープドレイン領域236は電源電位または
端子に接続されまたは導かれる。拡散240はグランド
電位または基準電圧端子に接続されまたは導かれる。第
2のトランジスタの第2の電流電極、第3のトランジス
タの第1の電流電極、または導電層228はNANDゲ
ートの出力信号を提供する。導電ゲート層224および
232は第1の入力信号Aに接続されまたは第1の入力
導体として作用し、そして導電ゲート226および23
4は第2の入力信号Bに接続されまたは第2の入力導体
として作用する。出力導電層228は論理信号(A N
AND B)を提供する。論理信号AおよびBは上に述
べたのと同じ機能を維持しながら異なる順序でゲート導
電層に接続できることも明らかである。第1および第2
のトランジスタはNチャネルトランジスタでありかつ第
3または頭部トランジスタはPチャネルトランジスタで
ある。
【0095】ここに述べた情報により、任意の積層論理
構造またはデバイスが形成できる。任意の論理装置を形
成するために、複数のNの階層または階級順に積層され
たトランジスタが形成され、ここでNは整数である。階
級順(rank ordered)は複数のN個の階級
順に積層されたトランジスタにおける第1のトランジス
タが該複数のN個の階級順に積層されたトランジスタの
第2のトランジスタの下にあり、かつ以下同様であるこ
とを意味する。一般に、第1のトランジスタを除き各ト
ランジスタはすぐ下の階級のトランジスタの上に横たわ
る。前記複数のN個の階級順に積層されたトランジスタ
における各トランジスタは第1および第2の電流電極お
よび制御電極を有する。各々のトランジスタに対し、第
1の電流電極は縦方向で第2の電流電極の上に横たわり
あるいは第2の電流電極は縦方向で第1の電流電極の上
に横たわる。トランジスタの制御電極および第1および
第2の電流電極は導体により論理ゲートまたは論理装置
を形成するよう導かれまたは接続される。
【0096】上に述べたプロセスの統合は重要な事項で
ある。図37〜38に示された論理ゲートの構造は同じ
でありかつ図40〜41に示された論理ゲートの構造は
同じである。もし図37〜38の論理ゲートまたは図4
0〜41の論理ゲートがプロセスの流れにおいて同時に
形成されれば、これらのゲートはすべてのゲート電極お
よび出力導体を形成するのにたった4つの導電層を必要
とするのみである。1つの問題は図37と図38との間
ですべての頭部、中間および底部トランジスタが異なる
導電形を持つことである。同様にして、図40と図41
との間で同じ頭部、中間または底部レベルにあるすべて
のトランジスタは異なる導電形を有する。変化する導電
形のトランジスタは同じエピタキシャルまたはドーピン
グ材料によって形成することはできず、従って別個のエ
ピタキシャル、注入または被着プロセスによって形成さ
れなければならない。NANDおよびNORのこの組合
わせに対するドーピングの相違は低温選択エピタキシャ
ル成長にとって問題ではない。
【0097】もし図37の論理ゲートが図41の論理ゲ
ートと共に集積回路内に形成されれば、種々の論理ゲー
ト内のトランジスタは容易に同時に形成できるが、それ
は同じ縦方向レベルの電極のいくつかの導電型が同じで
あるという事実による。同様にして、もし図38の論理
ゲートが図40の論理ゲートと共に形成されれば、同じ
縦方向レベルの電極のいくつかの導電型が同じてあると
いう事実のため多くのトランジスタが同時に形成でき
る。例えば、図42の底部(第1の)および頭部(第3
の)トランジスタは同じ導電型、PチャネルまたはNチ
ャネル、である。これは第1および第3のトランジスタ
が同じドーピングまたはエピタキシャル工程において形
成できるように、それによって装置が熱に晒されること
が少なくなりかつ処理ステップが低減する。さらに、上
に述べたようして装置を形成することにより、グランド
接続はすべて同じ第1のデバイスレベルにありかつ電源
接続はすべて同じ第2のデバイスレベルにあることにな
る。例えば、すべてのグランド電位領域は拡散でありか
つすべての電源電位領域は第1のレベルの金属を介して
接続される。1つの問題は5つの導電線または5つの多
結晶シリコン層がNANDおよびNORゲートのこの組
合わせを形成するのに必要なことである。5つの層はN
ORゲートおよびNANDゲートの出力導体が同じ縦方
向面にないためである。
【0098】1つの解決方法はインバータ、NOR、N
AND、AND、OR、XORおよび他の論理機能のよ
うなすべての論理ゲートを集積回路上に形成するために
NANDまたはNORゲートのみを使用することであ
る。技術的にNANDまたはNORゲートを使用してド
モルガンの法則(Demorgan’s law)また
は他の論理法則によって教示される他の論理ゲートを形
成するために使用できることが知られている。
【0099】
【発明の効果】論理ゲートを形成するためにここに提案
された本発明の方法および装置は小さな表面領域、改善
された短チャネル作用、および少ないチャネル長変動を
有する縦型トランシズタ論理および縦型回路を提供す
る。電流電極およびチャネル領域が基板から絶縁される
ためリーケージ電流が低減する。トランジスタ10の長
さは図1に示される導電層18の被着厚さLによって制
御される。従って、本発明のトランジスタはリソグラフ
に依存せず、リソグラフで可能なものより小さく、かつ
より小さな変動で制御されるゲートおよびチャネル長を
有する。さらに、被着厚さLおよび増大しない基板表面
積を使用してPチャネル装置のアスペクト比およびデバ
イス特性を調整しNチャネルのデバイス特性と整合させ
ることができる。
【0100】本発明のトランジスタのデバイス幅は同じ
表面積の伝統的なプレーナトランジスタよりも大きい
が、それは円筒または円柱型トランジスタのチャネル幅
は該円筒の周囲の長さ(circumference)
であるためである。該円筒(cylinder)は丸い
ことが要求されず、かつ三角、四角、長円形、正方形、
または他の幾何学的形状とすることが可能なことに注意
すべきである。より短いチャネル長およびより大きなチ
ャネル幅のため、本トランジスタ論理の電流伝達能力は
論理回路の表面積を増大することなく増大する。ほとん
どすべての場合において、基板の表面積は論理的な用途
については少なくなるであろう。
【0101】本発明のトランジスタおよびそれによって
得られるロジックは集積回路においてリソグラフ的に最
も小さな性能サイズのコンタクト内に形成される。さら
に、ロジックの形成は該ロジックが図15〜16のよう
に完全にセルフアラインされて形成されればたった一度
のフォトリソグラフ工程を要求するのみとすることがで
きる。各トランジスタが一度に1つずつ順次形成される
場合でもトランジスタのソース電極、ドレイン電極およ
びチャネル領域の形成に必要なすべてのものは1つのマ
スクでよい。拡散およびゲートのような、ここに開示さ
れたトランジスタの多くの特徴部分はセルフアラインす
ることができる。非対象ソースおよびドレイン電極が生
成されかつLDDおよびハーフLDDトランジスタが容
易に形成される。チャネル領域のバルク反転はサブミク
ロンのチャネル領域寸法に対して達成できる。ロー論理
のオフ電流、知られたクロストーク現象、および基板へ
の電流リーケージは多くの拡散が直列的に配置されかつ
基板から分離されるという事実のため最小化される。
【0102】本発明のトランジスタは論理ゲートにおい
て互いに物理的に接近して配置され、より大きなアスペ
クト比を有し、かつ数多くの多結晶シリコン、シリコン
および金属接合を避けるという事実のため、論理装置の
動作速度は増大する可能性を有している。
【0103】いくつかの場合、本発明のトランジスタは
完全に使い尽くすまたは空乏化する(deplete)
にはあまりにも大きすぎる開口に形成される。完全に空
乏化したトランジスタおよびバルク反転トランジスタは
有利でありかつもし小さなリソグラフ寸法が達成されれ
ばここに教示するトランジスタについて可能である。全
チャネル領域デプレッションまたはバルクチャネル反転
はまた改善された性能を達成するために大きな寸法のト
ランジスタについても望ましい。もし側壁コンタクトが
本発明のトランジスタのチャネル領域に作成されかつ該
側壁コンタクトが、デバイスの導電型に応じて、基板ま
たは電源に接続されれば、改善されたデプレッションが
達成できる。前記側壁コンタクトは非ゲート(non−
gated)側壁部分または部分的に囲まれたチャネル
領域を有するトランジスタチャネル領域を有する論理ゲ
ートについて可能である。ここに提案された部分的に囲
むゲート構造のため、チャネルコンタクトを作成できか
つデプレッションが改善される。
【0104】本発明が特定の実施例に関して図示されか
つ説明されたが、当業者にはこれ以外の変更および改善
をなすことができる。例えば、XORゲート、トランス
ファゲート、トライステートバッファ、バッファ、OR
ゲート、ANDゲートおよび他の積層デジタル論理装置
または回路のような他の論理ゲートおよび回路装置を本
発明のプロセスおよびトランジスタによって作成でき
る。側壁誘電体形成のための種々の技術が存在しかつ種
々のスペーサ技術を本発明と組合わせて用いることがで
きる。種々のシリサイド化方法が存在する。本発明の装
置の多くの特徴部分が任意選択的にセルフアラインされ
あるいはセルフアラインされないようにすることができ
る。LDDなし、ハーフLDD、フルLDD、ダブルL
DDおよびインサイチュー・グレーデッドLDD電極構
造のような、数多くのデバイス構造が可能である。窒化
ゲート酸化物を使用して側壁ゲート誘電体へのRIE
(反応性イオンエッチング)の損傷を低減することがで
きる。広範囲の論理構造およびデバイスのドーピングに
おける種々の差異のため種々のプロセス統合機構が可能
である。2入力NANDおよびNORゲートが特にここ
に述べられている。ここに教示される方法および装置は
2入力より多くの入力の論理ゲートを形成するために使
用できることが明らかである。従って、本発明はここに
示された特定の形態に限定されるものではなくかつ添付
の請求の範囲においてこの発明の精神および範囲から離
れることのないすべての変形をカバーするものと考えて
いることが理解されるべきである。
【図面の簡単な説明】
【図1】本発明に係わるトランジスタおよびその形成方
法を示す断面図である。
【図2】本発明に係わるトランジスタおよびその形成方
法を示す断面図である。
【図3】本発明に係わるトランジスタおよびその形成方
法を示す断面図である。
【図4】本発明に係わるトランジスタおよびその形成方
法を示す断面図である。
【図5】複数のゲートを有するトランジスタを形成する
ために図1の方法が使用される方法を示す頭部斜視図で
ある。
【図6】本発明に係わるトランジスタのための側壁誘電
体形成の別の方法を示す断面図である。
【図7】本発明に係わるトランジスタを使用したMOS
2入力NORゲートおよびその形成方法を示す断面図で
ある。
【図8】本発明に係わるトランジスタを使用したMOS
2入力NORゲートおよびその形成方法を示す断面図で
ある。
【図9】本発明に係わるトランジスタを使用したMOS
2入力NORゲートおよびその形成方法を示す断面図で
ある。
【図10】本発明に係わるトランジスタを使用したMO
S2入力NORゲートおよびその形成方法を示す断面図
である。
【図11】本発明に係わるトランジスタを使用したMO
S2入力NORゲートおよびその形成方法を示す断面図
である。
【図12】本発明に係わるトランジスタを使用したMO
S2入力NORゲートおよびその形成方法を示す断面図
である。
【図13】本発明に係わるトランジスタを使用したMO
S2入力NORゲートおよびその形成方法を示す断面図
である。
【図14】本発明に係わるトランジスタを使用したMO
S2入力NORゲートおよびその形成方法を示す断面図
である。
【図15】本発明に係わるトランジスタを使用したCM
OSインバータゲートおよびその形成方法を示す断面図
である。
【図16】本発明に係わるトランジスタを使用したCM
OSインバータゲートおよびその形成方法を示す断面図
である。
【図17】本発明に係わるトランジスタを使用したCM
OSインバータゲートおよびその形成方法を示す断面図
である。
【図18】本発明に係わるトランジスタを使用したCM
OSインバータゲートおよびその形成方法を示す断面図
である。
【図19】本発明に係わるトランジスタを使用したCM
OSインバータゲートおよびその形成方法を示す断面図
である。
【図20】本発明に係わるトランジスタを使用したCM
OSインバータゲートおよびその形成方法を示す断面図
である。
【図21】図20のCMOS反転ゲートを示す頭部斜視
図である。
【図22】伝統的なMOS反転ゲートを示す概略的回路
図である。
【図23】別の伝統的なMOS反転ゲートを示す回路図
である。
【図24】本発明に係わるMOS反転ゲートを示す断面
図である。
【図25】伝統的なMOS NORゲートを示す回路図
である。
【図26】本発明に係わるMOS NORゲートを示す
断面図である。
【図27】別の従来のMOS NORゲートを示す回路
図である。
【図28】本発明に係わる他のMOS NORゲートを
示す断面図である。
【図29】従来のMOS NANDゲートを示す回路図
である。
【図30】本発明に係わるMOS NANDゲートを示
す断面図である。
【図31】別の従来のMOS NANDゲートを示す電
気回路図である。
【図32】本発明に係わるMOS NANDゲートを示
す断面図である。
【図33】従来の抵抗プルアップMOS NORゲート
を示す回路図である。
【図34】本発明に係わる抵抗プルアップMOS NO
Rゲートを示す断面図である。
【図35】従来のCMOS反転ゲートを示す回路図であ
る。
【図36】別の従来のCMOS反転ゲートを示す回路図
である。
【図37】従来のCMOS NORゲートを示す回路図
である。
【図38】従来のCMOS NANDゲートを示す回路
図である。
【図39】本発明に係わるNORゲートまたはNAND
ゲートの形成に適した構造を示す断面図である。
【図40】従来のCMOS NORゲートを示す回路図
である。
【図41】従来のCMOS NANDゲートを示す回路
図である。
【図42】本発明に係わるNORゲートまたはNAND
ゲートの形成に適した構造を示す断面図である。
【符号の説明】
10 トランジスタ 12 基板 14 拡散 18 ゲート導電層 22 側壁誘電体層 28 第1の電流電極領域 30 チャネル領域 34 第2の電流電極領域
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.5 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/336 9056−4M H01L 29/78 311 X 9168−4M 321 Y (72)発明者 カルロス・エイ・マズーア アメリカ合衆国テキサス州78739、オース チン、メドフィールド・コート 10910 (72)発明者 キース・イー・ウィテック アメリカ合衆国テキサス州78704、オース チン、マンチャカ・ロード 3204 #214

Claims (1)

    【特許請求の範囲】
  1. 【請求項1】 半導体装置(11)であって、 1つの面を有する基板(12)、 前記基板(12)の前記面の上に少なくとも部分的に横
    たわる第1のトランジスタ(19,28,32)であっ
    て、該第1のトランジスタ(19,28,32)は第1
    の電流電極(28)、該第1の電極(28)の上に横た
    わる第2の電流電極(32)、前記第1および第2の電
    流電極(28,32)の間のチャネル領域(30)、お
    よび該チャネル領域に隣接する少なくとも1つのゲート
    電極(19)を有する第1のトランジスタ、 前記第1のトランジスタ(19,28,32)の上に横
    たわる第2のトランジスタ(40,50,54)であっ
    て、該第2のトランジスタ(40,50,54)は第1
    の電流電極(50)、該第1の電流電極(50)の上に
    横たわる第2の電流電極(54)、前記第1および第2
    の電流電極(50,54)の間のチャネル領域(5
    2)、および該チャネル領域(52)に隣接する少なく
    とも1つのゲート電極(40)を有する第2のトランジ
    スタ、そして前記第2のトランジスタ(40,50,5
    4)の前記第1の電流電極(50)を前記第1のトラン
    ジスタ(19,28,32)の前記第2の電流電極(3
    2)に結合する導電材料(25,36)、 を具備することを特徴とする半導体装置(11)。
JP06309593A 1992-03-02 1993-02-26 トランジスタ構造及び論理装置 Expired - Fee Related JP3422333B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US84408892A 1992-03-02 1992-03-02
US844,088 1992-03-02

Publications (2)

Publication Number Publication Date
JPH0613623A true JPH0613623A (ja) 1994-01-21
JP3422333B2 JP3422333B2 (ja) 2003-06-30

Family

ID=25291782

Family Applications (1)

Application Number Title Priority Date Filing Date
JP06309593A Expired - Fee Related JP3422333B2 (ja) 1992-03-02 1993-02-26 トランジスタ構造及び論理装置

Country Status (3)

Country Link
US (2) US5308782A (ja)
JP (1) JP3422333B2 (ja)
KR (2) KR100306095B1 (ja)

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001044279A (ja) * 1999-07-12 2001-02-16 Motorola Inc 三次元多層半導体回路
US6882006B2 (en) 1993-05-12 2005-04-19 Renesas Technology Corp. Semiconductor device and method of manufacturing the same
JP2006261421A (ja) * 2005-03-17 2006-09-28 Toshiba Corp 半導体装置
JP2007250652A (ja) * 2006-03-14 2007-09-27 Sharp Corp 半導体装置
JP2008042209A (ja) * 2006-08-07 2008-02-21 Samsung Electronics Co Ltd トランジスタ及びその製造方法
JP2008171838A (ja) * 2007-01-05 2008-07-24 Toshiba Corp 半導体装置
JP2009094364A (ja) * 2007-10-10 2009-04-30 Toshiba Corp 半導体装置及びその製造方法
JP2009253300A (ja) * 2008-04-04 2009-10-29 Hynix Semiconductor Inc 半導体素子製造方法および半導体素子
JP2010514219A (ja) * 2006-12-19 2010-04-30 インテル・コーポレーション カーボンナノチューブトランジスタを用いた論理回路
JP2011108895A (ja) * 2009-11-18 2011-06-02 Renesas Electronics Corp 半導体装置の製造方法
US8008722B2 (en) 2008-12-24 2011-08-30 Samsung Electronics Co., Ltd. Multi-layer nonvolatile memory devices having vertical charge storage regions
JP5692884B1 (ja) * 2014-08-19 2015-04-01 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Sgtを有する半導体装置の製造方法
JP5841696B1 (ja) * 2014-11-27 2016-01-13 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 柱状半導体装置と、その製造方法
WO2016009473A1 (ja) * 2014-07-14 2016-01-21 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置の製造方法、及び、半導体装置
WO2016021020A1 (ja) * 2014-08-07 2016-02-11 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置の製造方法、及び、半導体装置
WO2016031014A1 (ja) * 2014-08-28 2016-03-03 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置、及び、半導体装置の製造方法
JP5938529B1 (ja) * 2015-01-08 2016-06-22 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 柱状半導体装置と、その製造方法
JP2016119342A (ja) * 2014-12-18 2016-06-30 猛英 白土 半導体装置及びその製造方法
WO2016139755A1 (ja) * 2015-03-03 2016-09-09 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
WO2018179026A1 (ja) * 2017-03-27 2018-10-04 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 柱状半導体装置と、その製造方法
US10790396B2 (en) 2018-03-21 2020-09-29 Toshiba Memory Corporation Semiconductor device and method for manufacturing the same
JP2020191470A (ja) * 2012-02-29 2020-11-26 株式会社半導体エネルギー研究所 半導体装置

Families Citing this family (315)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3202223B2 (ja) * 1990-11-27 2001-08-27 日本電気株式会社 トランジスタの製造方法
US5398200A (en) * 1992-03-02 1995-03-14 Motorola, Inc. Vertically formed semiconductor random access memory device
JPH0613548A (ja) * 1992-03-30 1994-01-21 Texas Instr Inc <Ti> 集積回路抵抗とその製法
US5364810A (en) * 1992-07-28 1994-11-15 Motorola, Inc. Methods of forming a vertical field-effect transistor and a semiconductor memory cell
US5391505A (en) * 1993-11-01 1995-02-21 Lsi Logic Corporation Active device constructed in opening formed in insulation layer and process for making same
US5455435A (en) * 1993-11-09 1995-10-03 United Microelectronics Corp. Late programming mask ROM and process for producing the same
US5554870A (en) * 1994-02-04 1996-09-10 Motorola, Inc. Integrated circuit having both vertical and horizontal devices and process for making the same
US5380681A (en) * 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
JP3745392B2 (ja) 1994-05-26 2006-02-15 株式会社ルネサステクノロジ 半導体装置
US5670803A (en) * 1995-02-08 1997-09-23 International Business Machines Corporation Three-dimensional SRAM trench structure and fabrication method therefor
US6433382B1 (en) 1995-04-06 2002-08-13 Motorola, Inc. Split-gate vertically oriented EEPROM device and process
US5700727A (en) * 1995-07-24 1997-12-23 Micron Technology, Inc. Method of forming a thin film transistor
US5668391A (en) * 1995-08-02 1997-09-16 Lg Semicon Co., Ltd. Vertical thin film transistor
US5879971A (en) * 1995-09-28 1999-03-09 Motorola Inc. Trench random access memory cell and method of formation
US5705409A (en) * 1995-09-28 1998-01-06 Motorola Inc. Method for forming trench transistor structure
KR100200346B1 (ko) * 1995-10-31 1999-07-01 윤종용 콘택층을 가지는 박막 트랜지스터 및 그 제조 방법
US5683930A (en) * 1995-12-06 1997-11-04 Micron Technology Inc. SRAM cell employing substantially vertically elongated pull-up resistors and methods of making, and resistor constructions and methods of making
US6209123B1 (en) 1996-11-01 2001-03-27 Motorola, Inc. Methods of placing transistors in a circuit layout and semiconductor device with automatically placed transistors
US20050280155A1 (en) * 2004-06-21 2005-12-22 Sang-Yun Lee Semiconductor bonding and layer transfer method
US7470598B2 (en) * 2004-06-21 2008-12-30 Sang-Yun Lee Semiconductor layer structure and method of making the same
US7800199B2 (en) * 2003-06-24 2010-09-21 Oh Choonsik Semiconductor circuit
US8058142B2 (en) 1996-11-04 2011-11-15 Besang Inc. Bonded semiconductor structure and method of making the same
US8018058B2 (en) * 2004-06-21 2011-09-13 Besang Inc. Semiconductor memory device
US7633162B2 (en) * 2004-06-21 2009-12-15 Sang-Yun Lee Electronic circuit with embedded memory
US5889293A (en) * 1997-04-04 1999-03-30 International Business Machines Corporation Electrical contact to buried SOI structures
DE19727436C1 (de) * 1997-06-27 1998-10-01 Siemens Ag DRAM-Zellenanordnung mit dynamischen selbstverstärkenden Speicherzellen und Verfahren zu deren Herstellung
US6150687A (en) 1997-07-08 2000-11-21 Micron Technology, Inc. Memory cell having a vertical transistor with buried source/drain and dual gates
US5886382A (en) * 1997-07-18 1999-03-23 Motorola, Inc. Trench transistor structure comprising at least two vertical transistors
US6528837B2 (en) 1997-10-06 2003-03-04 Micron Technology, Inc. Circuit and method for an open bit line memory cell with a vertical transistor and trench plate trench capacitor
US5907170A (en) * 1997-10-06 1999-05-25 Micron Technology, Inc. Circuit and method for an open bit line memory cell with a vertical transistor and trench plate trench capacitor
US6066869A (en) * 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US5914511A (en) * 1997-10-06 1999-06-22 Micron Technology, Inc. Circuit and method for a folded bit line memory using trench plate capacitor cells with body bias contacts
US6025225A (en) * 1998-01-22 2000-02-15 Micron Technology, Inc. Circuits with a trench capacitor having micro-roughened semiconductor surfaces and methods for forming the same
US6242775B1 (en) 1998-02-24 2001-06-05 Micron Technology, Inc. Circuits and methods using vertical complementary transistors
US6304483B1 (en) 1998-02-24 2001-10-16 Micron Technology, Inc. Circuits and methods for a static random access memory using vertical transistors
US5963469A (en) 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6246083B1 (en) * 1998-02-24 2001-06-12 Micron Technology, Inc. Vertical gain cell and array for a dynamic random access memory
US6124729A (en) 1998-02-27 2000-09-26 Micron Technology, Inc. Field programmable logic arrays with vertical transistors
US6208164B1 (en) 1998-08-04 2001-03-27 Micron Technology, Inc. Programmable logic array with vertical transistors
US6197641B1 (en) * 1998-08-28 2001-03-06 Lucent Technologies Inc. Process for fabricating vertical transistors
US6104068A (en) * 1998-09-01 2000-08-15 Micron Technology, Inc. Structure and method for improved signal processing
KR100282487B1 (ko) 1998-10-19 2001-02-15 윤종용 고유전 다층막을 이용한 셀 캐패시터 및 그 제조 방법
US6114226A (en) * 1999-02-08 2000-09-05 United Microelectronics Corp Method of manufacturing electrostatic discharge protective circuit
KR100366617B1 (ko) * 2000-03-13 2003-01-09 삼성전자 주식회사 자기 정렬 콘택홀 제조 방법
EP1158583A1 (en) 2000-05-23 2001-11-28 STMicroelectronics S.r.l. Low on-resistance LDMOS
US6458646B1 (en) 2000-06-30 2002-10-01 International Business Machines Corporation Asymmetric gates for high density DRAM
US6599789B1 (en) * 2000-11-15 2003-07-29 Micron Technology, Inc. Method of forming a field effect transistor
US6426259B1 (en) * 2000-11-15 2002-07-30 Advanced Micro Devices, Inc. Vertical field effect transistor with metal oxide as sidewall gate insulator
US6440800B1 (en) 2001-01-26 2002-08-27 Chartered Semiconductor Manufacturing Ltd. Method to form a vertical transistor by selective epitaxial growth and delta doped silicon layers
US7176109B2 (en) * 2001-03-23 2007-02-13 Micron Technology, Inc. Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US6461900B1 (en) * 2001-10-18 2002-10-08 Chartered Semiconductor Manufacturing Ltd. Method to form a self-aligned CMOS inverter using vertical device integration
US7071043B2 (en) * 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
DE10250868B8 (de) * 2002-10-31 2008-06-26 Qimonda Ag Vertikal integrierter Feldeffekttransistor, Feldeffekttransistor-Anordnung und Verfahren zum Herstellen eines vertikal integrierten Feldeffekttransistors
US20100133695A1 (en) * 2003-01-12 2010-06-03 Sang-Yun Lee Electronic circuit with embedded memory
US7799675B2 (en) * 2003-06-24 2010-09-21 Sang-Yun Lee Bonded semiconductor structure and method of fabricating the same
US6919250B2 (en) * 2003-05-21 2005-07-19 Advanced Micro Devices, Inc. Multiple-gate MOS device and method for making the same
US8071438B2 (en) * 2003-06-24 2011-12-06 Besang Inc. Semiconductor circuit
US8471263B2 (en) * 2003-06-24 2013-06-25 Sang-Yun Lee Information storage system which includes a bonded semiconductor structure
US7863748B2 (en) * 2003-06-24 2011-01-04 Oh Choonsik Semiconductor circuit and method of fabricating the same
US7867822B2 (en) 2003-06-24 2011-01-11 Sang-Yun Lee Semiconductor memory device
US20100190334A1 (en) * 2003-06-24 2010-07-29 Sang-Yun Lee Three-dimensional semiconductor structure and method of manufacturing the same
US7632738B2 (en) * 2003-06-24 2009-12-15 Sang-Yun Lee Wafer bonding method
KR100746220B1 (ko) * 2004-01-12 2007-08-03 삼성전자주식회사 적층된 노드 콘택 구조체들과 적층된 박막 트랜지스터들을채택하는 반도체 집적회로들 및 그 제조방법들
US7315466B2 (en) * 2004-08-04 2008-01-01 Samsung Electronics Co., Ltd. Semiconductor memory device and method for arranging and manufacturing the same
US7335583B2 (en) * 2004-09-30 2008-02-26 Intel Corporation Isolating semiconductor device structures
JP4325571B2 (ja) * 2005-02-28 2009-09-02 株式会社日立製作所 電子装置の製造方法
US20110143506A1 (en) * 2009-12-10 2011-06-16 Sang-Yun Lee Method for fabricating a semiconductor memory device
US8455978B2 (en) 2010-05-27 2013-06-04 Sang-Yun Lee Semiconductor circuit structure and method of making the same
US8367524B2 (en) * 2005-03-29 2013-02-05 Sang-Yun Lee Three-dimensional integrated circuit structure
KR100663360B1 (ko) * 2005-04-20 2007-01-02 삼성전자주식회사 박막 트랜지스터를 갖는 반도체 소자들 및 그 제조방법들
US7978561B2 (en) * 2005-07-28 2011-07-12 Samsung Electronics Co., Ltd. Semiconductor memory devices having vertically-stacked transistors therein
US20090224330A1 (en) * 2005-07-28 2009-09-10 Hong Chang Min Semiconductor Memory Device and Method for Arranging and Manufacturing the Same
KR100625124B1 (ko) * 2005-08-30 2006-09-15 삼성전자주식회사 스택형 반도체 장치의 제조 방법
US7968394B2 (en) * 2005-12-16 2011-06-28 Freescale Semiconductor, Inc. Transistor with immersed contacts and methods of forming thereof
JP5016832B2 (ja) 2006-03-27 2012-09-05 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
KR100772935B1 (ko) * 2006-08-07 2007-11-02 삼성전자주식회사 트랜지스터 및 그 제조 방법
JP4945248B2 (ja) * 2007-01-05 2012-06-06 株式会社東芝 メモリシステム、半導体記憶装置及びその駆動方法
JP2008172164A (ja) * 2007-01-15 2008-07-24 Toshiba Corp 半導体装置
JP2008192708A (ja) * 2007-02-01 2008-08-21 Toshiba Corp 不揮発性半導体記憶装置
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
JP5122212B2 (ja) * 2007-08-02 2013-01-16 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
US8183628B2 (en) 2007-10-29 2012-05-22 Unisantis Electronics Singapore Pte Ltd. Semiconductor structure and method of fabricating the semiconductor structure
US8896056B2 (en) * 2007-12-05 2014-11-25 Unisantis Electronics Singapore Pte Ltd. Surrounding gate transistor semiconductor device
US8598650B2 (en) 2008-01-29 2013-12-03 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method therefor
US8154086B2 (en) * 2008-01-29 2012-04-10 Unisantis Electronics Singapore Pte Ltd. Semiconductor surround gate SRAM storage device
JP5317343B2 (ja) 2009-04-28 2013-10-16 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置及びその製造方法
US7867831B2 (en) * 2008-05-28 2011-01-11 Hynix Semiconductor Inc. Manufacturing method of flash memory device comprising gate columns penetrating through a cell stack
US7839177B1 (en) * 2008-11-07 2010-11-23 Altera Corporation Techniques for phase detection with fast reset
JP2010177652A (ja) * 2009-02-02 2010-08-12 Toshiba Corp 半導体装置の製造方法
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
JP2011066109A (ja) * 2009-09-16 2011-03-31 Unisantis Electronics Japan Ltd 半導体記憶装置
JP5356970B2 (ja) * 2009-10-01 2013-12-04 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US8148728B2 (en) 2009-10-12 2012-04-03 Monolithic 3D, Inc. Method for fabrication of a semiconductor device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US8395216B2 (en) * 2009-10-16 2013-03-12 Texas Instruments Incorporated Method for using hybrid orientation technology (HOT) in conjunction with selective epitaxy to form semiconductor devices with regions of different electron and hole mobilities and related apparatus
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
JP4912513B2 (ja) * 2010-03-08 2012-04-11 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 固体撮像装置
US8487357B2 (en) 2010-03-12 2013-07-16 Unisantis Electronics Singapore Pte Ltd. Solid state imaging device having high sensitivity and high pixel density
US8723335B2 (en) 2010-05-20 2014-05-13 Sang-Yun Lee Semiconductor circuit structure and method of forming the same using a capping layer
JP5066590B2 (ja) 2010-06-09 2012-11-07 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置とその製造方法
JP5087655B2 (ja) 2010-06-15 2012-12-05 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置及びその製造方法
KR20120003351A (ko) 2010-07-02 2012-01-10 삼성전자주식회사 3차원 비휘발성 메모리 장치 및 그 동작방법
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
KR101763420B1 (ko) 2010-09-16 2017-08-01 삼성전자주식회사 3차원 반도체 기억 소자 및 그 제조 방법
KR101825539B1 (ko) * 2010-10-05 2018-03-22 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8742490B2 (en) * 2011-05-02 2014-06-03 Monolithic Power Systems, Inc. Vertical power transistor die packages and associated methods of manufacturing
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8609492B2 (en) * 2011-07-27 2013-12-17 Micron Technology, Inc. Vertical memory cell
US8969154B2 (en) * 2011-08-23 2015-03-03 Micron Technology, Inc. Methods for fabricating semiconductor device structures and arrays of vertical transistor devices
US8564034B2 (en) 2011-09-08 2013-10-22 Unisantis Electronics Singapore Pte. Ltd. Solid-state imaging device
US8669601B2 (en) 2011-09-15 2014-03-11 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device having pillar-shaped semiconductor
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8916478B2 (en) 2011-12-19 2014-12-23 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US8772175B2 (en) 2011-12-19 2014-07-08 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US8748938B2 (en) 2012-02-20 2014-06-10 Unisantis Electronics Singapore Pte. Ltd. Solid-state imaging device
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
KR102031179B1 (ko) * 2012-09-11 2019-11-08 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
US9076879B2 (en) 2012-09-11 2015-07-07 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device and method for fabricating the same
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US9236122B2 (en) 2013-07-31 2016-01-12 Sandisk 3D Llc Shared-gate vertical-TFT for vertical bit line array
KR102154784B1 (ko) * 2013-10-10 2020-09-11 삼성전자주식회사 반도체 장치 및 그 제조방법
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US9847233B2 (en) 2014-07-29 2017-12-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9472551B2 (en) 2015-02-13 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical CMOS structure and method
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
CN115942752A (zh) 2015-09-21 2023-04-07 莫诺利特斯3D有限公司 3d半导体器件和结构
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
EP3185299B1 (en) * 2015-12-21 2023-05-24 IMEC vzw Self-alligned nanostructures for semi-conductor device
EP3261128A1 (en) * 2016-06-23 2017-12-27 Karlsruher Institut für Technologie Vertical field-effect transistor, a method for its manufacture, its use, and electronics comprising said field-effect transistor
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
KR101928629B1 (ko) * 2016-12-01 2018-12-12 한양대학교 산학협력단 2단자 수직형 1t-디램 및 그 제조 방법
FR3064399B1 (fr) * 2017-03-22 2019-05-03 Stmicroelectronics (Crolles 2) Sas Transistor quantique vertical
US10693056B2 (en) 2017-12-28 2020-06-23 Spin Memory, Inc. Three-dimensional (3D) magnetic memory device comprising a magnetic tunnel junction (MTJ) having a metallic buffer layer
US10803916B2 (en) 2017-12-29 2020-10-13 Spin Memory, Inc. Methods and systems for writing to magnetic memory devices utilizing alternating current
US10347308B1 (en) 2017-12-29 2019-07-09 Spin Memory, Inc. Systems and methods utilizing parallel configurations of magnetic memory devices
US10283411B1 (en) 2018-01-02 2019-05-07 International Business Machines Corporation Stacked vertical transistor device for three-dimensional monolithic integration
US10192789B1 (en) * 2018-01-08 2019-01-29 Spin Transfer Technologies Methods of fabricating dual threshold voltage devices
US10319424B1 (en) 2018-01-08 2019-06-11 Spin Memory, Inc. Adjustable current selectors
US10770510B2 (en) 2018-01-08 2020-09-08 Spin Memory, Inc. Dual threshold voltage devices having a first transistor and a second transistor
US10756097B2 (en) 2018-06-29 2020-08-25 International Business Machines Corporation Stacked vertical transistor-based mask-programmable ROM
US10692556B2 (en) 2018-09-28 2020-06-23 Spin Memory, Inc. Defect injection structure and mechanism for magnetic memory
US10878870B2 (en) 2018-09-28 2020-12-29 Spin Memory, Inc. Defect propagation structure and mechanism for magnetic memory
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11908747B2 (en) 2020-10-30 2024-02-20 Tokyo Electron Limited Method for designing three dimensional metal lines for enhanced device performance
US11887897B2 (en) * 2020-10-30 2024-01-30 Tokyo Electron Limited High precision 3D metal stacking for a plurality of 3D devices

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4272880A (en) * 1979-04-20 1981-06-16 Intel Corporation MOS/SOS Process
EP0073487B1 (en) * 1981-08-31 1988-07-20 Kabushiki Kaisha Toshiba Method for manufacturing three-dimensional semiconductor device
US4489478A (en) * 1981-09-29 1984-12-25 Fujitsu Limited Process for producing a three-dimensional semiconductor device
US4554570A (en) * 1982-06-24 1985-11-19 Rca Corporation Vertically integrated IGFET device
JPS6089953A (ja) * 1983-10-22 1985-05-20 Agency Of Ind Science & Technol 積層型半導体装置の製造方法
CA1197628A (en) * 1984-01-05 1985-12-03 Thomas W. Macelwee Fabrication of stacked mos devices
US4810906A (en) * 1985-09-25 1989-03-07 Texas Instruments Inc. Vertical inverter circuit
JPH0612799B2 (ja) * 1986-03-03 1994-02-16 三菱電機株式会社 積層型半導体装置およびその製造方法
US5017504A (en) * 1986-12-01 1991-05-21 Mitsubishi Denki Kabushiki Kaisha Vertical type MOS transistor and method of formation thereof
US4910564A (en) * 1987-07-01 1990-03-20 Mitsubishi Denki Kabushiki Kaisha Highly integrated field effect transistor and method for manufacturing the same
JPS6489560A (en) * 1987-09-30 1989-04-04 Sony Corp Semiconductor memory
JP2655859B2 (ja) * 1988-02-03 1997-09-24 株式会社日立製作所 半導体記憶装置
US4992838A (en) * 1988-02-29 1991-02-12 Texas Instruments Incorporated Vertical MOS transistor with threshold voltage adjustment
US4927779A (en) * 1988-08-10 1990-05-22 International Business Machines Corporation Complementary metal-oxide-semiconductor transistor and one-capacitor dynamic-random-access memory cell and fabrication process therefor
JPH03188669A (ja) * 1989-12-18 1991-08-16 Matsushita Electron Corp 半導体記憶装置およびその製造方法
US5010386A (en) * 1989-12-26 1991-04-23 Texas Instruments Incorporated Insulator separated vertical CMOS
JPH03225873A (ja) * 1990-01-30 1991-10-04 Mitsubishi Electric Corp 半導体装置
JP3176962B2 (ja) * 1991-09-03 2001-06-18 キヤノン株式会社 半導体装置

Cited By (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6882006B2 (en) 1993-05-12 2005-04-19 Renesas Technology Corp. Semiconductor device and method of manufacturing the same
JP2001044279A (ja) * 1999-07-12 2001-02-16 Motorola Inc 三次元多層半導体回路
JP2006261421A (ja) * 2005-03-17 2006-09-28 Toshiba Corp 半導体装置
JP2007250652A (ja) * 2006-03-14 2007-09-27 Sharp Corp 半導体装置
JP2008042209A (ja) * 2006-08-07 2008-02-21 Samsung Electronics Co Ltd トランジスタ及びその製造方法
JP2010514219A (ja) * 2006-12-19 2010-04-30 インテル・コーポレーション カーボンナノチューブトランジスタを用いた論理回路
US8513741B2 (en) 2006-12-19 2013-08-20 Intel Corporation Logic circuits using carbon nanotube transistors
JP2008171838A (ja) * 2007-01-05 2008-07-24 Toshiba Corp 半導体装置
JP2009094364A (ja) * 2007-10-10 2009-04-30 Toshiba Corp 半導体装置及びその製造方法
JP2009253300A (ja) * 2008-04-04 2009-10-29 Hynix Semiconductor Inc 半導体素子製造方法および半導体素子
US8008722B2 (en) 2008-12-24 2011-08-30 Samsung Electronics Co., Ltd. Multi-layer nonvolatile memory devices having vertical charge storage regions
JP2011108895A (ja) * 2009-11-18 2011-06-02 Renesas Electronics Corp 半導体装置の製造方法
US11923372B2 (en) 2012-02-29 2024-03-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US11133330B2 (en) 2012-02-29 2021-09-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JP2020191470A (ja) * 2012-02-29 2020-11-26 株式会社半導体エネルギー研究所 半導体装置
US9780179B2 (en) 2014-07-14 2017-10-03 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
JP5990843B2 (ja) * 2014-07-14 2016-09-14 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置の製造方法、及び、半導体装置
WO2016009473A1 (ja) * 2014-07-14 2016-01-21 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置の製造方法、及び、半導体装置
US10483366B2 (en) 2014-07-14 2019-11-19 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
JPWO2016009473A1 (ja) * 2014-07-14 2017-04-27 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置の製造方法、及び、半導体装置
US9431501B2 (en) 2014-08-07 2016-08-30 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
US9741801B2 (en) 2014-08-07 2017-08-22 Unisantis Electronics Singapore Pte. Ltd. Method for producing a semiconductor device
JP5928658B1 (ja) * 2014-08-07 2016-06-01 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置の製造方法、及び、半導体装置
WO2016021020A1 (ja) * 2014-08-07 2016-02-11 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置の製造方法、及び、半導体装置
JP5692884B1 (ja) * 2014-08-19 2015-04-01 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Sgtを有する半導体装置の製造方法
JP2016046271A (ja) * 2014-08-19 2016-04-04 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Sgtを有する半導体装置の製造方法
US10340184B2 (en) 2014-08-28 2019-07-02 Unisantis Electronics Singapore Pte. Ltd. Method for producing a semiconductor device
US10217665B2 (en) 2014-08-28 2019-02-26 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device and method for producing semiconductor device
JPWO2016031014A1 (ja) * 2014-08-28 2017-04-27 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置、及び、半導体装置の製造方法
WO2016031014A1 (ja) * 2014-08-28 2016-03-03 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置、及び、半導体装置の製造方法
JP5989238B2 (ja) * 2014-08-28 2016-09-07 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置、及び、半導体装置の製造方法
US10050124B2 (en) 2014-11-27 2018-08-14 Unisantis Electronics Singapore Pte. Ltd. Method for producing a pillar-shaped semiconductor device
US9673321B2 (en) 2014-11-27 2017-06-06 Unisantis Electronics Singapore Pte. Ltd. Pillar-shaped semiconductor device and method for producing the same
JP5841696B1 (ja) * 2014-11-27 2016-01-13 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 柱状半導体装置と、その製造方法
WO2016084205A1 (ja) * 2014-11-27 2016-06-02 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 柱状半導体装置と、その製造方法
JP2016119342A (ja) * 2014-12-18 2016-06-30 猛英 白土 半導体装置及びその製造方法
US9627494B2 (en) 2015-01-08 2017-04-18 Unisantis Electronics Singapore Pte. Ltd. Pillar-shaped semiconductor device and production method therefor
JP5938529B1 (ja) * 2015-01-08 2016-06-22 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 柱状半導体装置と、その製造方法
WO2016110981A1 (ja) * 2015-01-08 2016-07-14 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 柱状半導体装置と、その製造方法
US10026739B2 (en) 2015-03-03 2018-07-17 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device having pillar-shaped semiconductor layers
JPWO2016139755A1 (ja) * 2015-03-03 2017-04-27 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置
WO2016139755A1 (ja) * 2015-03-03 2016-09-09 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
JP6442645B1 (ja) * 2017-03-27 2018-12-19 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 柱状半導体装置と、その製造方法
WO2018179026A1 (ja) * 2017-03-27 2018-10-04 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 柱状半導体装置と、その製造方法
US11309426B2 (en) 2017-03-27 2022-04-19 Unisantis Electronics Singapore Pte. Ltd. Pillar-shaped semiconductor device having connection material layer for anchoring wiring conductor layer and method for producing the same
US10790396B2 (en) 2018-03-21 2020-09-29 Toshiba Memory Corporation Semiconductor device and method for manufacturing the same

Also Published As

Publication number Publication date
US5308778A (en) 1994-05-03
KR940010352A (ko) 1994-05-26
KR100296831B1 (ko) 2001-10-22
JP3422333B2 (ja) 2003-06-30
US5308782A (en) 1994-05-03
KR930020661A (ko) 1993-10-20
KR100306095B1 (ko) 2001-12-15

Similar Documents

Publication Publication Date Title
JP3422333B2 (ja) トランジスタ構造及び論理装置
US5612563A (en) Vertically stacked vertical transistors used to form vertical logic gate structures
US4881105A (en) Integrated trench-transistor structure and fabrication process
US5398200A (en) Vertically formed semiconductor random access memory device
JP3217179B2 (ja) 縦方向集積半導体構造
TWI408805B (zh) 虛擬本體接觸之三閘極
US5682051A (en) CMOS integrated circuit with reduced susceptibility to PMOS punchthrough
US6163052A (en) Trench-gated vertical combination JFET and MOSFET devices
US5414288A (en) Vertical transistor having an underlying gate electrode contact
US6747314B2 (en) Method to form a self-aligned CMOS inverter using vertical device integration
US5527723A (en) Method for forming a dynamic contact which can be either on or off or switched therebetween
US6309919B1 (en) Method for fabricating a trench-gated vertical CMOS device
US4943537A (en) CMOS integrated circuit with reduced susceptibility to PMOS punchthrough
US6689648B2 (en) Semiconductor device having silicon on insulator and fabricating method therefor
US20090179268A1 (en) Design structures for high-voltage integrated circuits
JP3325072B2 (ja) 半導体メモリ装置
US6177299B1 (en) Transistor having substantially isolated body and method of making the same
JPH098289A (ja) 半導体装置及びその製造方法
KR20010092309A (ko) 디커플링 캐패시터 및 그 제조 방법
JPH02166762A (ja) コンパクトcmosデバイス及びその製造方法
US20080099834A1 (en) Transistor, an inverter and a method of manufacturing the same
US20170117189A1 (en) Method of forming field effect transistors (fets) with abrupt junctions and integrated circuit chips with the fets
JPH05167043A (ja) 改良された絶縁ゲート型トランジスタを有する半導体装置
KR20030050995A (ko) 고집적 트랜지스터의 제조 방법
JP3147161B2 (ja) 電界効果型トランジスタ及びその製造方法

Legal Events

Date Code Title Description
S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090425

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090425

Year of fee payment: 6

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D03

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090425

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100425

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110425

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110425

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120425

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees