| DE3788485T2
              (de)
            
            * | 1986-09-30 | 1994-06-09 | Philips Nv | Verfahren zur Herstellung einer Planarleiterbahn durch isotropes Abscheiden von leitendem Werkstoff. | 
        
          | CA1306072C
              (en)
            
            * | 1987-03-30 | 1992-08-04 | John E. Cronin | Refractory metal - titanium nitride conductive structures and processes for forming the same | 
        
          | US4842991A
              (en)
            
            * | 1987-07-31 | 1989-06-27 | Texas Instruments Incorporated | Self-aligned nonnested sloped via | 
        
          | US4996133A
              (en)
            
            * | 1987-07-31 | 1991-02-26 | Texas Instruments Incorporated | Self-aligned tungsten-filled via process and via formed thereby | 
        
          | US4931144A
              (en)
            
            * | 1987-07-31 | 1990-06-05 | Texas Instruments Incorporated | Self-aligned nonnested sloped via | 
        
          | US4956313A
              (en)
            
            * | 1987-08-17 | 1990-09-11 | International Business Machines Corporation | Via-filling and planarization technique | 
        
          | JPH0682660B2
              (ja)
            
            * | 1987-08-17 | 1994-10-19 | インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン | 導電性スタツドを形成する方法 | 
        
          | US4764484A
              (en)
            
            * | 1987-10-08 | 1988-08-16 | Standard Microsystems Corporation | Method for fabricating self-aligned, conformal metallization of semiconductor wafer | 
        
          | US4948755A
              (en)
            
            * | 1987-10-08 | 1990-08-14 | Standard Microsystems Corporation | Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition | 
        
          | US5055427A
              (en)
            
            * | 1987-12-02 | 1991-10-08 | Advanced Micro Devices, Inc. | Process of forming self-aligned interconnects for semiconductor devices | 
        
          | DE3742669A1
              (de)
            
            * | 1987-12-16 | 1989-06-29 | Siemens Ag | Integrierte schaltung und verfahren zur herstellung | 
        
          | US4832789A
              (en)
            
            * | 1988-04-08 | 1989-05-23 | American Telephone And Telegrph Company, At&T Bell Laboratories | Semiconductor devices having multi-level metal interconnects | 
        
          | US4962064A
              (en)
            
            * | 1988-05-12 | 1990-10-09 | Advanced Micro Devices, Inc. | Method of planarization of topologies in integrated circuit structures | 
        
          | US4954459A
              (en)
            
            * | 1988-05-12 | 1990-09-04 | Advanced Micro Devices, Inc. | Method of planarization of topologies in integrated circuit structures | 
        
          | US4855252A
              (en)
            
            * | 1988-08-22 | 1989-08-08 | International Business Machines Corporation | Process for making self-aligned contacts | 
        
          | US4879258A
              (en)
            
            * | 1988-08-31 | 1989-11-07 | Texas Instruments Incorporated | Integrated circuit planarization by mechanical polishing | 
        
          | US5008730A
              (en)
            
            * | 1988-10-03 | 1991-04-16 | International Business Machines Corporation | Contact stud structure for semiconductor devices | 
        
          | US5008216A
              (en)
            
            * | 1988-10-03 | 1991-04-16 | International Business Machines Corporation | Process for improved contact stud structure for semiconductor devices | 
        
          | EP0363297B1
              (en)
            
            * | 1988-10-03 | 1994-03-23 | International Business Machines Corporation | Improved contact stud structure for semiconductor devices | 
        
          | US4997789A
              (en)
            
            * | 1988-10-31 | 1991-03-05 | Texas Instruments Incorporated | Aluminum contact etch mask and etchstop for tungsten etchback | 
        
          | US4920072A
              (en)
            
            * | 1988-10-31 | 1990-04-24 | Texas Instruments Incorporated | Method of forming metal interconnects | 
        
          | US4997746A
              (en)
            
            * | 1988-11-22 | 1991-03-05 | Greco Nancy A | Method of forming conductive lines and studs | 
        
          | US5068711A
              (en)
            
            * | 1989-03-20 | 1991-11-26 | Fujitsu Limited | Semiconductor device having a planarized surface | 
        
          | US5256565A
              (en)
            
            * | 1989-05-08 | 1993-10-26 | The United States Of America As Represented By The United States Department Of Energy | Electrochemical planarization | 
        
          | US5010039A
              (en)
            
            * | 1989-05-15 | 1991-04-23 | Ku San Mei | Method of forming contacts to a semiconductor device | 
        
          | US5399528A
              (en)
            
            * | 1989-06-01 | 1995-03-21 | Leibovitz; Jacques | Multi-layer fabrication in integrated circuit systems | 
        
          | EP0425787A3
              (en)
            
            * | 1989-10-31 | 1993-04-14 | International Business Machines Corporation | Method for fabricating high circuit density, self-aligned metal lines to contact windows | 
        
          | WO1991007775A1
              (en)
            
            * | 1989-11-16 | 1991-05-30 | Polycon | Hybrid circuit structure and methods of fabrication | 
        
          | US5282922A
              (en)
            
            * | 1989-11-16 | 1994-02-01 | Polycon Corporation | Hybrid circuit structures and methods of fabrication | 
        
          | JPH03190232A
              (ja)
            
            * | 1989-12-20 | 1991-08-20 | Fujitsu Ltd | 半導体装置の製造方法 | 
        
          | US5229257A
              (en)
            
            * | 1990-04-30 | 1993-07-20 | International Business Machines Corporation | Process for forming multi-level coplanar conductor/insulator films employing photosensitive polymide polymer compositions | 
        
          | US5091289A
              (en)
            
            * | 1990-04-30 | 1992-02-25 | International Business Machines Corporation | Process for forming multi-level coplanar conductor/insulator films employing photosensitive polyimide polymer compositions | 
        
          | FR2663784B1
              (fr)
            
            * | 1990-06-26 | 1997-01-31 | Commissariat Energie Atomique | Procede de realisation d'un etage d'un circuit integre. | 
        
          | FR2664095B1
              (fr)
            
            * | 1990-06-28 | 1993-12-17 | Commissariat A Energie Atomique | Procede de fabrication d'un contact electrique sur un element actif d'un circuit integre mis. | 
        
          | US5189506A
              (en)
            
            * | 1990-06-29 | 1993-02-23 | International Business Machines Corporation | Triple self-aligned metallurgy for semiconductor devices | 
        
          | US5219787A
              (en)
            
            * | 1990-07-23 | 1993-06-15 | Microelectronics And Computer Technology Corporation | Trenching techniques for forming channels, vias and components in substrates | 
        
          | US5091339A
              (en)
            
            * | 1990-07-23 | 1992-02-25 | Microelectronics And Computer Technology Corporation | Trenching techniques for forming vias and channels in multilayer electrical interconnects | 
        
          | JP3127455B2
              (ja)
            
            * | 1990-08-31 | 2001-01-22 | ソニー株式会社 | 半導体装置の製法 | 
        
          | US5055426A
              (en)
            
            * | 1990-09-10 | 1991-10-08 | Micron Technology, Inc. | Method for forming a multilevel interconnect structure on a semiconductor wafer | 
        
          | US5064683A
              (en)
            
            * | 1990-10-29 | 1991-11-12 | Motorola, Inc. | Method for polish planarizing a semiconductor substrate by using a boron nitride polish stop | 
        
          | JPH0746755B2
              (ja)
            
            * | 1990-11-15 | 1995-05-17 | インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン | 多層薄膜構造の製造方法 | 
        
          | US5266446A
              (en)
            
            * | 1990-11-15 | 1993-11-30 | International Business Machines Corporation | Method of making a multilayer thin film structure | 
        
          | US5290396A
              (en)
            
            * | 1991-06-06 | 1994-03-01 | Lsi Logic Corporation | Trench planarization techniques | 
        
          | US5413966A
              (en)
            
            * | 1990-12-20 | 1995-05-09 | Lsi Logic Corporation | Shallow trench etch | 
        
          | NL9100094A
              (nl)
            
            * | 1991-01-21 | 1992-08-17 | Koninkl Philips Electronics Nv | Halfgeleiderinrichting en werkwijze ter vervaardiging van een dergelijke halfgeleiderinrichting. | 
        
          | US5229325A
              (en)
            
            * | 1991-01-31 | 1993-07-20 | Samsung Electronics Co., Ltd. | Method for forming metal wirings of semiconductor device | 
        
          | KR930006128B1
              (ko)
            
            * | 1991-01-31 | 1993-07-07 | 삼성전자 주식회사 | 반도체장치의 금속 배선 형성방법 | 
        
          | US5093279A
              (en)
            
            * | 1991-02-01 | 1992-03-03 | International Business Machines Corporation | Laser ablation damascene process | 
        
          | US5173438A
              (en)
            
            * | 1991-02-13 | 1992-12-22 | Micron Technology, Inc. | Method of performing a field implant subsequent to field oxide fabrication by utilizing selective tungsten deposition to produce encroachment-free isolation | 
        
          | US5196376A
              (en)
            
            * | 1991-03-01 | 1993-03-23 | Polycon Corporation | Laser lithography for integrated circuit and integrated circuit interconnect manufacture | 
        
          | JP3216104B2
              (ja)
            
            * | 1991-05-29 | 2001-10-09 | ソニー株式会社 | メタルプラグ形成方法及び配線形成方法 | 
        
          | US5219793A
              (en)
            
            * | 1991-06-03 | 1993-06-15 | Motorola Inc. | Method for forming pitch independent contacts and a semiconductor device having the same | 
        
          | US5248625A
              (en)
            
            * | 1991-06-06 | 1993-09-28 | Lsi Logic Corporation | Techniques for forming isolation structures | 
        
          | US5225358A
              (en)
            
            * | 1991-06-06 | 1993-07-06 | Lsi Logic Corporation | Method of forming late isolation with polishing | 
        
          | US5252503A
              (en)
            
            * | 1991-06-06 | 1993-10-12 | Lsi Logic Corporation | Techniques for forming isolation structures | 
        
          | US5169802A
              (en)
            
            * | 1991-06-17 | 1992-12-08 | Hewlett-Packard Company | Internal bridging contact | 
        
          | US5233135A
              (en)
            
            * | 1991-06-28 | 1993-08-03 | Sgs-Thomson Microelectronics, Inc. | Interconnect for integrated circuits | 
        
          | JP2868167B2
              (ja)
            
            * | 1991-08-05 | 1999-03-10 | インターナショナル・ビジネス・マシーンズ・コーポレイション | 多重レベル高密度相互接続構造体及び高密度相互接続構造体 | 
        
          | DE69217838T2
              (de)
            
            * | 1991-11-19 | 1997-08-21 | Philips Electronics Nv | Herstellungsverfahren für eine Halbleitervorrichtung mit durch eine Aluminiumverbindung seitlich voneinander isolierten Aluminiumspuren | 
        
          | US5255224A
              (en)
            
            * | 1991-12-18 | 1993-10-19 | International Business Machines Corporation | Boosted drive system for master/local word line memory architecture | 
        
          | EP0547906B1
              (en)
            
            * | 1991-12-18 | 1997-06-25 | STMicroelectronics, Inc. | Method for forming contact vias in integrated circuits | 
        
          | US5328868A
              (en)
            
            * | 1992-01-14 | 1994-07-12 | International Business Machines Corporation | Method of forming metal connections | 
        
          | US5252516A
              (en)
            
            * | 1992-02-20 | 1993-10-12 | International Business Machines Corporation | Method for producing interlevel stud vias | 
        
          | US5262354A
              (en)
            
            * | 1992-02-26 | 1993-11-16 | International Business Machines Corporation | Refractory metal capped low resistivity metal conductor lines and vias | 
        
          | US5300813A
              (en)
            
            * | 1992-02-26 | 1994-04-05 | International Business Machines Corporation | Refractory metal capped low resistivity metal conductor lines and vias | 
        
          | US5422289A
              (en)
            
            * | 1992-04-27 | 1995-06-06 | National Semiconductor Corporation | Method of manufacturing a fully planarized MOSFET and resulting structure | 
        
          | EP0568476B1
              (en)
            
            * | 1992-04-30 | 1995-10-11 | International Business Machines Corporation | Silicon-containing positive resist and method of using the same in thin film packaging technology | 
        
          | US5302551A
              (en)
            
            * | 1992-05-11 | 1994-04-12 | National Semiconductor Corporation | Method for planarizing the surface of an integrated circuit over a metal interconnect layer | 
        
          | US5209816A
              (en)
            
            * | 1992-06-04 | 1993-05-11 | Micron Technology, Inc. | Method of chemical mechanical polishing aluminum containing metal layers and slurry for chemical mechanical polishing | 
        
          | US5512163A
              (en)
            
            * | 1992-06-08 | 1996-04-30 | Motorola, Inc. | Method for forming a planarization etch stop | 
        
          | JP2934353B2
              (ja)
            
            * | 1992-06-24 | 1999-08-16 | 三菱電機株式会社 | 半導体装置およびその製造方法 | 
        
          | US5739579A
              (en)
            
            * | 1992-06-29 | 1998-04-14 | Intel Corporation | Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections | 
        
          | US5612254A
              (en)
            
            * | 1992-06-29 | 1997-03-18 | Intel Corporation | Methods of forming an interconnect on a semiconductor substrate | 
        
          | EP0582724A1
              (de)
            
            * | 1992-08-04 | 1994-02-16 | Siemens Aktiengesellschaft | Verfahren zur lokal und global planarisierenden CVD-Abscheidung von SiO2-Schichten auf strukturierten Siliziumsubstraten | 
        
          | JPH06124948A
              (ja)
            
            * | 1992-08-31 | 1994-05-06 | Sony Corp | 配線形成方法 | 
        
          | US5292689A
              (en)
            
            * | 1992-09-04 | 1994-03-08 | International Business Machines Corporation | Method for planarizing semiconductor structure using subminimum features | 
        
          | US5234868A
              (en)
            
            * | 1992-10-29 | 1993-08-10 | International Business Machines Corporation | Method for determining planarization endpoint during chemical-mechanical polishing | 
        
          | EP0609496B1
              (de)
            
            * | 1993-01-19 | 1998-04-15 | Siemens Aktiengesellschaft | Verfahren zur Herstellung einer Kontakte und diese verbindende Leiterbahnen umfassenden Metallisierungsebene | 
        
          | US5324690A
              (en)
            
            * | 1993-02-01 | 1994-06-28 | Motorola Inc. | Semiconductor device having a ternary boron nitride film and a method for forming the same | 
        
          | US5328553A
              (en)
            
            * | 1993-02-02 | 1994-07-12 | Motorola Inc. | Method for fabricating a semiconductor device having a planar surface | 
        
          | US5244837A
              (en)
            
            * | 1993-03-19 | 1993-09-14 | Micron Semiconductor, Inc. | Semiconductor electrical interconnection methods | 
        
          | US5532191A
              (en)
            
            * | 1993-03-26 | 1996-07-02 | Kawasaki Steel Corporation | Method of chemical mechanical polishing planarization of an insulating film using an etching stop | 
        
          | US5356513A
              (en)
            
            * | 1993-04-22 | 1994-10-18 | International Business Machines Corporation | Polishstop planarization method and structure | 
        
          | JP3297220B2
              (ja)
            
            * | 1993-10-29 | 2002-07-02 | 株式会社東芝 | 半導体装置の製造方法および半導体装置 | 
        
          | US5445994A
              (en)
            
            * | 1994-04-11 | 1995-08-29 | Micron Technology, Inc. | Method for forming custom planar metal bonding pad connectors for semiconductor dice | 
        
          | US5733175A
              (en)
            
            * | 1994-04-25 | 1998-03-31 | Leach; Michael A. | Polishing a workpiece using equal velocity at all points overlapping a polisher | 
        
          | US5565384A
              (en)
            
            * | 1994-04-28 | 1996-10-15 | Texas Instruments Inc | Self-aligned via using low permittivity dielectric | 
        
          | KR0124644B1
              (ko)
            
            * | 1994-05-10 | 1997-12-11 | 문정환 | 반도체소자의 다층금속배선의 형성방법 | 
        
          | US5496771A
              (en)
            
            * | 1994-05-19 | 1996-03-05 | International Business Machines Corporation | Method of making overpass mask/insulator for local interconnects | 
        
          | US5484740A
              (en)
            
            * | 1994-06-06 | 1996-01-16 | Motorola, Inc. | Method of manufacturing a III-V semiconductor gate structure | 
        
          | US5512518A
              (en)
            
            * | 1994-06-06 | 1996-04-30 | Motorola, Inc. | Method of manufacture of multilayer dielectric on a III-V substrate | 
        
          | JP4417439B2
              (ja)
            
            * | 1994-06-29 | 2010-02-17 | フリースケール  セミコンダクター  インコーポレイテッド | エッチング・ストップ層を利用する半導体装置構造とその方法 | 
        
          | US5607341A
              (en)
            
            * | 1994-08-08 | 1997-03-04 | Leach; Michael A. | Method and structure for polishing a wafer during manufacture of integrated circuits | 
        
          | EP0697723A3
              (en)
            
            * | 1994-08-15 | 1997-04-16 | Ibm | Method of metallizing an insulating layer | 
        
          | JP2701751B2
              (ja) | 1994-08-30 | 1998-01-21 | 日本電気株式会社 | 半導体装置の製造方法 | 
        
          | US5498570A
              (en)
            
            * | 1994-09-15 | 1996-03-12 | Micron Technology Inc. | Method of reducing overetch during the formation of a semiconductor device | 
        
          | US6153501A
              (en) | 1998-05-19 | 2000-11-28 | Micron Technology, Inc. | Method of reducing overetch during the formation of a semiconductor device | 
        
          | US5635423A
              (en)
            
            * | 1994-10-11 | 1997-06-03 | Advanced Micro Devices, Inc. | Simplified dual damascene process for multi-level metallization and interconnection structure | 
        
          | US5529953A
              (en)
            
            * | 1994-10-14 | 1996-06-25 | Toshiba America Electronic Components, Inc. | Method of forming studs and interconnects in a multi-layered semiconductor device | 
        
          | US5516716A
              (en)
            
            * | 1994-12-02 | 1996-05-14 | Eastman Kodak Company | Method of making a charge coupled device with edge aligned implants and electrodes | 
        
          | US5736457A
              (en)
            
            * | 1994-12-09 | 1998-04-07 | Sematech | Method of making a damascene metallization | 
        
          | US5460997A
              (en)
            
            * | 1995-01-23 | 1995-10-24 | Eastman Kodak Company | Method of making a confined planar charge coupled device with edge aligned implants and interconnected electrodes | 
        
          | US5556801A
              (en)
            
            * | 1995-01-23 | 1996-09-17 | Eastman Kodak Company | Method of making a planar charge coupled device with edge aligned implants and interconnected electrodes | 
        
          | US5534462A
              (en)
            
            * | 1995-02-24 | 1996-07-09 | Motorola, Inc. | Method for forming a plug and semiconductor device having the same | 
        
          | US5585307A
              (en)
            
            * | 1995-02-27 | 1996-12-17 | Taiwan Semiconductor Manufacturing Company Ltd. | Forming a semi-recessed metal for better EM and Planarization using a silo mask | 
        
          | KR100413890B1
              (ko)
            
            * | 1995-03-02 | 2004-03-19 | 동경 엘렉트론 주식회사 | 반도체장치의제조방법및제조장치 | 
        
          | US5644188A
              (en)
            
            * | 1995-05-08 | 1997-07-01 | Advanced Vision Technologies, Inc. | Field emission display cell structure | 
        
          | US5630741A
              (en)
            
            * | 1995-05-08 | 1997-05-20 | Advanced Vision Technologies, Inc. | Fabrication process for a field emission display cell structure | 
        
          | US5811929A
              (en)
            
            * | 1995-06-02 | 1998-09-22 | Advanced Vision Technologies, Inc. | Lateral-emitter field-emission device with simplified anode | 
        
          | US5952243A
              (en)
            
            * | 1995-06-26 | 1999-09-14 | Alliedsignal Inc. | Removal rate behavior of spin-on dielectrics with chemical mechanical polish | 
        
          | EP0751566A3
              (en) | 1995-06-30 | 1997-02-26 | Ibm | Metal thin film barrier for electrical connections | 
        
          | US5719075A
              (en)
            
            * | 1995-07-31 | 1998-02-17 | Eastman Kodak Company | Method of making a planar charge coupled device with edge aligned implants and electrodes connected with overlying metal | 
        
          | US5759911A
              (en)
            
            * | 1995-08-22 | 1998-06-02 | International Business Machines Corporation | Self-aligned metallurgy | 
        
          | US5539255A
              (en)
            
            * | 1995-09-07 | 1996-07-23 | International Business Machines Corporation | Semiconductor structure having self-aligned interconnection metallization formed from a single layer of metal | 
        
          | US5834845A
              (en)
            
            * | 1995-09-21 | 1998-11-10 | Advanced Micro Devices, Inc. | Interconnect scheme for integrated circuits | 
        
          | JPH09153545A
              (ja)
            
            * | 1995-09-29 | 1997-06-10 | Toshiba Corp | 半導体装置及びその製造方法 | 
        
          | JPH09115866A
              (ja)
            
            * | 1995-10-17 | 1997-05-02 | Mitsubishi Electric Corp | 半導体装置の製造方法 | 
        
          | JP3469976B2
              (ja)
            
            * | 1995-10-19 | 2003-11-25 | 三菱電機株式会社 | 多層配線の形成方法 | 
        
          | EP0779655A3
              (en)
            
            * | 1995-12-14 | 1997-07-16 | International Business Machines Corporation | A method of chemically-mechanically polishing an electronic component | 
        
          | US5674787A
              (en)
            
            * | 1996-01-16 | 1997-10-07 | Sematech, Inc. | Selective electroless copper deposited interconnect plugs for ULSI applications | 
        
          | US5891513A
              (en)
            
            * | 1996-01-16 | 1999-04-06 | Cornell Research Foundation | Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications | 
        
          | US5824599A
              (en)
            
            * | 1996-01-16 | 1998-10-20 | Cornell Research Foundation, Inc. | Protected encapsulation of catalytic layer for electroless copper interconnect | 
        
          | US5940729A
              (en)
            
            * | 1996-04-17 | 1999-08-17 | International Business Machines Corp. | Method of planarizing a curved substrate and resulting structure | 
        
          | US6022807A
              (en)
            
            * | 1996-04-24 | 2000-02-08 | Micro Processing Technology, Inc. | Method for fabricating an integrated circuit | 
        
          | US5854128A
              (en) | 1996-04-29 | 1998-12-29 | Micron Technology, Inc. | Method for reducing capacitive coupling between conductive lines | 
        
          | US5652173A
              (en)
            
            * | 1996-05-09 | 1997-07-29 | Philips Electronics North America Corporation | Monolithic microwave circuit with thick conductors | 
        
          | US5814557A
              (en)
            
            * | 1996-05-20 | 1998-09-29 | Motorola, Inc. | Method of forming an interconnect structure | 
        
          | US5741741A
              (en)
            
            * | 1996-05-23 | 1998-04-21 | Vanguard International Semiconductor Corporation | Method for making planar metal interconnections and metal plugs on semiconductor substrates | 
        
          | JP2809200B2
              (ja)
            
            * | 1996-06-03 | 1998-10-08 | 日本電気株式会社 | 半導体装置の製造方法 | 
        
          | US5993686A
              (en)
            
            * | 1996-06-06 | 1999-11-30 | Cabot Corporation | Fluoride additive containing chemical mechanical polishing slurry and method for use of same | 
        
          | US6203582B1
              (en)
            
            * | 1996-07-15 | 2001-03-20 | Semitool, Inc. | Modular semiconductor workpiece processing tool | 
        
          | US5854515A
              (en)
            
            * | 1996-07-23 | 1998-12-29 | Advanced Micro Devices, Inc. | Integrated circuit having conductors of enhanced cross-sectional area | 
        
          | US5660706A
              (en)
            
            * | 1996-07-30 | 1997-08-26 | Sematech, Inc. | Electric field initiated electroless metal deposition | 
        
          | US6309971B1
              (en) | 1996-08-01 | 2001-10-30 | Cypress Semiconductor Corporation | Hot metallization process | 
        
          | US6039891A
              (en) | 1996-09-24 | 2000-03-21 | Cabot Corporation | Multi-oxidizer precursor for chemical mechanical polishing | 
        
          | US6033596A
              (en)
            
            * | 1996-09-24 | 2000-03-07 | Cabot Corporation | Multi-oxidizer slurry for chemical mechanical polishing | 
        
          | US5783489A
              (en)
            
            * | 1996-09-24 | 1998-07-21 | Cabot Corporation | Multi-oxidizer slurry for chemical mechanical polishing | 
        
          | US6051501A
              (en)
            
            * | 1996-10-09 | 2000-04-18 | Micron Technology, Inc. | Method of reducing overetch during the formation of a semiconductor device | 
        
          | US5847462A
              (en)
            
            * | 1996-11-14 | 1998-12-08 | Advanced Micro Devices, Inc. | Integrated circuit having conductors of enhanced cross-sectional area with etch stop barrier layer | 
        
          | US5695810A
              (en)
            
            * | 1996-11-20 | 1997-12-09 | Cornell Research Foundation, Inc. | Use of cobalt tungsten phosphide as a barrier material for copper metallization | 
        
          | US5985746A
              (en)
            
            * | 1996-11-21 | 1999-11-16 | Lsi Logic Corporation | Process for forming self-aligned conductive plugs in multiple insulation levels in integrated circuit structures and resulting product | 
        
          | US5977638A
              (en)
            
            * | 1996-11-21 | 1999-11-02 | Cypress Semiconductor Corp. | Edge metal for interconnect layers | 
        
          | US5818110A
              (en)
            
            * | 1996-11-22 | 1998-10-06 | International Business Machines Corporation | Integrated circuit chip wiring structure with crossover capability and method of manufacturing the same | 
        
          | US6576848B1
              (en) | 1996-11-22 | 2003-06-10 | International Business Machines Corporation | Integrated circuit chip wiring structure with crossover capability and method of manufacturing the same | 
        
          | US5958288A
              (en)
            
            * | 1996-11-26 | 1999-09-28 | Cabot Corporation | Composition and slurry useful for metal CMP | 
        
          | US6068787A
              (en)
            
            * | 1996-11-26 | 2000-05-30 | Cabot Corporation | Composition and slurry useful for metal CMP | 
        
          | US5861676A
              (en)
            
            * | 1996-11-27 | 1999-01-19 | Cypress Semiconductor Corp. | Method of forming robust interconnect and contact structures in a semiconductor and/or integrated circuit | 
        
          | US6309560B1
              (en) | 1996-12-09 | 2001-10-30 | Cabot Microelectronics Corporation | Chemical mechanical polishing slurry useful for copper substrates | 
        
          | US5954997A
              (en)
            
            * | 1996-12-09 | 1999-09-21 | Cabot Corporation | Chemical mechanical polishing slurry useful for copper substrates | 
        
          | US6126853A
              (en) | 1996-12-09 | 2000-10-03 | Cabot Microelectronics Corporation | Chemical mechanical polishing slurry useful for copper substrates | 
        
          | US5897371A
              (en)
            
            * | 1996-12-19 | 1999-04-27 | Cypress Semiconductor Corp. | Alignment process compatible with chemical mechanical polishing | 
        
          | GB2325083B
              (en)
            
            * | 1997-05-09 | 1999-04-14 | United Microelectronics Corp | A dual damascene process | 
        
          | JPH10242271A
              (ja)
            
            * | 1997-02-28 | 1998-09-11 | Sony Corp | 半導体装置及びその製造方法 | 
        
          | US5801094A
              (en)
            
            * | 1997-02-28 | 1998-09-01 | United Microelectronics Corporation | Dual damascene process | 
        
          | US6153525A
              (en)
            
            * | 1997-03-13 | 2000-11-28 | Alliedsignal Inc. | Methods for chemical mechanical polish of organic polymer dielectric films | 
        
          | US6124189A
              (en)
            
            * | 1997-03-14 | 2000-09-26 | Kabushiki Kaisha Toshiba | Metallization structure and method for a semiconductor device | 
        
          | US5930669A
              (en)
            
            * | 1997-04-03 | 1999-07-27 | International Business Machines Corporation | Continuous highly conductive metal wiring structures and method for fabricating the same | 
        
          | US5969422A
              (en)
            
            * | 1997-05-15 | 1999-10-19 | Advanced Micro Devices, Inc. | Plated copper interconnect structure | 
        
          | US5985762A
              (en)
            
            * | 1997-05-19 | 1999-11-16 | International Business Machines Corporation | Method of forming a self-aligned copper diffusion barrier in vias | 
        
          | US6130161A
              (en) | 1997-05-30 | 2000-10-10 | International Business Machines Corporation | Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity | 
        
          | US6069068A
              (en) | 1997-05-30 | 2000-05-30 | International Business Machines Corporation | Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity | 
        
          | US5833820A
              (en)
            
            * | 1997-06-19 | 1998-11-10 | Advanced Micro Devices, Inc. | Electroplating apparatus | 
        
          | US5932928A
              (en)
            
            * | 1997-07-03 | 1999-08-03 | Micron Technology, Inc. | Semiconductor circuit interconnections and methods of making such interconnections | 
        
          | US5972192A
              (en)
            
            * | 1997-07-23 | 1999-10-26 | Advanced Micro Devices, Inc. | Pulse electroplating copper or copper alloys | 
        
          | US6081033A
              (en)
            
            * | 1997-07-29 | 2000-06-27 | Micron Technology, Inc. | Interconnections for semiconductor circuits | 
        
          | US6100184A
              (en)
            
            * | 1997-08-20 | 2000-08-08 | Sematech, Inc. | Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer | 
        
          | US5920790A
              (en)
            
            * | 1997-08-29 | 1999-07-06 | Motorola, Inc. | Method of forming a semiconductor device having dual inlaid structure | 
        
          | US6143640A
              (en) | 1997-09-23 | 2000-11-07 | International Business Machines Corporation | Method of fabricating a stacked via in copper/polyimide beol | 
        
          | US6454926B1
              (en)
            
            * | 1997-09-30 | 2002-09-24 | Semitool Inc. | Semiconductor plating system workpiece support having workpiece-engaging electrode with submerged conductive current transfer areas | 
        
          | US5994206A
              (en)
            
            * | 1997-10-06 | 1999-11-30 | Advanced Micro Devices, Inc. | Method of forming a high conductivity metal interconnect using metal gettering plug and system performing the method | 
        
          | US5981395A
              (en)
            
            * | 1997-10-18 | 1999-11-09 | United Microelectronics Corp. | Method of fabricating an unlanded metal via of multi-level interconnection | 
        
          | JP3309783B2
              (ja)
            
            * | 1997-10-31 | 2002-07-29 | 日本電気株式会社 | 半導体装置の製造方法 | 
        
          | US6291334B1
              (en)
            
            * | 1997-12-19 | 2001-09-18 | Applied Materials, Inc. | Etch stop layer for dual damascene process | 
        
          | US6294105B1
              (en) | 1997-12-23 | 2001-09-25 | International Business Machines Corporation | Chemical mechanical polishing slurry and method for polishing metal/oxide layers | 
        
          | US6284151B1
              (en) | 1997-12-23 | 2001-09-04 | International Business Machines Corporation | Chemical mechanical polishing slurry for tungsten | 
        
          | US6200896B1
              (en) | 1998-01-22 | 2001-03-13 | Cypress Semiconductor Corporation | Employing an acidic liquid and an abrasive surface to polish a semiconductor topography | 
        
          | US6143663A
              (en)
            
            * | 1998-01-22 | 2000-11-07 | Cypress Semiconductor Corporation | Employing deionized water and an abrasive surface to polish a semiconductor topography | 
        
          | US6249055B1
              (en) | 1998-02-03 | 2001-06-19 | Advanced Micro Devices, Inc. | Self-encapsulated copper metallization | 
        
          | US5933761A
              (en)
            
            * | 1998-02-09 | 1999-08-03 | Lee; Ellis | Dual damascene structure and its manufacturing method | 
        
          | US6660656B2
              (en) | 1998-02-11 | 2003-12-09 | Applied Materials Inc. | Plasma processes for depositing low dielectric constant films | 
        
          | US6287990B1
              (en) | 1998-02-11 | 2001-09-11 | Applied Materials, Inc. | CVD plasma assisted low dielectric constant films | 
        
          | US6627532B1
              (en) | 1998-02-11 | 2003-09-30 | Applied Materials, Inc. | Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition | 
        
          | US6340435B1
              (en)
            
            * | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops | 
        
          | US6593247B1
              (en) | 1998-02-11 | 2003-07-15 | Applied Materials, Inc. | Method of depositing low k films using an oxidizing plasma | 
        
          | US6303523B2
              (en) | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films | 
        
          | US6054379A
              (en) | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane | 
        
          | US6413583B1
              (en) | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound | 
        
          | US6846739B1
              (en)
            
            * | 1998-02-27 | 2005-01-25 | Micron Technology, Inc. | MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer | 
        
          | US6022808A
              (en)
            
            * | 1998-03-16 | 2000-02-08 | Advanced Micro Devices, Inc. | Copper interconnect methodology for enhanced electromigration resistance | 
        
          | TW376351B
              (en)
            
            * | 1998-03-17 | 1999-12-11 | United Microelectronics Corp | Polishing barrier structure of chemical mechanical polishing | 
        
          | US6432828B2
              (en) | 1998-03-18 | 2002-08-13 | Cabot Microelectronics Corporation | Chemical mechanical polishing slurry useful for copper substrates | 
        
          | US6214731B1
              (en)
            
            * | 1998-03-25 | 2001-04-10 | Advanced Micro Devices, Inc. | Copper metalization with improved electromigration resistance | 
        
          | US6171180B1
              (en) | 1998-03-31 | 2001-01-09 | Cypress Semiconductor Corporation | Planarizing a trench dielectric having an upper surface within a trench spaced below an adjacent polish stop surface | 
        
          | US5968333A
              (en)
            
            * | 1998-04-07 | 1999-10-19 | Advanced Micro Devices, Inc. | Method of electroplating a copper or copper alloy interconnect | 
        
          | DE19829152A1
              (de)
            
            * | 1998-05-05 | 1999-11-18 | United Microelectronics Corp | Doppeltes Damaszierverfahren | 
        
          | JP4565678B2
              (ja)
            
            * | 1998-05-28 | 2010-10-20 | 株式会社ハイニックスセミコンダクター | 半導体素子の多層配線構造の製造方法 | 
        
          | US6627539B1
              (en)
            
            * | 1998-05-29 | 2003-09-30 | Newport Fab, Llc | Method of forming dual-damascene interconnect structures employing low-k dielectric materials | 
        
          | US6667553B2
              (en) | 1998-05-29 | 2003-12-23 | Dow Corning Corporation | H:SiOC coated substrates | 
        
          | US6159871A
              (en) | 1998-05-29 | 2000-12-12 | Dow Corning Corporation | Method for producing hydrogenated silicon oxycarbide films having low dielectric constant | 
        
          | US6680248B2
              (en) | 1998-06-01 | 2004-01-20 | United Microelectronics Corporation | Method of forming dual damascene structure | 
        
          | JP3186040B2
              (ja) | 1998-06-01 | 2001-07-11 | 日本電気株式会社 | 半導体装置の製造方法 | 
        
          | TW383463B
              (en) | 1998-06-01 | 2000-03-01 | United Microelectronics Corp | Manufacturing method for dual damascene structure | 
        
          | US6063306A
              (en)
            
            * | 1998-06-26 | 2000-05-16 | Cabot Corporation | Chemical mechanical polishing slurry useful for copper/tantalum substrate | 
        
          | TW396524B
              (en)
            
            * | 1998-06-26 | 2000-07-01 | United Microelectronics Corp | A method for fabricating dual damascene | 
        
          | US6217416B1
              (en) | 1998-06-26 | 2001-04-17 | Cabot Microelectronics Corporation | Chemical mechanical polishing slurry useful for copper/tantalum substrates | 
        
          | US6211084B1
              (en) | 1998-07-09 | 2001-04-03 | Advanced Micro Devices, Inc. | Method of forming reliable copper interconnects | 
        
          | US6303505B1
              (en) | 1998-07-09 | 2001-10-16 | Advanced Micro Devices, Inc. | Copper interconnect with improved electromigration resistance | 
        
          | US6492266B1
              (en) | 1998-07-09 | 2002-12-10 | Advanced Micro Devices, Inc. | Method of forming reliable capped copper interconnects | 
        
          | US6165894A
              (en)
            
            * | 1998-07-09 | 2000-12-26 | Advanced Micro Devices, Inc. | Method of reliably capping copper interconnects | 
        
          | TW374948B
              (en)
            
            * | 1998-07-28 | 1999-11-21 | United Microelectronics Corp | Method of prevention of poisoning trenches in dual damascene process structures and dielectric layer windows | 
        
          | US5972787A
              (en)
            
            * | 1998-08-18 | 1999-10-26 | International Business Machines Corp. | CMP process using indicator areas to determine endpoint | 
        
          | US5985753A
              (en)
            
            * | 1998-08-19 | 1999-11-16 | Advanced Micro Devices, Inc. | Method to manufacture dual damascene using a phantom implant mask | 
        
          | US6232231B1
              (en) | 1998-08-31 | 2001-05-15 | Cypress Semiconductor Corporation | Planarized semiconductor interconnect topography and method for polishing a metal layer to form interconnect | 
        
          | US5972124A
              (en) | 1998-08-31 | 1999-10-26 | Advanced Micro Devices, Inc. | Method for cleaning a surface of a dielectric material | 
        
          | US6534378B1
              (en) | 1998-08-31 | 2003-03-18 | Cypress Semiconductor Corp. | Method for forming an integrated circuit device | 
        
          | US6051496A
              (en)
            
            * | 1998-09-17 | 2000-04-18 | Taiwan Semiconductor Manufacturing Company | Use of stop layer for chemical mechanical polishing of CU damascene | 
        
          | WO2000016393A1
              (en)
            
            * | 1998-09-17 | 2000-03-23 | Atmel Corporation | Method of forming interconnects using selective deposition | 
        
          | US6071809A
              (en)
            
            * | 1998-09-25 | 2000-06-06 | Rockwell Semiconductor Systems, Inc. | Methods for forming high-performing dual-damascene interconnect structures | 
        
          | US6815336B1
              (en) | 1998-09-25 | 2004-11-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Planarization of copper damascene using reverse current electroplating and chemical mechanical polishing | 
        
          | US6800571B2
              (en) | 1998-09-29 | 2004-10-05 | Applied Materials Inc. | CVD plasma assisted low dielectric constant films | 
        
          | US6143656A
              (en)
            
            * | 1998-10-22 | 2000-11-07 | Advanced Micro Devices, Inc. | Slurry for chemical mechanical polishing of copper | 
        
          | SG99289A1
              (en) | 1998-10-23 | 2003-10-27 | Ibm | Chemical-mechanical planarization of metallurgy | 
        
          | KR100304979B1
              (ko) | 1998-10-29 | 2001-10-19 | 김영환 | 반도체소자의배선형성방법 | 
        
          | JP3312604B2
              (ja)
            
            * | 1998-11-06 | 2002-08-12 | 日本電気株式会社 | 半導体装置の製造方法 | 
        
          | US6566249B1
              (en) | 1998-11-09 | 2003-05-20 | Cypress Semiconductor Corp. | Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures | 
        
          | US6121141A
              (en)
            
            * | 1998-11-24 | 2000-09-19 | Advanced Micro Devices, Inc. | Method of forming a void free copper interconnects | 
        
          | US6265308B1
              (en)
            
            * | 1998-11-30 | 2001-07-24 | International Business Machines Corporation | Slotted damascene lines for low resistive wiring lines for integrated circuit | 
        
          | US6242349B1
              (en) | 1998-12-09 | 2001-06-05 | Advanced Micro Devices, Inc. | Method of forming copper/copper alloy interconnection with reduced electromigration | 
        
          | US6284560B1
              (en) | 1998-12-18 | 2001-09-04 | Eastman Kodak Company | Method for producing co-planar surface structures | 
        
          | US6472755B1
              (en) | 1999-01-05 | 2002-10-29 | Advanced Micro Devices, Inc. | Semiconductor device comprising copper interconnects with reduced in-line copper diffusion | 
        
          | JP2000216247A
              (ja)
            
            * | 1999-01-22 | 2000-08-04 | Nec Corp | 半導体装置及びその製造方法 | 
        
          | US6107186A
              (en)
            
            * | 1999-01-27 | 2000-08-22 | Advanced Micro Devices, Inc. | High planarity high-density in-laid metallization patterns by damascene-CMP processing | 
        
          | US6844253B2
              (en)
            
            * | 1999-02-19 | 2005-01-18 | Micron Technology, Inc. | Selective deposition of solder ball contacts | 
        
          | US6375693B1
              (en) | 1999-05-07 | 2002-04-23 | International Business Machines Corporation | Chemical-mechanical planarization of barriers or liners for copper metallurgy | 
        
          | US6017817A
              (en)
            
            * | 1999-05-10 | 2000-01-25 | United Microelectronics Corp. | Method of fabricating dual damascene | 
        
          | US6071808A
              (en)
            
            * | 1999-06-23 | 2000-06-06 | Lucent Technologies Inc. | Method of passivating copper interconnects in a semiconductor | 
        
          | US6340601B1
              (en) | 1999-08-02 | 2002-01-22 | International Business Machines Corporation | Method for reworking copper metallurgy in semiconductor devices | 
        
          | US6284642B1
              (en) | 1999-08-11 | 2001-09-04 | Taiwan Semiconductor Manufacturing Company | Integrated method of damascene and borderless via process | 
        
          | JP2001053023A
              (ja) | 1999-08-11 | 2001-02-23 | Tokyo Electron Ltd | 半導体装置の製造方法及び製造装置 | 
        
          | JP2001053030A
              (ja) | 1999-08-11 | 2001-02-23 | Tokyo Electron Ltd | 成膜装置 | 
        
          | TW501197B
              (en)
            
            * | 1999-08-17 | 2002-09-01 | Hitachi Chemical Co Ltd | Polishing compound for chemical mechanical polishing and method for polishing substrate | 
        
          | CN1203529C
              (zh)
            
            * | 1999-08-17 | 2005-05-25 | 日立化成工业株式会社 | 化学机械研磨用研磨剂及基板的研磨法 | 
        
          | US6391780B1
              (en) | 1999-08-23 | 2002-05-21 | Taiwan Semiconductor Manufacturing Company | Method to prevent copper CMP dishing | 
        
          | US6709564B1
              (en) | 1999-09-30 | 2004-03-23 | Rockwell Scientific Licensing, Llc | Integrated circuit plating using highly-complexed copper plating baths | 
        
          | US6153935A
              (en) | 1999-09-30 | 2000-11-28 | International Business Machines Corporation | Dual etch stop/diffusion barrier for damascene interconnects | 
        
          | US6124197A
              (en) | 1999-10-01 | 2000-09-26 | Advanced Micro Devices, Inc. | Adjusting the size of conductive lines based upon contact size | 
        
          | US6297149B1
              (en) | 1999-10-05 | 2001-10-02 | International Business Machines Corporation | Methods for forming metal interconnects | 
        
          | US6682999B1
              (en) | 1999-10-22 | 2004-01-27 | Agere Systems Inc. | Semiconductor device having multilevel interconnections and method of manufacture thereof | 
        
          | US6435944B1
              (en)
            
            * | 1999-10-27 | 2002-08-20 | Applied Materials, Inc. | CMP slurry for planarizing metals | 
        
          | US6399489B1
              (en) | 1999-11-01 | 2002-06-04 | Applied Materials, Inc. | Barrier layer deposition using HDP-CVD | 
        
          | US6551924B1
              (en) | 1999-11-02 | 2003-04-22 | International Business Machines Corporation | Post metalization chem-mech polishing dielectric etch | 
        
          | GB2364170B
              (en)
            
            * | 1999-12-16 | 2002-06-12 | Lucent Technologies Inc | Dual damascene bond pad structure for lowering stress and allowing circuitry under pads and a process to form the same | 
        
          | US6838769B1
              (en) | 1999-12-16 | 2005-01-04 | Agere Systems Inc. | Dual damascene bond pad structure for lowering stress and allowing circuitry under pads | 
        
          | US6339022B1
              (en) | 1999-12-30 | 2002-01-15 | International Business Machines Corporation | Method of annealing copper metallurgy | 
        
          | US6335283B1
              (en) | 2000-01-05 | 2002-01-01 | Advanced Micro Devices, Inc. | Method of reducing in-line copper diffusion | 
        
          | US6444567B1
              (en) | 2000-01-05 | 2002-09-03 | Advanced Micro Devices, Inc. | Process for alloying damascene-type Cu interconnect lines | 
        
          | US6689689B1
              (en)
            
            * | 2000-01-05 | 2004-02-10 | Advanced Micro Devices, Inc. | Selective deposition process for allowing damascene-type Cu interconnect lines | 
        
          | US6146988A
              (en)
            
            * | 2000-01-05 | 2000-11-14 | Advanced Micro Devices, Inc. | Method of making a semiconductor device comprising copper interconnects with reduced in-line copper diffusion | 
        
          | US6454916B1
              (en) | 2000-01-05 | 2002-09-24 | Advanced Micro Devices, Inc. | Selective electroplating with direct contact chemical polishing | 
        
          | US6319819B1
              (en) | 2000-01-18 | 2001-11-20 | Advanced Micro Devices, Inc. | Process for passivating top interface of damascene-type Cu interconnect lines | 
        
          | US6455425B1
              (en) | 2000-01-18 | 2002-09-24 | Advanced Micro Devices, Inc. | Selective deposition process for passivating top interface of damascene-type Cu interconnect lines | 
        
          | US6383925B1
              (en) | 2000-02-04 | 2002-05-07 | Advanced Micro Devices, Inc. | Method of improving adhesion of capping layers to cooper interconnects | 
        
          | US6573030B1
              (en) | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer | 
        
          | US6486557B1
              (en) | 2000-02-29 | 2002-11-26 | International Business Machines Corporation | Hybrid dielectric structure for improving the stiffness of back end of the line structures | 
        
          | WO2001093326A1
              (en)
            
            * | 2000-05-31 | 2001-12-06 | Infineon Technologies North America Corp. | Process for forming doped epitaxial silicon on a silicon substrate | 
        
          | US6635566B1
              (en)
            
            * | 2000-06-15 | 2003-10-21 | Cypress Semiconductor Corporation | Method of making metallization and contact structures in an integrated circuit | 
        
          | US6399512B1
              (en) | 2000-06-15 | 2002-06-04 | Cypress Semiconductor Corporation | Method of making metallization and contact structures in an integrated circuit comprising an etch stop layer | 
        
          | US6458416B1
              (en)
            
            * | 2000-07-19 | 2002-10-01 | Micron Technology, Inc. | Deposition methods | 
        
          | US6872329B2
              (en) | 2000-07-28 | 2005-03-29 | Applied Materials, Inc. | Chemical mechanical polishing composition and process | 
        
          | US6753270B1
              (en) | 2000-08-04 | 2004-06-22 | Applied Materials Inc. | Process for depositing a porous, low dielectric constant silicon oxide film | 
        
          | US7192888B1
              (en)
            
            * | 2000-08-21 | 2007-03-20 | Micron Technology, Inc. | Low selectivity deposition methods | 
        
          | US6640155B2
              (en) | 2000-08-22 | 2003-10-28 | Lam Research Corporation | Chemical mechanical polishing apparatus and methods with central control of polishing pressure applied by polishing head | 
        
          | US7481695B2
              (en) | 2000-08-22 | 2009-01-27 | Lam Research Corporation | Polishing apparatus and methods having high processing workload for controlling polishing pressure applied by polishing head | 
        
          | US6652357B1
              (en) | 2000-09-22 | 2003-11-25 | Lam Research Corporation | Methods for controlling retaining ring and wafer head tilt for chemical mechanical polishing | 
        
          | US6585572B1
              (en) | 2000-08-22 | 2003-07-01 | Lam Research Corporation | Subaperture chemical mechanical polishing system | 
        
          | US7094690B1
              (en)
            
            * | 2000-08-31 | 2006-08-22 | Micron Technology, Inc. | Deposition methods and apparatuses providing surface activation | 
        
          | US8030172B1
              (en) | 2000-09-12 | 2011-10-04 | Cypress Semiconductor Corporation | Isolation technology for submicron semiconductor devices | 
        
          | US6471566B1
              (en) | 2000-09-18 | 2002-10-29 | Lam Research Corporation | Sacrificial retaining ring CMP system and methods for implementing the same | 
        
          | US6443815B1
              (en) | 2000-09-22 | 2002-09-03 | Lam Research Corporation | Apparatus and methods for controlling pad conditioning head tilt for chemical mechanical polishing | 
        
          | US6702954B1
              (en)
            
            * | 2000-10-19 | 2004-03-09 | Ferro Corporation | Chemical-mechanical polishing slurry and method | 
        
          | US6508953B1
              (en) | 2000-10-19 | 2003-01-21 | Ferro Corporation | Slurry for chemical-mechanical polishing copper damascene structures | 
        
          | US6498088B1
              (en)
            
            * | 2000-11-09 | 2002-12-24 | Micron Technology, Inc. | Stacked local interconnect structure and method of fabricating same | 
        
          | JP4129971B2
              (ja) | 2000-12-01 | 2008-08-06 | 新光電気工業株式会社 | 配線基板の製造方法 | 
        
          | KR100368320B1
              (ko)
            
            * | 2000-12-28 | 2003-01-24 | 주식회사 하이닉스반도체 | 반도체 소자의 금속 배선 형성 방법 | 
        
          | US6977224B2
              (en) | 2000-12-28 | 2005-12-20 | Intel Corporation | Method of electroless introduction of interconnect structures | 
        
          | US6650000B2
              (en)
            
            * | 2001-01-16 | 2003-11-18 | International Business Machines Corporation | Apparatus and method for forming a battery in an integrated circuit | 
        
          | US6383065B1
              (en) | 2001-01-22 | 2002-05-07 | Cabot Microelectronics Corporation | Catalytic reactive pad for metal CMP | 
        
          | US6667217B1
              (en)
            
            * | 2001-03-01 | 2003-12-23 | Taiwan Semiconductor Manufacturing Company | Method of fabricating a damascene copper inductor structure using a sub-0.18 um CMOS process | 
        
          | JP2004527905A
              (ja)
            
            * | 2001-03-14 | 2004-09-09 | ユニバーシティー  オブ  マサチューセッツ | ナノ製造 | 
        
          | US6566242B1
              (en)
            
            * | 2001-03-23 | 2003-05-20 | International Business Machines Corporation | Dual damascene copper interconnect to a damascene tungsten wiring level | 
        
          | US6709721B2
              (en) | 2001-03-28 | 2004-03-23 | Applied Materials Inc. | Purge heater design and process development for the improvement of low k film properties | 
        
          | US6969684B1
              (en) | 2001-04-30 | 2005-11-29 | Cypress Semiconductor Corp. | Method of making a planarized semiconductor structure | 
        
          | US6803314B2
              (en)
            
            * | 2001-04-30 | 2004-10-12 | Chartered Semiconductor Manufacturing Ltd. | Double-layered low dielectric constant dielectric dual damascene method | 
        
          | US6432822B1
              (en)
            
            * | 2001-05-02 | 2002-08-13 | Advanced Micro Devices, Inc. | Method of improving electromigration resistance of capped Cu | 
        
          | US6783432B2
              (en) | 2001-06-04 | 2004-08-31 | Applied Materials Inc. | Additives for pressure sensitive polishing compositions | 
        
          | US6740222B2
              (en)
            
            * | 2001-06-07 | 2004-05-25 | Agere Systems Inc. | Method of manufacturing a printed wiring board having a discontinuous plating layer | 
        
          | US6627055B2
              (en) | 2001-07-02 | 2003-09-30 | Brush Wellman, Inc. | Manufacture of fine-grained electroplating anodes | 
        
          | US6429128B1
              (en)
            
            * | 2001-07-12 | 2002-08-06 | Advanced Micro Devices, Inc. | Method of forming nitride capped Cu lines with reduced electromigration along the Cu/nitride interface | 
        
          | US7368014B2
              (en)
            
            * | 2001-08-09 | 2008-05-06 | Micron Technology, Inc. | Variable temperature deposition methods | 
        
          | US6926926B2
              (en)
            
            * | 2001-09-10 | 2005-08-09 | Applied Materials, Inc. | Silicon carbide deposited by high density plasma chemical-vapor deposition with bias | 
        
          | US7200629B2
              (en)
            
            * | 2002-01-04 | 2007-04-03 | Infineon Technologies Ag | Apparatus and method for Fast Hadamard Transforms | 
        
          | DE10200428A1
              (de)
            
            * | 2002-01-09 | 2003-04-30 | Infineon Technologies Ag | Verfahren zur Herstellung von Kontakten und Leiterbahnen mit Hilfe einer vergrabenen Hartmaske | 
        
          | US20030136759A1
              (en)
            
            * | 2002-01-18 | 2003-07-24 | Cabot Microelectronics Corp. | Microlens array fabrication using CMP | 
        
          | US7004819B2
              (en) | 2002-01-18 | 2006-02-28 | Cabot Microelectronics Corporation | CMP systems and methods utilizing amine-containing polymers | 
        
          | US6835616B1
              (en) | 2002-01-29 | 2004-12-28 | Cypress Semiconductor Corporation | Method of forming a floating metal structure in an integrated circuit | 
        
          | US7026235B1
              (en) | 2002-02-07 | 2006-04-11 | Cypress Semiconductor Corporation | Dual-damascene process and associated floating metal structures | 
        
          | US6884729B2
              (en)
            
            * | 2002-02-11 | 2005-04-26 | Cabot Microelectronics Corporation | Global planarization method | 
        
          | JP3727277B2
              (ja)
            
            * | 2002-02-26 | 2005-12-14 | Necエレクトロニクス株式会社 | 半導体装置の製造方法 | 
        
          | US6828678B1
              (en) | 2002-03-29 | 2004-12-07 | Silicon Magnetic Systems | Semiconductor topography with a fill material arranged within a plurality of valleys associated with the surface roughness of the metal layer | 
        
          | US6541397B1
              (en)
            
            * | 2002-03-29 | 2003-04-01 | Applied Materials, Inc. | Removable amorphous carbon CMP stop | 
        
          | US6853474B2
              (en)
            
            * | 2002-04-04 | 2005-02-08 | Cabot Microelectronics Corporation | Process for fabricating optical switches | 
        
          | US7008872B2
              (en) | 2002-05-03 | 2006-03-07 | Intel Corporation | Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures | 
        
          | US6927178B2
              (en) | 2002-07-11 | 2005-08-09 | Applied Materials, Inc. | Nitrogen-free dielectric anti-reflective coating and hardmask | 
        
          | US6858531B1
              (en) | 2002-07-12 | 2005-02-22 | Lsi Logic Corporation | Electro chemical mechanical polishing method | 
        
          | JP4202091B2
              (ja)
            
            * | 2002-11-05 | 2008-12-24 | 株式会社半導体エネルギー研究所 | アクティブマトリクス型液晶表示装置の作製方法 | 
        
          | US7880305B2
              (en)
            
            * | 2002-11-07 | 2011-02-01 | International Business Machines Corporation | Technology for fabrication of packaging interface substrate wafers with fully metallized vias through the substrate wafer | 
        
          | US6917109B2
              (en)
            
            * | 2002-11-15 | 2005-07-12 | United Micorelectronics, Corp. | Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device | 
        
          | US7138329B2
              (en)
            
            * | 2002-11-15 | 2006-11-21 | United Microelectronics Corporation | Air gap for tungsten/aluminum plug applications | 
        
          | US7449407B2
              (en)
            
            * | 2002-11-15 | 2008-11-11 | United Microelectronics Corporation | Air gap for dual damascene applications | 
        
          | US20040188379A1
              (en)
            
            * | 2003-03-28 | 2004-09-30 | Cabot Microelectronics Corporation | Dielectric-in-dielectric damascene process for manufacturing planar waveguides | 
        
          | US7964005B2
              (en)
            
            * | 2003-04-10 | 2011-06-21 | Technion Research & Development Foundation Ltd. | Copper CMP slurry composition | 
        
          | US7087104B2
              (en)
            
            * | 2003-06-26 | 2006-08-08 | Intel Corporation | Preparation of electroless deposition solutions | 
        
          | US7086932B2
              (en)
            
            * | 2004-05-11 | 2006-08-08 | Freudenberg Nonwovens | Polishing pad | 
        
          | KR100528069B1
              (ko)
            
            * | 2003-09-02 | 2005-11-15 | 동부아남반도체 주식회사 | 반도체 소자 및 그 제조 방법 | 
        
          | US6929983B2
              (en) | 2003-09-30 | 2005-08-16 | Cabot Microelectronics Corporation | Method of forming a current controlling device | 
        
          | US6992390B2
              (en)
            
            * | 2003-11-07 | 2006-01-31 | International Business Machines Corp. | Liner with improved electromigration redundancy for damascene interconnects | 
        
          | KR100552812B1
              (ko)
            
            * | 2003-12-31 | 2006-02-22 | 동부아남반도체 주식회사 | 반도체 소자의 구리 배선 형성 방법 | 
        
          | KR100529676B1
              (ko) | 2003-12-31 | 2005-11-17 | 동부아남반도체 주식회사 | 듀얼 다마신 패턴을 형성하는 방법 | 
        
          | KR100563487B1
              (ko)
            
            * | 2003-12-31 | 2006-03-27 | 동부아남반도체 주식회사 | 반도체 소자의 금속배선 형성방법 | 
        
          | US20050148289A1
              (en)
            
            * | 2004-01-06 | 2005-07-07 | Cabot Microelectronics Corp. | Micromachining by chemical mechanical polishing | 
        
          | US7255810B2
              (en)
            
            * | 2004-01-09 | 2007-08-14 | Cabot Microelectronics Corporation | Polishing system comprising a highly branched polymer | 
        
          | JP4738349B2
              (ja)
            
            * | 2004-01-14 | 2011-08-03 | インターナショナル・ビジネス・マシーンズ・コーポレーション | 低kのcvd材料の勾配堆積 | 
        
          | KR100593737B1
              (ko)
            
            * | 2004-01-28 | 2006-06-28 | 삼성전자주식회사 | 반도체 소자의 배선 방법 및 배선 구조체 | 
        
          | KR20050114784A
              (ko)
            
            * | 2004-06-01 | 2005-12-07 | 동부아남반도체 주식회사 | 반도체 소자의 구리배선 형성방법 | 
        
          | KR100701375B1
              (ko)
            
            * | 2004-07-08 | 2007-03-28 | 동부일렉트로닉스 주식회사 | 반도체 소자의 금속 배선 제조 방법 | 
        
          | KR100602087B1
              (ko)
            
            * | 2004-07-09 | 2006-07-14 | 동부일렉트로닉스 주식회사 | 반도체 소자 및 그 제조방법 | 
        
          | US7071097B2
              (en)
            
            * | 2004-07-09 | 2006-07-04 | International Business Machines Corporation | Method for improved process latitude by elongated via integration | 
        
          | KR100876532B1
              (ko)
            
            * | 2004-08-27 | 2008-12-31 | 동부일렉트로닉스 주식회사 | 반도체 소자의 제조 방법 | 
        
          | US20060046465A1
              (en)
            
            * | 2004-08-27 | 2006-03-02 | Dongbuanam Semiconductor Inc. | Method for manufacturing a semiconductor device | 
        
          | KR100641506B1
              (ko)
            
            * | 2004-09-17 | 2006-11-01 | 동부일렉트로닉스 주식회사 | 반도체 소자 세정 방법 | 
        
          | US8038752B2
              (en) | 2004-10-27 | 2011-10-18 | Cabot Microelectronics Corporation | Metal ion-containing CMP composition and method for using the same | 
        
          | CN1865387A
              (zh)
            
            * | 2005-05-17 | 2006-11-22 | 安集微电子(上海)有限公司 | 抛光浆料 | 
        
          | US20060278879A1
              (en)
            
            * | 2005-06-09 | 2006-12-14 | Cabot Microelectronics Corporation | Nanochannel device and method of manufacturing same | 
        
          | US7576361B2
              (en)
            
            * | 2005-08-03 | 2009-08-18 | Aptina Imaging Corporation | Backside silicon wafer design reducing image artifacts from infrared radiation | 
        
          | US7803203B2
              (en) | 2005-09-26 | 2010-09-28 | Cabot Microelectronics Corporation | Compositions and methods for CMP of semiconductor materials | 
        
          | KR100731085B1
              (ko)
            
            * | 2005-09-28 | 2007-06-22 | 동부일렉트로닉스 주식회사 | 듀얼 다마신 공정을 이용한 구리 배선 형성 방법 | 
        
          | US8759216B2
              (en) | 2006-06-07 | 2014-06-24 | Cabot Microelectronics Corporation | Compositions and methods for polishing silicon nitride materials | 
        
          | US20080220610A1
              (en)
            
            * | 2006-06-29 | 2008-09-11 | Cabot Microelectronics Corporation | Silicon oxide polishing method utilizing colloidal silica | 
        
          | US20080149591A1
              (en)
            
            * | 2006-12-21 | 2008-06-26 | Junaid Ahmed Siddiqui | Method and slurry for reducing corrosion on tungsten during chemical mechanical polishing | 
        
          | FR2910703B1
              (fr)
            
            * | 2006-12-22 | 2009-03-20 | St Microelectronics Sa | Dispositif imageur dote d'un dernier niveau d'interconnexion a base de cuivre et d'aluminium | 
        
          | US7666753B2
              (en)
            
            * | 2007-01-11 | 2010-02-23 | International Business Machines Corporation | Metal capping process for BEOL interconnect with air gaps | 
        
          | US7879663B2
              (en)
            
            * | 2007-03-08 | 2011-02-01 | Freescale Semiconductor, Inc. | Trench formation in a semiconductor material | 
        
          | US7998857B2
              (en)
            
            * | 2007-10-24 | 2011-08-16 | Intel Corporation | Integrated circuit and process for fabricating thereof | 
        
          | US8118988B2
              (en)
            
            * | 2008-01-31 | 2012-02-21 | Eci Technology, Inc. | Analysis of copper ion and complexing agent in copper plating baths | 
        
          | US8506831B2
              (en) | 2008-12-23 | 2013-08-13 | Air Products And Chemicals, Inc. | Combination, method, and composition for chemical mechanical planarization of a tungsten-containing substrate | 
        
          | US8222145B2
              (en)
            
            * | 2009-09-24 | 2012-07-17 | Dupont Air Products Nanomaterials, Llc | Method and composition for chemical mechanical planarization of a metal-containing substrate | 
        
          | US8858819B2
              (en) | 2010-02-15 | 2014-10-14 | Air Products And Chemicals, Inc. | Method for chemical mechanical planarization of a tungsten-containing substrate | 
        
          | US8906123B2
              (en) | 2010-12-29 | 2014-12-09 | Air Products And Chemicals Inc. | CMP slurry/method for polishing ruthenium and other films | 
        
          | US8883638B2
              (en)
            
            * | 2012-01-18 | 2014-11-11 | United Microelectronics Corp. | Method for manufacturing damascene structure involving dummy via holes | 
        
          | US9633863B2
              (en) | 2012-07-11 | 2017-04-25 | Cabot Microelectronics Corporation | Compositions and methods for selective polishing of silicon nitride materials | 
        
          | US20140273458A1
              (en) | 2013-03-12 | 2014-09-18 | Air Products And Chemicals, Inc. | Chemical Mechanical Planarization for Tungsten-Containing Substrates | 
        
          | JP6015969B2
              (ja) | 2014-08-19 | 2016-10-26 | インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation | 回路基板の形成方法 | 
        
          | US10570313B2
              (en) | 2015-02-12 | 2020-02-25 | Versum Materials Us, Llc | Dishing reducing in tungsten chemical mechanical polishing | 
        
          | US10160884B2
              (en) | 2015-03-23 | 2018-12-25 | Versum Materials Us, Llc | Metal compound chemically anchored colloidal particles and methods of production and use thereof | 
        
          | TWI721231B
              (zh)
            
            * | 2016-11-16 | 2021-03-11 | 日商東京威力科創股份有限公司 | 次解析度基板圖案化方法 | 
        
          | US11643599B2
              (en) | 2018-07-20 | 2023-05-09 | Versum Materials Us, Llc | Tungsten chemical mechanical polishing for reduced oxide erosion | 
        
          | US11111435B2
              (en) | 2018-07-31 | 2021-09-07 | Versum Materials Us, Llc | Tungsten chemical mechanical planarization (CMP) with low dishing and low erosion topography | 
        
          | CN117529510A
              (zh) | 2021-05-20 | 2024-02-06 | 弗萨姆材料美国有限责任公司 | 基于咪唑鎓的聚(离子液体)及其用途 |