JP3727277B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP3727277B2
JP3727277B2 JP2002049567A JP2002049567A JP3727277B2 JP 3727277 B2 JP3727277 B2 JP 3727277B2 JP 2002049567 A JP2002049567 A JP 2002049567A JP 2002049567 A JP2002049567 A JP 2002049567A JP 3727277 B2 JP3727277 B2 JP 3727277B2
Authority
JP
Japan
Prior art keywords
film
seed
metal film
wafer
seed metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002049567A
Other languages
English (en)
Other versions
JP2003249499A (ja
Inventor
悦章 山本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Priority to JP2002049567A priority Critical patent/JP3727277B2/ja
Priority to US10/373,454 priority patent/US7341945B2/en
Priority to TW092104133A priority patent/TW200304204A/zh
Publication of JP2003249499A publication Critical patent/JP2003249499A/ja
Application granted granted Critical
Publication of JP3727277B2 publication Critical patent/JP3727277B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation

Description

【0001】
【発明の属する技術分野】
本発明は半導体装置の製造方法に関し、特に基板に開口した凹部内にCu系金属を成膜する方法に関するものである。
【0002】
【従来の技術】
従来の半導体集積回路で用いられているメタル配線の材料としては、比抵抗が低いことやパターニングが容易であることなどから、アルミニウムまたはアルミニウム合金からなるアルミニウム系金属が用いられてきた。ところが、近年におけるLSI等の半導体集積回路の高集積化、縮小化、高速化、さらには高信頼性の要求が強くなってきたことに伴い、アルミニウム系金属よりも低い抵抗率及び高いエレクトロマイグレーション(EM)耐性を有するCu又はCu合金からなるCu系金属が用いられるようになった。
【0003】
このCu系金属を用いて配線を形成する場合、Cu系金属はドライエッチングを行うことが困難であるため所望の配線を形成することは難しい。そのため、例えば特公平5−46983号公報に示されているように、層間絶縁膜に配線パターンの溝(凹部)を形成し、全面にCu膜を堆積して溝を埋め込んだ後、このCu膜に対してCMP(Chemical Mechanical Polishing ;化学機械研磨)を行って層間絶縁膜の上に形成されたCu膜を除去することにより、Cu膜を溝内に残して埋込配線を形成するダマシン法が提案されている。ダマシン法には、埋込配線のみを形成するシングルダマシン法と、配線溝とビアホールにCu膜を充填して、埋込配線とビアプラグを同時に形成するデュアルダマシン法があり、特にデュアルダマシン法は、工程数の削減が可能であり、コストを低減できる。
【0004】
このようなダマシン法において、配線溝、若しくは配線溝とビアホールにCu膜を充填する方法としては、高温リフロー法、スパッタ法及び電解メッキ法が知られているが、アスペクト比が高い凹部への充填には電解メッキ法が優れている。
【0005】
この電解メッキ法によって、配線溝やビアホール等の凹部にCu等の金属膜を充填する場合、凹部の側面及び底面にメッキ工程において陰極となるCuのシード膜をあらかじめ形成する。その後、このシード膜を陰極としてメッキを行うことにより、凹部にCuを充填することができる。
【0006】
しかしながら、半導体集積回路の微細化に伴って、配線幅の微細化が求められるようになり、配線部及びビアホール部のアスペクト比がいっそう高くなってきたことにより、電解メッキ法を用いても、Cuの埋込不良が生じることが多くなってきた。例えばビアホール部に着目すると、アスペクト比が4を越えるビアホールに対するCu膜の充填が必要となってきているが、このような高アスペクト比の凹部の底面及び側面にスパッタリング法によりシード膜を形成しようとすると、十分なカバレッジが得られない場合がある。特にビアホール底部付近の側面では、Cuがアイランド(島)状に付着して、シード膜が連続膜でなくなってしまう。この領域では、メッキを行ってもCu膜がほとんど成長しないか不十分に成長する。これは、Cuの電解メッキ浴(CuSO4・5H2O+H2SO4)は強酸性であるから、メッキによってCu膜が成長する速度よりも、アイランド状のシード膜が電解メッキ浴によって溶けてなくなる速度の方が速いためである。したがって、凹部の上側部分、すなわちシード膜が連続的に形成されている部分においてはメッキによってCu膜が成長していく一方、凹部の下側部分、すなわちシード膜がアイランド状に形成されている部分においてはCu膜がほとんど成長しないか又は不十分に成長するため、凹部は完全にCuを埋め込むことが出来ずボイドが形成されてしまう。
【0007】
このようなシード膜不足を補うため、シード膜の膜厚を厚く形成することが考えられるが、この場合には、凹部の開口部においてシード膜が大きくオーバーハングしてしまい、凹部の埋込が完了する前に開口部が閉じてしまう(ピンチオフ)ことで大きなボイドが形成されてしまう。よって、シード膜の厚さはあまり厚くすることが出来ない。
【0008】
この問題を解決する1つの方法として特開2000−183160号公報に示されているような、無電解メッキによるシード膜の補強を行い、その後電解メッキを行いCuを充填することが提案されている。しかし、この方法ではメッキ装置に新たなメッキ浴を装着する必要があり、装置も非常に複雑になる。また、一般的に無電解メッキ法は安定性,再現性が乏しく、量産性が悪い。
【0009】
また、シード膜のカバレッジを改善する別の方法として、基板とターゲット間の距離を通常より長くしたロングスロースパッタ法や、Cuをイオン化し基板バイアスを印可することで積極的にCuイオンを凹部内部に引き込むイオン化スパッタ法などが用いられている。しかし、これらの方法を用いた場合でも、相対的にシードCu層の厚さが薄い凹部の側壁では、スパッタ時のスパッタ原子やイオン等の衝突(Bombardment)により基板温度が上昇し、Cu膜が凝集してしまうという問題が発生する。
【0010】
バリアメタル上に成膜するシードCu膜は、Cu膜自身のエネルギーを最小にしようとするため、温度などCu原子の表面マイグレーションを起こすのに十分なエネルギーが加えられれば凝集が起こる。ここで、Cu成膜ホルダー温度を80℃に設定してCu成膜を実施したときのシードCu膜の凝集の様子を図5(a)に示す。成膜時の温度が高いとCuがアイランド状に凝集してしまう。このように凝集したシードCu膜を用いてCuメッキを行った場合、図5(b)に示すように埋設不良が発生してしまう。これは、メッキを実施するとき、基板上のシードCu膜がメッキ時の陰極となるが、シードCu膜に被覆されていない部分ではCuの析出が起こらず、又は不十分に析出するためである。従って、これを防止するため、シードCu成膜時のホルダー温度を例えば−40℃として基板を冷却することにより、シードCu膜の凝集を抑制するようにしている。
【0011】
【発明が解決しようとする課題】
しかしながら、基板を冷却しながらシード膜の成膜を行った場合でも、Cuの凝集が発生する場合があることが判明した。すなわち、シードCu膜成膜後、常温の真空中に長期間保管すると、シードCu膜が表面マイグレーションにより凝集してしまうことがわかった。半導体装置の製造においては、一般に、複数枚のウエハを1ロットとして処理が行なわれるため、1ロット分まとめてシード膜成膜装置内に搬入され、1ロット内のウエハ全てに対するシード膜の形成が終了した後、真空雰囲気とされたシード膜成膜装置から大気中へ1ロット分まとめて搬出され、次のメッキ処理が行なわれる処理装置に搬送されることになる。そのため、1ロットの中で先にシード膜が成膜されたウエハは、同じロットの残りのウエハに対するシード膜成膜が完了するまで、真空雰囲気中で保管されることになる。この間、冷却は行なわれないため、保管期間が長くなるとシード膜が凝集してしまう。これを防止するためには、シード膜成膜後も冷却し続けることが考えられるが、そのためには、新たに冷却設備を設ける等の必要が生じ、コストの増加につながるため好ましくない。
【0012】
このシード膜成膜後の凝集は、特に、高アスペクト比のビアホール側壁など、シードCu膜厚が薄くなる箇所で顕著であり、このように凝集したシード膜を用いてメッキを行なった場合、上述の図5と同様の状態となり、埋設不良が発生してしまう。
【0013】
したがって、本発明は、凹部におけるシード膜の凝集を防止し、メッキ法により充填された金属膜にボイドが形成されないようにすることで、良好な電気特性が得られ歩留まりを向上さえることが可能な半導体装置の製造方法を提供することを目的としている。
【0014】
本発明の半導体装置の製造方法は、ウエハ上の絶縁膜に凹部を形成する工程と、上記凹部の底面および内側面上にCuまたはCu合金からなるシード金属膜を形成する工程と、上記シード金属膜の凝集が起こる前に上記シード金属膜表面を酸化する工程と、上記シード金属膜を電極としてメッキを行なうことにより上記凹部に金属膜を埋め込む工程とを有することを特徴としている。
【0015】
上記酸化は、上記シード金属膜表面を酸素を含むガスまたは大気に曝すことにより行なうことができる。
【0016】
また、本発明の半導体装置の製造方法は、真空状態とされた第1、第2および第3のチャンバーと、上記第1、第2および第3のチャンバー間で真空状態を保ったままウエハの搬送を行なう搬送チャンバーとを備えた成膜装置を用いた半導体装置の製造方法であって、上記第1のチャンバーにおいて、ウエハ上の絶縁膜に設けられた凹部の底面および内側面上にCuまたはCu合金からなるシード金属膜を形成する工程と、上記ウエハを上記搬送チャンバーにより上記第2のチャンバーに搬送し、上記第2のチャンバーにおいて上記シード金属膜の凝集が起こる前に上記シード金属膜の表面を酸素を含むガスに曝して上記シード金属膜表面を酸化する工程と、上記シード金属膜表面が酸化されたウエハを上記搬送チャンバーにより上記第3のチャンバーに搬送し、上記第3のチャンバーで上記ウエハを保管する工程と、上記保管後、上記ウエハを上記成膜措置から大気へ搬出する工程と、上記表面が酸化されたシード金属膜を電極としてメッキを行なうことにより上記凹部にCuまたはCu合金からなる金属膜を埋め込む工程とを備えることを特徴としている。
【0017】
また、本発明の半導体装置の製造方法は、真空状態とされたチャンバーと、真空引きおよび大気開放を行なうロードロックと、上記チャンバーおよび上記ロードロック間で真空状態を保ったままウエハの搬送を行なう搬送チャンバーとを備えた成膜装置を用いた半導体装置の製造方法であって、上記チャンバーにおいて、ウエハ上の絶縁膜に設けられた凹部の底面および内側面上にCuまたはCu合金からなるシード金属膜を形成する工程と、上記シード金属膜が形成されたウエハを上記搬送チャンバーにより上記ロードロックに搬送し、上記シード金属膜の凝集が起こる前に上記ロードロックを大気開放して上記シード金属膜表面を酸化する工程と、上記表面が酸化されたシード金属膜を電極としてメッキを行なうことにより上記凹部にCuまたはCu合金からなる金属膜を埋め込む工程とを備えることを特徴としている。
【0018】
本発明によれば、上記のように、CuまたはCu合金からなるシード金属膜形成後、該シード金属膜が凝集する前に、その表面を酸化しているため、その後、そのウエハが、例えば常温の真空中に保管されても、シード金属膜の表面マイグレーションが阻害されるため、凝集を防ぐことができる。したがって、そのシード金属膜を用いてメッキを行なうことにより、凹部に良好にCuまたはCu合金からなる金属膜を埋め込むことが可能となる。
【0019】
なお、CuまたはCu合金からなるシード金属膜表面に形成される酸化膜は、メッキを行なう際、メッキ液に溶け、シード金属膜表面が露出することとなるため、メッキが阻害されることはない。
【0020】
【発明の実施の形態】
本発明の上記および他の目的、特徴および利点を明確にすべく、添付した図面を参照しながら、本発明の実施の形態を以下に詳述する。
【0021】
図1に、本発明の第1実施例としての工程断面図が示されている。
【0022】
図1(a)に示すように、半導体基板上に形成された層間絶縁膜101に下層配線用の溝を形成し、該溝内にバリアメタル膜102としてTaN膜を形成し、さらに溝をCu膜で埋め込み下層配線103を形成する。続いて、下層配線103を覆って層間絶縁膜104を成膜後、リソグラフィ及びドライエッチングにより配線溝105とビアホール106をそれぞれ形成する。
【0023】
次いで、必要に応じてスパッタ前処理を行なう。スパッタ前処理は、例えば、真空中にArプラズマを形成し、Arイオンにて酸化膜換算10nm分のエッチングを行う。水素プラズマの還元作用を用いた前処理でもよい。
【0024】
次に、図1(b)のように、配線溝105及びビアホール106の内壁を含む全面に、スパッタ法により、バリアメタル膜107としてTa/TaN積層膜を20nm/20nmの厚さで成膜する。具体的には、Taターゲットを具備するチャンバー内のホルダー上にウエハを配置し、プロセスガスAr/N圧力4Pa,DCパワー1KWの条件で成膜される。ホルダーに内蔵されているヒーターは100℃に設定する。成膜途中でNを遮断し、Arのみでのスパッタを行うことにより、TaN→Taを連続成膜する。ここで、ホルダーの設定温度は室温あるいは零下に冷却してもよく、より低い方が好ましい。なお、バリアメタル膜107の成膜は、CVD法や、ALD(Atomic Layer Deposition)法で行なってもよい。
【0025】
さらに、図1(c)のように、前記バリアメタル膜107上に、スパッタ法により、シードCu膜108を成膜する。詳しくは、Cuターゲットを具備するチャンバー内にて、まず、ホルダー上でウエハを保持し冷却した後、プロセスガスAr圧力8Pa,DCパワー1KWの条件で200nmの厚さのCu膜を(成膜レートは150nm/minであり成膜時間は80秒)成膜する。ここで、ビアホール106のアスペクト比は4.8、ビアホールサイドにおけるカバレッジは6%であり、ホール内壁のシードCu膜の厚さは12nmとなった。上記冷却は、チラー(chiller)にて−40℃に冷却された冷媒を用いて行ない、ホルダーを−40℃にする。ホルダーはESC(Electro Static Chuck;静電吸着)を具備するホルダーを用いるが、ウエハ押さえ(クランプ)タイプでもよい。ホルダーに吸着した基板の裏面に−40℃に冷却されたArガスを吹きつけ基板を冷却する。冷却は60秒実施する。ここでは、ウエハ裏面から冷却されたArを吹きつけることでウエハを冷却する方法を用いたが、ホルダーからの直接の熱伝導にてウエハを冷却できればArを用いなくても良く、またウエハを冷却するその他の方法を用いても良い。ここでは、スパッタ法によりシード膜を形成したが、CVD法を用いても構わない。
【0026】
その後、本発明に従って、シード膜が形成されたウエハを、酸素を含むガスに曝すことによりシード膜表面を酸化して、図1(d)のように、酸化膜109を形成する。この酸化工程は、シード膜が凝集する前に行なわれる。この酸化処理により、Cu膜の表面マイグレーションが阻害されるため、Cu膜の凝集を防止することができる。
【0027】
図2に、シードCu膜成膜後、シード膜を酸素を含むガスに曝すまでの時間(真空チャンバに保管する時間)を変化させたときの、シードCu膜の凝集の様子を観察した結果を示す。本実施例では、上述のとおり、ホール内壁のシードCu膜の厚さは12nmである。図2に示されるように、シードCu膜が形成されたウエハを真空チャンバに23分以上保管すると凝集が起こり、時間が長くなるほど凝集がひどくなった。したがって、シード膜の膜厚が12nm以下の場合、シード膜成膜終了から20分以内に酸素を含むガスに曝すことでシード膜の凝集を防止することができる。
【0028】
次に、図1(e)に示すように、シード膜108を陰極としたメッキ法により、配線溝105及びビアホール106内にCu膜110を埋込む。このメッキ処理は、Cuの電解メッキ浴(CuSO・5HO+HSO)にウエハを浸漬し、シード膜108をメッキ電極として行なう。このとき、シード膜108を覆っている酸化膜109は、メッキ浴に溶けてなくなり、シード膜108の表面が露出するため、シード膜108はメッキ電極として機能することができる。従って、酸化膜109の厚さは、シード膜108の凝集を防止でき、且つ、メッキを妨げない厚さとされ、1〜2nm程度とされるのが好ましい。
【0029】
続いて、CMP(Chemical Mechanical Polishing;化学的機械研磨)法により層間絶縁膜104上に形成されたCu膜110およびバリアメタル膜107を除去し、配線溝105及びビアホール106内のみにCu膜109を残すことにより上層配線111およびビアプラグ112が形成される。
【0030】
上記のスパッタ前処理(図1(a))からシード膜表面を酸化する工程(図1(d))までは、図3に示すようなバリアメタル/シード成膜装置10にて行なわれる。バリアメタル/シード成膜装置10は、複数個、ここでは第1から第4の4つのプロセスチャンバPC1〜PC4を有しており、各チャンバPC1〜PC4は真空に引かれているとともに、搬送チャンバTCによって各プロセスチャンバ間で真空状態を保ったままで半導体基板としてのウエハの搬送が可能とされている。さらに、成膜装置10は、ウエハの搬入および搬出を行なうための第1および第2のロードロックL/L1、L/L2を備えている。
【0031】
まず、処理対象のウエハが装置10の外部より順次ロードロックL/L1に搬送され、複数、例えば25枚のウエハがロードロックL/L1内に搬送された後、ロードロックL/L1が真空引きされる。
【0032】
その後、ロードロックL/L1から1枚目のウエハが搬送チャンバにより第1プロセスチャンバPC1に搬送され、図1(a)のスパッタ前処理が行なわれる。続いて、該ウエハは、第2プロセスチャンバPC2に搬送されて、図1(b)のバリアメタル107が成膜され、次に第3プロセスチャンバPC3に搬送されて、図1(c)のシードCu膜の成膜が行なわれる。その後、第4プロセスチャンバPC4に搬送され、シード膜の表面が酸化されて、図1(d)の酸化膜109が形成される。
【0033】
酸化処理の終了したウエハは、第2ロードロックL/L2に搬送されて待機する。第1ロードロックL/L1にある2枚目以降のウエハも、上記と同様にして順次、プロセスチャンバPC1〜PC4において各処理が行なわれた後、ロードロックL/L2に搬送されて待機する。ロードロックL/L2にシード膜表面の酸化処理が終了した25枚のウエハが全て揃った後、ロードロックL/L2が大気開放されて、25枚のウエハがまとめて成膜装置10外部に搬出される。その後は、メッキ処理装置(図示せず)に搬送されて、図1(e)のメッキ処理が行なわれる。
【0034】
このようなバリアメタル膜/シード膜成膜装置10によれば、第3プロセスチャンバPC3にてシード膜が形成されたウエハは、すぐに(20分以内に)、搬送チャンバによって第4プロセスチャンバに搬送し、酸化処理を行なうことができる。従って、同時に成膜装置内に搬入された複数のウエハの内、先に処理されたウエハは、残りのウエハの処理が終了するまで、常温・真空雰囲気とされたロードロックL/L2に放置されることとなるが、シード膜表面に酸化膜が形成された状態での放置となるため、シード膜の凝集を防止することができる。
【0035】
図4に本実施例によりビアホールにCu膜を埋め込んだときの様子を示す。シード膜形成後、20分以内にシード膜表面を酸化したことにより、図4(a)に示すように、凝集の無い良好なシード膜が得られている。また、このシード膜を用いてメッキを行なうことにより、図4(b)のように、ボイドが無く良好な埋込性が得られている。
【0036】
上記第1実施例では、シード膜を形成した後、ウエハを別のチャンバーに搬送して、シード膜表面を酸素を含むガスに曝すという新たな工程が必要とされたが、以下、第2実施例として、新たな工程の追加無しに、シード膜の凝集を防止する方法につき説明する。
【0037】
製造工程フローは、第1実施例と同様、図1(a)〜(f)のように行われるが、本実施例では、図1(d)の工程において、シード膜108を大気に曝すことにより、酸化膜109が形成される。
【0038】
また、本実施例においても、図3に示すようなバリアメタル/シード膜成膜装置10が用いられるが、本実施例では、第1および第2のロードロックL/L1、L/L2が、ウエハ一枚ごとに真空引き及び大気開放を実施できるように構成された装置を用いる。
【0039】
まず、第1実施例と同様にして図1(a)のように配線溝105およびビアホール106が形成された一枚のウエハが、第1のロードロックL/L1に搬送され、該ロードロックL/L1が真空引きされる。その後、該ウエハは、搬送チャンバTCにより第1プロセスチャンバPC1に搬送されて、スパッタ前処理が行なわれ、続いて第2プロセスチャンバPC2において、図1(b)のようにバリアメタル107が成膜され、さらに第3プロセスチャンバPC3において、図1(d)のようにシードCu膜108が形成される。
【0040】
次に、本実施例では、シードCu膜108が形成されたウエハは、第2ロードロックL/L2に搬送され、シードCu膜108の凝集がおこる前に、ロードロックL/L2が大気開放される。この結果、シード膜108の表面が大気により酸化されて、図1(d)のように、シード膜108の表面が酸化膜109で覆われる。本実施例においても、この酸化膜109によってシード膜の表面マイグレーションが阻止されるため、凝集を防止できる。
【0041】
その後は、大気開放されたロードロックL/L2からウエハを別の装置に搬送し、上記実施例と同様にして、図1(e)のようにシード膜108を電極とした電解メッキにより、Cu膜を形成し、続いてCMPを行なって図1(f)のように、配線111とビアプラグ112を形成する。
【0042】
2枚目以降のウエハについても、上記と同様にして、順次、第1ロードロックL/L1から装置10内に搬入され、スパッタ前処理、バリアメタル形成、シードCu膜形成が行なわれ、ロードロックL/L2において大気酸化が行なわれる。
【0043】
このように、本実施例では、酸素を含むガスでシード膜を酸化する工程を追加する必要が無いため、上記第1実施例よりも工程を少なくすることができる。
【0044】
なお、本実施例では、ウエハを1枚ごとに真空引きと大気開放を行なうロードロックを用いて、シード膜を酸化する方法を示したが、必ずしも1枚ごとでなくてもよい。すなわち、複数枚ずつ真空引きと大気開放を行なうロードロックを用いて、シード膜が形成されたウエハを順次ロードロックに搬送した後、大気開放して、複数枚まとめて酸化することも可能である。しかしながら、まとめて大気開放するウエハの枚数を多くしすぎると、先にシード膜成膜が終了したウエハがロードロックで他のウエハの処理を待っている間に、そのウエハ上のシード膜の凝集が始まってしまうため、枚数はあまり多くすることはできない。従って、一度に大気開放されるウエハの枚数は、シード膜の成膜条件(膜厚、成膜レート、冷却時間等)等を考慮して、最初に成膜処理されたウエハ上のシード膜が凝集を起こすまでの間に処理できる枚数内で適宜設定される。上記実施例の成膜条件の場合、1〜5枚程度が好ましい。
【0045】
以上、本発明の実施の形態につき説明してきたが、本発明は上記各実施例に限定されず、本発明の技術思想の範囲内において、各実施例は適宜変更され得ることは明らかである。
【0046】
例えば、上記実施例では、ビアホール内壁上のシードCu膜の膜厚を12nmとしたが、ホールの大きさやアスペクト比によって、適宜変更可能である。また、その膜厚に応じて、シード膜を酸化するまでの時間も適宜変更され得る。
【0047】
また、シード膜およびメッキによってビアホールおよび配線溝に埋め込む金属は、Cuに限らず、Cu合金等でも良い。
【0048】
【発明の効果】
以上のとおり、本発明によれば、ビアホール等の凹部にメッキ法により、Cu等の金属膜を埋め込む工程を有する半導体装置の製造方法において、メッキの際、電極となるシード膜を形成した後、そのまま長期間真空中で保管すること無く、シード膜表面を酸化することにより、シード膜の表面マイグレーションを抑制し、シード膜の凝集を防止することができる。従って、該シード膜を用いたメッキによって、ボイドの無い、良好な埋め込みが可能となるという効果を奏する。
【図面の簡単な説明】
【図1】本発明の実施例による半導体装置の製造方法を示す工程断面図である。
【図2】シードCu膜成膜後シード膜を酸素を含むガスに曝すまでの時間を変化させたときのシードCu膜の凝集の様子を観察した結果を示す図である。
【図3】本発明の実施例による半導体装置の製造方法において用いられるバリアメタル膜/シード膜成膜装置の概略図である。
【図4】(a)は本実施例によって形成されたシード膜の凝集を示す図、(b)はそのシード膜を用いたメッキにより形成されたビアプラグを示す図である。
【図5】(a)は従来技術によって形成されたシード膜の凝集を示す図、(b)はそのシード膜を用いたメッキにより形成されたビアプラグを示す図である。
【符号の説明】
101 半導体基板
102 バリアメタル膜
103 下層配線
104 層間絶縁膜
105 ビアホール
106 配線溝
107 バリアメタル膜
108 シード膜
109 酸化膜
110 Cu膜
111 上層配線
112 ビアプラグ

Claims (9)

  1. ウエハ上の絶縁膜に凹部を形成する工程と、前記凹部の底面および内側面上にCuまたはCu合金からなるシード金属膜を形成する工程と、前記シード金属膜の凝集が起こる前に前記シード金属膜表面を酸化する工程と、前記シード金属膜を電極としてメッキを行なうことにより前記凹部に金属膜を埋め込む工程とを有することを特徴とする半導体装置の製造方法。
  2. 前記酸化は、前記シード金属膜表面を酸素を含むガスに曝すことにより行なわれることを特徴とする請求項1記載の半導体装置の製造方法。
  3. 前記酸化は、前記シード金属膜表面を大気に曝すことにより行なわれることを特徴とする請求項1記載の半導体装置の製造方法。
  4. 真空状態とされた第1、第2および第3のチャンバーと、前記第1、第2および第3のチャンバー間で真空状態を保ったままウエハの搬送を行なう搬送チャンバーとを備えた成膜装置を用いた半導体装置の製造方法であって、前記第1のチャンバーにおいて、ウエハ上の絶縁膜に設けられた凹部の底面および内側面上にCuまたはCu合金からなるシード金属膜を形成する工程と、前記ウエハを前記搬送チャンバーにより前記第2のチャンバーに搬送し、前記第2のチャンバーにおいて前記シード金属膜の凝集が起こる前に前記シード金属膜の表面を酸素を含むガスに曝して前記シード金属膜表面を酸化する工程と、前記シード金属膜表面が酸化されたウエハを前記搬送チャンバーにより前記第3のチャンバーに搬送し、前記第3のチャンバーで前記ウエハを保管する工程と、前記保管後、前記ウエハを前記成膜装置から大気へ搬出する工程と、前記表面が酸化されたシード金属膜を電極としてメッキを行なうことにより前記凹部にCuまたはCu合金からなる金属膜を埋め込む工程とを備えることを特徴とする半導体装置の製造方法。
  5. 真空状態とされたチャンバーと、真空引きおよび大気開放を行なうロードロックと、前記チャンバーおよび前記ロードロック間で真空状態を保ったままウエハの搬送を行なう搬送チャンバーとを備えた成膜装置を用いた半導体装置の製造方法であって、前記チャンバーにおいて、ウエハ上の絶縁膜に設けられた凹部の底面および内側面上にCuまたはCu合金からなるシード金属膜を形成する工程と、前記シード金属膜が形成されたウエハを前記搬送チャンバーにより前記ロードロックに搬送し、前記シード金属膜の凝集が起こる前に前記ロードロックを大気開放して前記シード金属膜表面を酸化する工程と、前記表面が酸化されたシード金属膜を電極としてメッキを行なうことにより前記凹部にCuまたはCu合金からなる金属膜を埋め込む工程とを備えることを特徴とする半導体装置の製造方法。
  6. 前記凹部の前記内側面上に形成された前記シード金属膜の厚さは12nm以下であることを特徴とする請求項1〜5のいずれかに記載の半導体装置の製造方法。
  7. 前記酸化は、前記シード金属膜形成後、20分以内におこなわれることを特徴とする請求項6に記載の半導体装置の製造方法。
  8. 前記シード金属膜は、スパッタにより形成されることを特徴とする請求項1〜7のいずれかに記載の半導体装置の製造方法。
  9. 前記ロードロックの大気開放は5枚以内の前記シード金属膜が形成されたところで行われることを特徴とした請求項5に記載の半導体装置の製造方法。
JP2002049567A 2002-02-26 2002-02-26 半導体装置の製造方法 Expired - Fee Related JP3727277B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2002049567A JP3727277B2 (ja) 2002-02-26 2002-02-26 半導体装置の製造方法
US10/373,454 US7341945B2 (en) 2002-02-26 2003-02-25 Method of fabricating semiconductor device
TW092104133A TW200304204A (en) 2002-02-26 2003-02-26 Method of fabricating semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002049567A JP3727277B2 (ja) 2002-02-26 2002-02-26 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2003249499A JP2003249499A (ja) 2003-09-05
JP3727277B2 true JP3727277B2 (ja) 2005-12-14

Family

ID=28662042

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002049567A Expired - Fee Related JP3727277B2 (ja) 2002-02-26 2002-02-26 半導体装置の製造方法

Country Status (3)

Country Link
US (1) US7341945B2 (ja)
JP (1) JP3727277B2 (ja)
TW (1) TW200304204A (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060251872A1 (en) * 2005-05-05 2006-11-09 Wang Jenn Y Conductive barrier layer, especially an alloy of ruthenium and tantalum and sputter deposition thereof
WO2006137237A1 (ja) * 2005-06-22 2006-12-28 Nec Corporation 半導体装置及びその製造方法
CN100461408C (zh) * 2005-09-28 2009-02-11 中芯国际集成电路制造(上海)有限公司 带有密封环拐角结构的集成电路器件
DE102006004429A1 (de) * 2006-01-31 2007-08-02 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einem Metallisierungsschichtstapel mit einem porösen Material mit kleinem ε mit einer erhöhten Integrität
KR100801467B1 (ko) * 2006-06-09 2008-02-11 유효재 쌍극형 정전척
US8791018B2 (en) 2006-12-19 2014-07-29 Spansion Llc Method of depositing copper using physical vapor deposition
JP5560696B2 (ja) * 2009-12-21 2014-07-30 富士通セミコンダクター株式会社 半導体装置の製造方法
TWI413468B (zh) * 2010-12-29 2013-10-21 Unimicron Technology Corp 製造內嵌式細線路之方法
US8497202B1 (en) * 2012-02-21 2013-07-30 International Business Machines Corporation Interconnect structures and methods of manufacturing of interconnect structures
JP2014236181A (ja) * 2013-06-05 2014-12-15 シャープ株式会社 光電変換素子
CN108350596B (zh) 2015-11-12 2020-06-09 三菱电机株式会社 Cu镀层的形成方法、带有Cu镀层的基板的制造方法及带有Cu镀层的基板

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5091219A (en) * 1987-02-17 1992-02-25 Lam Research Corporation Chemical vapor deposition method
JPH0546983A (ja) 1991-08-09 1993-02-26 Hitachi Maxell Ltd 磁気記録媒体の製造法
KR100382292B1 (ko) * 1995-02-15 2003-07-22 가부시끼가이샤 히다치 세이사꾸쇼 반도체장치의제조방법및반도체제조장치
US6133550A (en) * 1996-03-22 2000-10-17 Sandia Corporation Method and apparatus for thermal processing of semiconductor substrates
US6387805B2 (en) * 1997-05-08 2002-05-14 Applied Materials, Inc. Copper alloy seed layer for copper metallization
JPH11176822A (ja) * 1997-12-05 1999-07-02 Hitachi Ltd 半導体処理装置
TW396568B (en) 1998-04-15 2000-07-01 Taiwan Semiconductor Mfg Method for forming damascene interconnect by selectively electroplating copper
JP3217319B2 (ja) 1998-12-11 2001-10-09 松下電器産業株式会社 半導体装置の製造方法
US20010012667A1 (en) * 1999-01-15 2001-08-09 Yi Ma Clustered system and method for formation of integrated circuit devices
JP2000208627A (ja) 1999-01-19 2000-07-28 Hitachi Ltd 半導体装置の製造方法
DE10080457T1 (de) * 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
JP2003507888A (ja) 1999-08-18 2003-02-25 ステアーグ アール ティ ピー システムズ インコーポレイテッド 半導体ウェーハ上に銅の特徴を生じさせる方法
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6436267B1 (en) * 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US6853520B2 (en) * 2000-09-05 2005-02-08 Kabushiki Kaisha Toshiba Magnetoresistance effect element
JP2002289559A (ja) 2001-02-01 2002-10-04 Texas Instr Inc <Ti> 集積回路の製造方法
US6440854B1 (en) * 2001-02-02 2002-08-27 Novellus Systems, Inc. Anti-agglomeration of copper seed layers in integrated circuit metalization
KR100421036B1 (ko) * 2001-03-13 2004-03-03 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
US20030027427A1 (en) * 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition

Also Published As

Publication number Publication date
TW200304204A (en) 2003-09-16
US20040043609A1 (en) 2004-03-04
JP2003249499A (ja) 2003-09-05
US7341945B2 (en) 2008-03-11

Similar Documents

Publication Publication Date Title
TWI721061B (zh) 用於積體電路中之小及大特徵的鈷或鎳及銅整合
US6607982B1 (en) High magnesium content copper magnesium alloys as diffusion barriers
US6764940B1 (en) Method for depositing a diffusion barrier for copper interconnect applications
US6924226B2 (en) Methods for making multiple seed layers for metallic interconnects
TWI383470B (zh) 形成積體電路結構的方法
US7682496B2 (en) Apparatus for depositing seed layers
US20160309596A1 (en) Methods for forming cobalt interconnects
JP3727277B2 (ja) 半導体装置の製造方法
US6689683B2 (en) Method of manufacturing a semiconductor device
JPH11307481A (ja) 電解めっき装置および電解めっき方法
JPH0936230A (ja) 半導体装置の製造方法
TWI427737B (zh) 形成積體電路結構的方法
US6887522B2 (en) Method for forming a copper thin film
JP2005044910A (ja) 配線形成方法及び配線形成装置
TW201347089A (zh) 半導體裝置之製造方法、記憶媒體及半導體裝置
JP2002217202A (ja) 銅配線膜形成方法
JP2004327715A (ja) 多層配線構造の製造方法
JPH10154709A (ja) 半導体装置の製造方法
JP4327407B2 (ja) 銅配線膜形成方法
JP2004311545A (ja) 半導体装置の製造方法及び高融点金属膜の堆積装置
JP2000309896A (ja) 電解メッキ方法
JP2002076000A (ja) 半導体装置の製造方法
JP2003178999A (ja) 無電解メッキ方法、埋め込み配線の形成方法、及び埋め込み配線
JP2005166804A (ja) 配線形成方法及び装置
JP2007214593A (ja) 銅配線膜形成方法及び配線膜

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040622

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040629

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040818

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050830

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050927

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091007

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091007

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101007

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101007

Year of fee payment: 5

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101007

Year of fee payment: 5

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111007

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111007

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121007

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121007

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131007

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees