JP3186040B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JP3186040B2
JP3186040B2 JP15155298A JP15155298A JP3186040B2 JP 3186040 B2 JP3186040 B2 JP 3186040B2 JP 15155298 A JP15155298 A JP 15155298A JP 15155298 A JP15155298 A JP 15155298A JP 3186040 B2 JP3186040 B2 JP 3186040B2
Authority
JP
Japan
Prior art keywords
film
wiring
insulating film
interlayer insulating
etching stopper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP15155298A
Other languages
English (en)
Other versions
JPH11345875A (ja
Inventor
紀雄 岡田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP15155298A priority Critical patent/JP3186040B2/ja
Priority to US09/320,466 priority patent/US6268279B1/en
Priority to KR1019990019727A priority patent/KR20000005759A/ko
Priority to CN99109248A priority patent/CN1237787A/zh
Priority to TW088109167A priority patent/TW414929B/zh
Publication of JPH11345875A publication Critical patent/JPH11345875A/ja
Priority to US09/626,120 priority patent/US6448652B1/en
Application granted granted Critical
Publication of JP3186040B2 publication Critical patent/JP3186040B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置の技術
分野に属するものであり、特に半導体基板上での配線構
造の形成に特徴を有する半導体装置の製造方法及びそれ
に用いるフォトマスク、並びに半導体基板上の配線構造
に特徴を有する半導体装置に関するものである。
【0002】
【従来の技術及び発明が解決しようとする課題】半導体
装置においては、半導体基板上の配線構造の微細化が進
むにつれて、同層間配線容量が大きな問題となってきて
いる。また、多層配線を用いる場合には、異層間配線容
量も問題となる。
【0003】半導体装置の配線構造を形成するための一
手法として、層間絶縁膜に所望パターン状に溝を形成し
該溝を金属などの導電性材料で埋める溝配線加工技術が
用いられる。この溝配線加工技術においては、形成され
る溝の深さを均一にするためにエッチングストッパー膜
を形成することがよいとされており、エッチングストッ
パー膜としては一般に絶縁膜であるシリコン窒化膜を利
用している(米国特許第4789648号明細書)。
【0004】図6に、以上のようなエッチングストッパ
ー膜を用いた溝配線加工技術による半導体装置の製造の
従来例を示す。
【0005】先ず、図6(a) に示すように、表面にパタ
ーニングされた第1の配線202からなる第1の配線層
を有する半導体基板201上に、第1の層間絶縁膜20
3を形成する。次に、図6(b) に示すように、その上に
エッチングストッパー膜(たとえばシリコン窒化膜)2
04を形成する。次に、図6(c) に示すように、エッチ
ングストッパー膜204を、所望領域(第1の層間絶縁
膜203に後述のビアが形成される領域)のみ選択的に
エッチング除去して開口を形成することで、開口付きエ
ッチングストッパー膜205とする。次に、図6(d) に
示すように、その上に第2の層間絶縁膜206を形成す
る。次に、図6(e) に示すように、第2の層間絶縁膜2
06を、その所望領域をエッチングにより除去して第2
の配線のための溝パターンを形成し、パターン状第2層
間絶縁膜208とする。その際、第1の層間絶縁膜20
3を、開口付きエッチングストッパー膜205をマスク
としてエッチングして第1の配線202に至るビアホー
ル(以下、単に「ビア」と記す)を形成し、パターン状
第1層間絶縁膜207とする。以上のようにして形成さ
れた第2の配線溝パターンとビアとを金属材料で埋める
ことで、配線構造が形成される。
【0006】ところが、エッチングストッパー膜として
一般に使用される絶縁膜であるシリコン窒化膜(シリコ
ン窒素酸化膜を含む[以下同様]:以下、単に「窒化
膜」と記す)の誘電率は、層間絶縁膜として一般に使用
されているシリコン酸化膜(以下、単に「酸化膜」と記
す)よりも大きいことが知られている。このため、上記
従来の技術では配線構造中に残留した高誘電率のエッチ
ングストッパー膜205が同層の配線間に延在すること
になるので、同層配線間の寄生容量が増大するという問
題がある。また、配線構造中に残留した高誘電率のエッ
チングストッパー膜205が隣接する異層配線間に介在
することになるので、異層配線間の寄生容量も増大する
という問題がある。このような問題は、配線構造の微細
化が進むにつれて顕著となる。
【0007】そこで、本発明は、以上のような従来技術
の問題点に鑑み、溝配線加工技術を用いて均一性良好な
膜厚の配線層を形成しつつ、配線間寄生容量の低減され
た微細な配線構造を有する半導体装置を工程複雑化を招
くことなく製造することを可能にすることにある。
【0008】
【課題を解決するための手段】本発明によれば、以上の
如き目的を達成するものとして、第1の配線が形成され
た第1の配線層の上に第1の層間絶縁膜を形成する工程
と、第1の層間絶縁膜とは異なる膜種にてエッチングス
トッパー膜を形成する工程と、前記第1の層間絶縁膜に
形成されるビアに対応する第1パターンと第2の配線に
対応する第2パターンとの合成パターンに前記エッチン
グストッパー膜をパターニングする工程と、前記第2の
配線が形成される第2の層間絶縁膜を形成する工程と、
該第2の層間絶縁膜を前記第2パターンにエッチングし
て前記第2の配線のための配線溝を形成し、更に、前記
第1の層間絶縁膜をパターニングされた前記エッチング
ストッパー膜をマスクとしてエッチングして前記ビアを
形成する工程と、該ビアと前記配線溝とに選択的に導電
性材料を埋設することで前記第1の配線と接続された前
記第2の配線を形成する工程とを含むことを特徴とす
る、半導体装置の製造方法、が提供される。
【0009】尚、本発明において、第1の配線には、半
導体基板などの基板に形成された配線はもちろんのこ
と、該半導体基板などの基板に形成されたトランジスタ
ー、ダイオード及びコンデンサーなどの各種機能素子の
一部例えば電極そのもの、更には複数層配線を構成する
最上層配線以外の層の配線も含まれる。従って、本発明
において、第1の配線層は、以上のような第1の配線を
有する層であり、基板自体あるいはその一部であっても
よい。以下、同様である。
【0010】本発明の一態様においては、前記第1の層
間絶縁膜及び前記第2の層間絶縁膜としてシリコン酸化
膜を用いる。
【0011】本発明の一態様においては、前記エッチン
グストッパー膜として絶縁膜を用いる。
【0012】本発明の一態様においては、前記エッチン
グストッパー膜として前記第1の層間絶縁膜及び前記第
2の層間絶縁膜より誘電率の大きい絶縁膜を用いる。
【0013】本発明の一態様においては、前記エッチン
グストッパー膜として無機絶縁膜を用いる。
【0014】本発明の一態様においては、前記エッチン
グストッパー膜としてシリコン窒化膜またはシリコン酸
素窒化膜を用いる。
【0015】本発明の一態様においては、前記エッチン
グストッパー膜として導電膜を用いる。
【0016】本発明の一態様においては、前記導電膜と
して金属膜または金属複合膜を用いる。
【0017】本発明の一態様においては、前記導電膜の
材料として前記ビア及び前記配線溝に埋設される導電性
材料と同一の材料を用いる。
【0018】本発明の一態様においては、前記配線溝と
前記ビアとを形成した後に前記エッチングストッパー膜
をエッチング除去する工程を含む。
【0019】本発明の一態様においては、前記エッチン
グストッパー膜として有機絶縁膜を用いる。
【0020】本発明の一態様においては、前記有機絶縁
膜として感光性有機絶縁膜を用いる。
【0021】また、本発明によれば、前記半導体装置の
製造方法でのエッチングの際に使用されるフォトマスク
であって、前記第1の層間絶縁膜に形成されるビアに対
応する抜きパターンたる第1パターンと前記第2の配線
に対応する残しパターンたる第2パターンとの合成パタ
ーンを有することを特徴とする、フォトマスク、が提供
される。
【0022】更に、本発明によれば、半導体基板上に配
線構造を有する半導体装置であって、前記配線構造にお
いて、第1の配線層の上に層間絶縁膜を介して形成され
た第2の配線層を有しており、前記第1の配線層の配線
と前記第2の配線層の配線とが前記層間絶縁膜に形成さ
れたビアに充填された導電部材を介して接続されてお
り、前記第2の配線層の配線と前記層間絶縁膜との間に
は前記層間絶縁膜の材料の誘電率とは異なる大きさの誘
電率をもつ材料からなる異誘電率膜が介在しており、該
異誘電率膜は前記ビアの領域を除く前記第2の配線層の
配線の領域に形成されていることを特徴とする、半導体
装置、が提供される。
【0023】本発明の一態様においては、前記異誘電率
膜の材料の誘電率は前記層間絶縁膜の材料の誘電率より
大きい。
【0024】本発明の一態様においては、前記異誘電率
膜は導電膜である。
【0025】本発明の一態様においては、前記導電膜は
金属膜または金属複合膜である。
【0026】
【発明の実施の形態】以下、本発明の実施の形態を、図
面を参照しながら説明する。
【0027】<実施形態1>図1は、本発明の半導体装
置の製造方法の第1の実施形態の製造工程を示すための
断面図である。本実施形態では、半導体基板としてシリ
コン基板を用いており、層間絶縁膜としてシリコン酸化
膜を用いており、エッチングストッパー膜としてシリコ
ン窒化膜を用いている。
【0028】先ず、図1(a) に示すように、トランジス
ター、ダイオード及びコンデンサーなどの各種機能素子
が作り込まれ且つ表面にパターニングされた第1の配線
(トランジスター、ダイオード及びコンデンサーなどの
各種機能素子の電極部のみであってもよい:以下の実施
形態において同様)302からなる第1の配線層を有す
る半導体基板(シリコン基板)301上に、第1の層間
絶縁膜(酸化膜)303を形成する。この第1の層間絶
縁膜303の表面平坦化が必要であれば、化学的機械的
研磨法(以下、「CMP法」と略す)により平坦化処理
を施してもよい。
【0029】次に、図1(b) に示すように、その上に、
後述の第2の配線のための溝エッチングの際のエッチン
グストッパー膜(窒化膜)304を形成する。このエッ
チングストッパー膜304の膜厚は、第1の層間絶縁膜
303とのエッチング選択比に応じて所望値に設定すれ
ばよい。
【0030】次に、図1(c) に示すように、エッチング
ストッパー膜304を、所望領域(第1の層間絶縁膜3
03に後述のビアホール(ビア)が形成される第1の領
域、及び後述の第2の層間絶縁膜306に第2の配線を
形成すべき領域を除く第2の領域)のみ選択的にエッチ
ング除去してパターニングすることで、パターン状エッ
チングストッパー膜305とする。このパターン状エッ
チングストッパー膜305の形成に際しては、エッチン
グストッパー膜304を先ず第1のパターン(ビアに対
応する抜きパターン)でエッチングした後、第2のパタ
ーン(第2の配線に対応する残しパターン)でエッチン
グすることができる。第1のパターンの領域は第2のパ
ターンの領域の内側に存在する。尚、これら第1の抜き
パターンでのエッチング及び第2の残しパターンでのエ
ッチングの順番は逆でもよい。
【0031】次に、図1(d) に示すように、その上に第
2の層間絶縁膜(酸化膜)306を形成する。その際、
エッチングストッパー膜305の段差が第2の層間絶縁
膜306に第2の配線を形成する配線溝パターニングに
問題を生じさせないようにするには、CMP法により第
2の層間絶縁膜306の表面を平坦化しておくのが好ま
しい。
【0032】次に、図1(e) に示すように、第2の層間
絶縁膜306の所定領域(前記第2の残しパターンに対
応する領域)を異方性エッチングにより除去して第2の
配線のための溝パターンを形成する。これにより、パタ
ーン状第2層間絶縁膜308が形成され、パターン状エ
ッチングストッパー膜305の全体が露出する。そし
て、第1の層間絶縁膜303に対してパターン状エッチ
ングストッパー膜305をマスクとするエッチングがな
され、所定領域(前記の第1の抜きパターンに対応する
領域)にて第1の配線302に至るビアが形成される。
これにより、パターン状第1層間絶縁膜307が形成さ
れる。
【0033】次に、図1(f) に示すように、配線溝パタ
ーン及びビアを銅、アルミニウム等の金属で埋め、必要
に応じてCMPすることで、ビアメタルで第1の配線3
02と接続された第2の配線309が形成される。
【0034】以上のようにして得られる半導体装置で
は、その配線構造において第2の配線309に対応する
領域以外にはエッチングストッパー膜305が残留して
いないので、同層の配線の間には高誘電率エッチングス
トッパー膜が介在せず、従って同層配線間の寄生容量は
低減されている。また、第2の配線309に対応する領
域以外にはエッチングストッパー膜305が残留してい
ないことにより、異層配線間での高誘電率エッチングス
トッパー膜の面積が低減されており、従って異層配線間
の寄生容量も低減されている。
【0035】<実施形態2>図2は、前記実施形態1の
変形例たる実施形態2において使用されるフォトマスク
の説明のための模式図である。
【0036】実施形態1においては、2回に分けてエッ
チングストッパー膜304をパターニングしたが、本実
施形態2では、図2に示すようなレチクル(フォトマス
ク)を使用することで、一度のパターニング加工でパタ
ーン状エッチングストッパー膜305を形成することが
できる。
【0037】図2(a) に示すレチクル401は、前記第
1のパターン(ビアに対応する抜きパターン)と、前記
第2のパターン(第2の配線に対応する残しパターン)
とを合成することで形成した合成パターンを有する。
【0038】フォトリソグラフィで使用するフォトレジ
ストがポジ型レジストであるとして、レチクル401上
において、高い透過率の領域を領域A(論理値0)と
し、それ以外の領域Aより小さい透過率の領域を領域B
(論理値1)と呼ぶこととする。
【0039】図2(b) に示すように、第1のパターンで
はビア開口領域402を領域Aとしており、図2(c) に
示すように、第2のパターンでは第2の配線の領域40
3を領域Bとしており、各パターンにおいて、それ以外
の領域をそれぞれ領域B、領域Aとしている。
【0040】図2(a) のレチクル401の合成パターン
は、図2(b) のパターンと図2(c)のパターンとの論理
合成により得られるパターンであり、第2配線領域であ
り且つビア開口領域でないところが領域Bとされ、それ
以外が領域Aとされている。
【0041】レチクル401においては、第2配線領域
403やビア開口領域402は、使用する寸法に併せて
マスク補正をおこなってもよい。また、近年の微細化に
ともなうリソグラフィ工程における目合わせ精度との兼
ね合いから配線領域403の太らせ等の補正を行っても
よい。
【0042】<実施形態3>図3は、本発明の半導体装
置の製造方法の第3の実施形態の製造工程を示すための
断面図である。本実施形態では、半導体基板としてシリ
コン基板を用いており、層間絶縁膜としてシリコン酸化
膜を用いており、エッチングストッパー膜として有機絶
縁膜例えばポリイミド膜を用いている。
【0043】図3(a) から図3(d) までの工程を、前記
実施形態1の図1(a) から図1(d)までの工程と同様に
して行い、第1の配線502からなる第1の配線層を有
する半導体基板501上に第1の層間絶縁膜503を形
成し、その上にエッチングストッパー膜(ポリイミド
膜)504をパターニング(実施形態2のレチクルを使
用して1度にパターニングを行ってもよい)したパター
ン状エッチングストッパー膜505を形成し、その上に
第2の層間絶縁膜506を形成する。
【0044】次に、図3(e) に示すように、第2の層間
絶縁膜506の所定領域(前記第2の残しパターンに対
応する領域)を異方性エッチングにより除去して第2の
配線のための溝パターンを形成する。これにより、パタ
ーン状第2層間絶縁膜508が形成され、パターン状エ
ッチングストッパー膜505の全体が露出する。そし
て、第1の層間絶縁膜503に対してパターン状エッチ
ングストッパー膜505をマスクとするエッチングがな
され、所定領域(前記の第1の抜きパターンに対応する
領域)にて第1の配線502に至るビアが形成される。
これにより、パターン状第1層間絶縁膜507が形成さ
れる。
【0045】また、第2の配線のための溝パターン形成
の際にレジストを用いた場合、溝パターン形成及びビア
形成の終了後にレジストを除去する工程において酸素プ
ラズマを用いることで、パターン状エッチングストッパ
ー膜505も同時に除去できる。
【0046】次に、図3(f) に示すように、配線溝パタ
ーン及びビアを銅、アルミニウム等の金属で埋め、必要
に応じてCMPすることで、ビアメタルで第1の配線5
02と接続された第2の配線509が形成される。
【0047】以上のようにして得られる半導体装置で
は、その配線構造においてエッチングストッパー膜50
5が残留していないので、同層配線間及び異層配線間に
は高誘電率エッチングストッパー膜が介在せず、従って
同層配線間及び異層配線間の寄生容量は十分に低減され
ている。
【0048】<実施形態4>図4は、本発明の半導体装
置の製造方法の第4の実施形態の製造工程を示すための
断面図である。本実施形態では、半導体基板としてシリ
コン基板を用いており、層間絶縁膜としてシリコン酸化
膜を用いており、エッチングストッパー膜として感光性
樹脂からなる有機絶縁膜例えばポジ型感光性ポリイミド
膜を用いている。これにより、さらに製造工程の簡略化
を図っている。
【0049】本実施形態は、前記実施形態3と同様にし
て行う。尚、図4において、601は半導体基板であ
り、602は第1の配線であり、603は第1の層間絶
縁膜であり、604はエッチングストッパー膜(ポジ型
感光性ポリイミド膜)であり、605はパターン状エッ
チングストッパー膜であり、606は第2の層間絶縁膜
であり、607はパターン状第1層間絶縁膜であり、6
08はパターン状第2層間絶縁膜であり、609は第2
の配線である。
【0050】但し、エッチングストッパー膜604のパ
ターニングは、実施形態2のレチクルを使用して1度に
行う。必要に応じてポリイミドベークを行ってもよい。
【0051】ポジ型感光性ポリイミドからなるエッチン
グストッパー膜604の膜厚は、第1の層間膜603と
のエッチング選択比や成膜ばらつきの精度に応じて、及
びポジ型感光性ポリイミド膜の解像度が許す範囲で所望
の膜厚に設定すればよい。
【0052】また、第2の配線のための溝パターン形成
の際にレジストを用いた場合、溝パターン形成及びビア
形成の終了後にレジストを除去する工程において酸素プ
ラズマを用いることで、パターン状エッチングストッパ
ー膜605も同時に除去できる。
【0053】以上のようにして得られる半導体装置で
は、その配線構造においてエッチングストッパー膜50
5が残留していないので、同層配線間及び異層配線間に
は高誘電率エッチングストッパー膜が介在せず、従って
同層配線間及び異層配線間の寄生容量は十分に低減され
ている。
【0054】<実施形態5>図5は、本発明の半導体装
置の製造方法の第5の実施形態の製造工程を示すための
断面図である。本実施形態では、半導体基板としてシリ
コン基板を用いており、層間絶縁膜としてシリコン酸化
膜を用いており、エッチングストッパー膜として金属膜
または金属複合膜などの導電膜を用いている。このよう
な導電膜としては例えばチタン膜、タンタル膜、ポリシ
リコン膜、窒化チタン膜や、チタン膜と窒化チタン膜と
の積層膜を用いることができる。
【0055】本実施形態は、前記実施形態1と同様にし
て行う。尚、図5において、701は半導体基板であ
り、702は第1の配線であり、703は第1の層間絶
縁膜であり、704はエッチングストッパー膜(チタン
膜)であり、705はパターン状エッチングストッパー
膜であり、706は第2の層間絶縁膜であり、707は
パターン状第1層間絶縁膜であり、708はパターン状
第2層間絶縁膜であり、709は第2の配線である。
【0056】尚、エッチングストッパー膜704のパタ
ーニングは、実施形態2のレチクルを使用して1度に行
うことができる。
【0057】チタン膜などの導電膜からなるエッチング
ストッパー膜704の膜厚は、第1の層間絶縁膜703
とのエッチング選択比に応じて適宜設定すればよい。但
し、下層構造(第1の配線層)との目合せの関係上、エ
ッチングストッパー膜704の膜厚は1000Å以下で
あるのが好ましい。またエッチングストッパー膜704
の材質は、パターン状エッチングストッパー膜705が
第2の配線の一部を構成するように残留するので、配線
の信頼性上好ましいものを選ぶようにする。エッチング
ストッパー膜の材料は、第2配線709と異なる導電性
材料であってもよいし、第2配線709と同一の導電性
材料であってもよい。
【0058】以上のようにして得られる半導体装置で
は、その配線構造において同層配線間及び異層配線間に
は高誘電率のエッチングストッパー膜は介在せず、従っ
て同層配線間及び異層配線間の寄生容量は十分に低減さ
れている。
【0059】また、エッチングストッパー膜として金属
膜や金属複合膜などの導電膜を使用することで、第1の
層間絶縁膜のエッチングの際のエッチング選択比を極め
て大きくすることができ、第1の層間絶縁膜のパターニ
ング精度を向上させることができる。
【0060】以上の実施形態では第1の配線層が半導体
基板の一部を構成する場合が示されているが、本発明で
は第1の配線層として複数層配線の最上層となる配線層
以外の配線層を用いることもできる。
【0061】
【発明の効果】以上説明したように、本発明によれば、
エッチングストッパー膜は最大でも第2の配線に対応す
る領域にしか残留させないので、同層配線間にエッチン
グストッパー膜が介在せず、しかも異層配線間でのエッ
チングストッパー膜の面積が低減されているので、エッ
チングストッパー膜として高誘電率のものを使用した場
合でも同層配線間及び異層配線間の寄生容量の低減され
た半導体装置が提供される。
【0062】また、本発明によれば、最大でも第2の配
線に対応する領域にしかエッチングストッパー膜を残留
させないので、エッチングストッパー膜として導電膜を
使用し、しかも同層及び異層の配線間容量を十分に低減
しつつ導電膜を第2の配線の一部として残留させた半導
体装置を提供することが可能となる。
【0063】また、本発明のフォトマスクによれば、以
上のような半導体装置の製造工程を簡易化することがで
きる。
【図面の簡単な説明】
【図1】本発明の半導体装置の製造方法の第1の実施形
態の製造工程を示すための断面図である。
【図2】本発明の半導体装置の製造方法の第2の実施形
態において使用されるフォトマスクの説明のための模式
図である。
【図3】本発明の半導体装置の製造方法の第3の実施形
態の製造工程を示すための断面図である。
【図4】本発明の半導体装置の製造方法の第4の実施形
態の製造工程を示すための断面図である。
【図5】本発明の半導体装置の製造方法の第5の実施形
態の製造工程を示すための断面図である。
【図6】従来の半導体装置の製造方法の製造工程を示す
ための断面図である。
【符号の説明】
301,501,601,701 半導体基板 302,502,602,702 第1の配線 303,503,603,703 第1の層間絶縁膜 304,504,604,704 エッチングストッ
パー膜 305,505,605,705 パターン状エッチ
ングストッパー膜 306,506,606,706 第2の層間絶縁膜 307,507,607,707 パターン状第1層
間絶縁膜 308,508,608,708 パターン状第2層
間絶縁膜 309,509,609,709 第2の配線 401 レチクル 402 ビア開口領域 403 配線領域
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/3205 - 21/3213 H01L 21/768

Claims (3)

    (57)【特許請求の範囲】
  1. 【請求項1】 第1の配線が形成された第1の配線層の
    上に第1の層間絶縁膜を形成する工程と、第1の層間絶
    縁膜とは異なる膜種にてエッチングストッパー膜を形成
    する工程と、前記第1の層間絶縁膜に形成されるビアに
    対応する第1パターンと第2の配線に対応する第2パタ
    ーンとの合成パターンに前記エッチングストッパー膜を
    パターニングする工程と、前記第2の配線が形成される
    第2の層間絶縁膜を形成する工程と、該第2の層間絶縁
    膜を前記第2パターンにエッチングして前記第2の配線
    のための配線溝を形成し、更に、前記第1の層間絶縁膜
    をパターニングされた前記エッチングストッパー膜をマ
    スクとしてエッチングして前記ビアを形成する工程と、
    該ビアと前記配線溝とに選択的に導電性材料を埋設する
    ことで前記第1の配線と接続された前記第2の配線を形
    成する工程とを含んでおり、 前記エッチングストッパー膜として有機絶縁膜を用いる
    ことを特徴とする、半導体装置の製造方法。
  2. 【請求項2】 前記有機絶縁膜として感光性有機絶縁膜
    を用いることを特徴とする、請求項1に記載の半導体装
    置の製造方法。
  3. 【請求項3】 前記配線溝と前記ビアとを形成した後に
    前記エッチングストッパー膜をエッチング除去する工程
    を含むことを特徴とする、請求項1〜2のいずれかに記
    載の半導体装置の製造方法。
JP15155298A 1998-06-01 1998-06-01 半導体装置の製造方法 Expired - Fee Related JP3186040B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP15155298A JP3186040B2 (ja) 1998-06-01 1998-06-01 半導体装置の製造方法
US09/320,466 US6268279B1 (en) 1998-06-01 1999-05-27 Trench and via formation in insulating films utilizing a patterned etching stopper film
KR1019990019727A KR20000005759A (ko) 1998-06-01 1999-05-31 반도체장치및제조방법
CN99109248A CN1237787A (zh) 1998-06-01 1999-06-01 半导体器件及其制造方法
TW088109167A TW414929B (en) 1998-06-01 1999-06-01 Semiconductor device and manufacturing method of the same
US09/626,120 US6448652B1 (en) 1998-06-01 2000-07-26 Interconnect structure with a dielectric layer conforming to the perimeter of a wiring layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP15155298A JP3186040B2 (ja) 1998-06-01 1998-06-01 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH11345875A JPH11345875A (ja) 1999-12-14
JP3186040B2 true JP3186040B2 (ja) 2001-07-11

Family

ID=15521024

Family Applications (1)

Application Number Title Priority Date Filing Date
JP15155298A Expired - Fee Related JP3186040B2 (ja) 1998-06-01 1998-06-01 半導体装置の製造方法

Country Status (5)

Country Link
US (2) US6268279B1 (ja)
JP (1) JP3186040B2 (ja)
KR (1) KR20000005759A (ja)
CN (1) CN1237787A (ja)
TW (1) TW414929B (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5736457A (en) * 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
JP3293792B2 (ja) * 1999-01-12 2002-06-17 日本電気株式会社 半導体装置及びその製造方法
JP3457277B2 (ja) 1999-12-15 2003-10-14 沖電気工業株式会社 半導体装置および半導体装置の製造方法
JP4057762B2 (ja) * 2000-04-25 2008-03-05 株式会社ルネサステクノロジ 半導体装置の製造方法
DE10034020A1 (de) * 2000-07-07 2002-02-07 Infineon Technologies Ag Metallisierungsanordnung für Halbleiterstruktur und entsprechendes Herstellungsverfahren
JP4371587B2 (ja) * 2001-01-05 2009-11-25 住友ベークライト株式会社 半導体装置の製造方法
US7138719B2 (en) * 2002-08-29 2006-11-21 Micron Technology, Inc. Trench interconnect structure and formation method
TWI285938B (en) * 2003-08-28 2007-08-21 Fujitsu Ltd Semiconductor device
KR101446226B1 (ko) * 2006-11-27 2014-10-01 엘지디스플레이 주식회사 플렉서블 표시장치 및 그 제조 방법
JP2010003894A (ja) 2008-06-20 2010-01-07 Nec Electronics Corp 半導体装置の製造方法及び半導体装置
US20100176513A1 (en) * 2009-01-09 2010-07-15 International Business Machines Corporation Structure and method of forming metal interconnect structures in ultra low-k dielectrics
CN102299096B (zh) * 2010-06-22 2017-08-01 中国科学院微电子研究所 半导体器件的接触的制造方法及具有该接触的半导体器件

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
JPH01117342A (ja) 1987-10-30 1989-05-10 Oki Electric Ind Co Ltd コンタクトホールの形成方法
JPH023228A (ja) 1987-12-28 1990-01-08 Texas Instr Inc <Ti> 平坦化せれた、選択的なタングステン金属処理層システム
US5378652A (en) * 1989-04-19 1995-01-03 Kabushiki Kaisha Toshiba Method of making a through hole in multi-layer insulating films
JPH04260328A (ja) 1991-02-15 1992-09-16 Fujitsu Ltd 半導体装置の製造方法
JPH0513593A (ja) 1991-07-08 1993-01-22 Sanyo Electric Co Ltd 半導体装置の製造方法
JP3219909B2 (ja) * 1993-07-09 2001-10-15 株式会社東芝 半導体装置の製造方法
US5635423A (en) * 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US5736457A (en) * 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
US5856707A (en) * 1995-09-11 1999-01-05 Stmicroelectronics, Inc. Vias and contact plugs with an aspect ratio lower than the aspect ratio of the structure in which they are formed
US5693563A (en) * 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
JPH1187492A (ja) 1997-09-05 1999-03-30 Toshiba Corp 半導体装置の製造方法
US6066569A (en) * 1997-09-30 2000-05-23 Siemens Aktiengesellschaft Dual damascene process for metal layers and organic intermetal layers
JPH11317451A (ja) 1998-05-07 1999-11-16 Mitsubishi Electric Corp 半導体装置およびその製造方法

Also Published As

Publication number Publication date
KR20000005759A (ko) 2000-01-25
US6448652B1 (en) 2002-09-10
US6268279B1 (en) 2001-07-31
CN1237787A (zh) 1999-12-08
JPH11345875A (ja) 1999-12-14
TW414929B (en) 2000-12-11

Similar Documents

Publication Publication Date Title
US7586143B2 (en) Semiconductor device
JP2000124306A (ja) 半導体装置及びその製造方法
JP4104426B2 (ja) 半導体装置の製造方法
JP3186040B2 (ja) 半導体装置の製造方法
US7592220B2 (en) Capacitance process using passivation film scheme
JP2009099991A (ja) 半導体素子のスタックキャパシタ及びその形成方法
US6924206B2 (en) Method of manufacturing a semiconductor capacitive element in a semiconductor device
JP2916905B2 (ja) 半導体素子の配線形成方法
JP4278497B2 (ja) 半導体装置の製造方法
JPH11354639A (ja) 半導体素子の多層配線構造の製造方法
US20020086519A1 (en) Stacked vias and method
US7005343B2 (en) Semiconductor device and method of manufacturing the same
JP3691982B2 (ja) 半導体装置の製造方法
JP2007073808A (ja) 半導体装置の製造方法及び半導体装置
US20040175908A1 (en) Method for manufacturing semiconductor device having gate electrode
JPH11102967A (ja) 半導体装置の製造方法
JP4376030B2 (ja) Mim容量素子を備えた半導体装置の製造方法
KR20030038521A (ko) 반도체 장치의 제조 방법
KR100313604B1 (ko) 반도체장치의 절연층 평탄화 방법
KR100356482B1 (ko) 반도체 소자의 금속 배선 형성 방법
JP3166749B2 (ja) 半導体装置およびその製造方法
JP2001085516A (ja) 半導体装置の製造方法
KR100193889B1 (ko) 반도체 소자의 비아홀 형성방법
JP2000188375A (ja) 半導体装置の製造方法
JPH08316309A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees